380 lines
40 KiB
Plaintext
380 lines
40 KiB
Plaintext
Flow report for firebee1
|
|
Sat Oct 20 18:40:30 2012
|
|
Quartus II 32-bit Version 12.0 Build 263 08/02/2012 Service Pack 2 SJ Web Edition
|
|
|
|
|
|
---------------------
|
|
; Table of Contents ;
|
|
---------------------
|
|
1. Legal Notice
|
|
2. Flow Summary
|
|
3. Flow Settings
|
|
4. Flow Non-Default Global Settings
|
|
5. Flow Elapsed Time
|
|
6. Flow OS Summary
|
|
7. Flow Log
|
|
|
|
|
|
|
|
----------------
|
|
; Legal Notice ;
|
|
----------------
|
|
Copyright (C) 1991-2012 Altera Corporation
|
|
Your use of Altera Corporation's design tools, logic functions
|
|
and other software and tools, and its AMPP partner logic
|
|
functions, and any output files from any of the foregoing
|
|
(including device programming or simulation files), and any
|
|
associated documentation or information are expressly subject
|
|
to the terms and conditions of the Altera Program License
|
|
Subscription Agreement, Altera MegaCore Function License
|
|
Agreement, or other applicable license agreement, including,
|
|
without limitation, that your use is for the sole purpose of
|
|
programming logic devices manufactured by Altera and sold by
|
|
Altera or its authorized distributors. Please refer to the
|
|
applicable agreement for further details.
|
|
|
|
|
|
|
|
+------------------------------------------------------------------------------------+
|
|
; Flow Summary ;
|
|
+------------------------------------+-----------------------------------------------+
|
|
; Flow Status ; Successful - Sat Oct 20 18:39:48 2012 ;
|
|
; Quartus II 32-bit Version ; 12.0 Build 263 08/02/2012 SP 2 SJ Web Edition ;
|
|
; Revision Name ; firebee1 ;
|
|
; Top-level Entity Name ; firebee1 ;
|
|
; Family ; Cyclone III ;
|
|
; Device ; EP3C40F484C6 ;
|
|
; Timing Models ; Final ;
|
|
; Total logic elements ; 9,435 / 39,600 ( 24 % ) ;
|
|
; Total combinational functions ; 7,967 / 39,600 ( 20 % ) ;
|
|
; Dedicated logic registers ; 4,622 / 39,600 ( 12 % ) ;
|
|
; Total registers ; 4750 ;
|
|
; Total pins ; 295 / 332 ( 89 % ) ;
|
|
; Total virtual pins ; 0 ;
|
|
; Total memory bits ; 109,344 / 1,161,216 ( 9 % ) ;
|
|
; Embedded Multiplier 9-bit elements ; 6 / 252 ( 2 % ) ;
|
|
; Total PLLs ; 4 / 4 ( 100 % ) ;
|
|
+------------------------------------+-----------------------------------------------+
|
|
|
|
|
|
+-----------------------------------------+
|
|
; Flow Settings ;
|
|
+-------------------+---------------------+
|
|
; Option ; Setting ;
|
|
+-------------------+---------------------+
|
|
; Start date & time ; 10/20/2012 18:20:26 ;
|
|
; Main task ; Compilation ;
|
|
; Revision Name ; firebee1 ;
|
|
+-------------------+---------------------+
|
|
|
|
|
|
+-----------------------------------------------------------------------------------------------------------------------------+
|
|
; Flow Non-Default Global Settings ;
|
|
+-----------------------------------------+------------------------------------+---------------+-------------+----------------+
|
|
; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ;
|
|
+-----------------------------------------+------------------------------------+---------------+-------------+----------------+
|
|
; COMPILER_SIGNATURE_ID ; 247163806132475.135075002618816 ; -- ; -- ; -- ;
|
|
; CYCLONEII_OPTIMIZATION_TECHNIQUE ; Speed ; Balanced ; -- ; -- ;
|
|
; FMAX_REQUIREMENT ; 30 ns ; -- ; -- ; -- ;
|
|
; IP_TOOL_NAME ; ALTPLL ; -- ; -- ; -- ;
|
|
; IP_TOOL_NAME ; ALTPLL ; -- ; -- ; -- ;
|
|
; IP_TOOL_NAME ; ALTPLL ; -- ; -- ; -- ;
|
|
; IP_TOOL_NAME ; ALTPLL ; -- ; -- ; -- ;
|
|
; IP_TOOL_NAME ; LPM_COUNTER ; -- ; -- ; -- ;
|
|
; IP_TOOL_NAME ; LPM_SHIFTREG ; -- ; -- ; -- ;
|
|
; IP_TOOL_NAME ; LPM_RAM_DP+ ; -- ; -- ; -- ;
|
|
; IP_TOOL_NAME ; LPM_BUSTRI ; -- ; -- ; -- ;
|
|
; IP_TOOL_NAME ; LPM_RAM_DP+ ; -- ; -- ; -- ;
|
|
; IP_TOOL_NAME ; LPM_BUSTRI ; -- ; -- ; -- ;
|
|
; IP_TOOL_NAME ; LPM_BUSTRI ; -- ; -- ; -- ;
|
|
; IP_TOOL_NAME ; LPM_CONSTANT ; -- ; -- ; -- ;
|
|
; IP_TOOL_NAME ; LPM_CONSTANT ; -- ; -- ; -- ;
|
|
; IP_TOOL_NAME ; LPM_MUX ; -- ; -- ; -- ;
|
|
; IP_TOOL_NAME ; LPM_MUX ; -- ; -- ; -- ;
|
|
; IP_TOOL_NAME ; LPM_MUX ; -- ; -- ; -- ;
|
|
; IP_TOOL_NAME ; LPM_CONSTANT ; -- ; -- ; -- ;
|
|
; IP_TOOL_NAME ; LPM_RAM_DP+ ; -- ; -- ; -- ;
|
|
; IP_TOOL_NAME ; LPM_BUSTRI ; -- ; -- ; -- ;
|
|
; IP_TOOL_NAME ; LPM_MUX ; -- ; -- ; -- ;
|
|
; IP_TOOL_NAME ; LPM_MUX ; -- ; -- ; -- ;
|
|
; IP_TOOL_NAME ; LPM_CONSTANT ; -- ; -- ; -- ;
|
|
; IP_TOOL_NAME ; LPM_SHIFTREG ; -- ; -- ; -- ;
|
|
; IP_TOOL_NAME ; LPM_LATCH ; -- ; -- ; -- ;
|
|
; IP_TOOL_NAME ; LPM_CONSTANT ; -- ; -- ; -- ;
|
|
; IP_TOOL_NAME ; LPM_SHIFTREG ; -- ; -- ; -- ;
|
|
; IP_TOOL_NAME ; LPM_COMPARE ; -- ; -- ; -- ;
|
|
; IP_TOOL_NAME ; LPM_BUSTRI ; -- ; -- ; -- ;
|
|
; IP_TOOL_NAME ; LPM_BUSTRI ; -- ; -- ; -- ;
|
|
; IP_TOOL_NAME ; LPM_BUSTRI ; -- ; -- ; -- ;
|
|
; IP_TOOL_NAME ; LPM_FF ; -- ; -- ; -- ;
|
|
; IP_TOOL_NAME ; LPM_FF ; -- ; -- ; -- ;
|
|
; IP_TOOL_NAME ; LPM_FF ; -- ; -- ; -- ;
|
|
; IP_TOOL_NAME ; LPM_SHIFTREG ; -- ; -- ; -- ;
|
|
; IP_TOOL_NAME ; ALTDDIO_BIDIR ; -- ; -- ; -- ;
|
|
; IP_TOOL_NAME ; ALTDDIO_OUT ; -- ; -- ; -- ;
|
|
; IP_TOOL_NAME ; LPM_MUX ; -- ; -- ; -- ;
|
|
; IP_TOOL_NAME ; LPM_SHIFTREG ; -- ; -- ; -- ;
|
|
; IP_TOOL_NAME ; LPM_SHIFTREG ; -- ; -- ; -- ;
|
|
; IP_TOOL_NAME ; LPM_SHIFTREG ; -- ; -- ; -- ;
|
|
; IP_TOOL_NAME ; ALTDDIO_OUT ; -- ; -- ; -- ;
|
|
; IP_TOOL_NAME ; ALTDDIO_OUT ; -- ; -- ; -- ;
|
|
; IP_TOOL_NAME ; ALTDDIO_OUT ; -- ; -- ; -- ;
|
|
; IP_TOOL_NAME ; LPM_MUX ; -- ; -- ; -- ;
|
|
; IP_TOOL_NAME ; LPM_FIFO+ ; -- ; -- ; -- ;
|
|
; IP_TOOL_NAME ; LPM_FIFO+ ; -- ; -- ; -- ;
|
|
; IP_TOOL_NAME ; LPM_MUX ; -- ; -- ; -- ;
|
|
; IP_TOOL_NAME ; LPM_MUX ; -- ; -- ; -- ;
|
|
; IP_TOOL_NAME ; ALTPLL_RECONFIG ; -- ; -- ; -- ;
|
|
; IP_TOOL_NAME ; ALTPLL ; -- ; -- ; -- ;
|
|
; IP_TOOL_VERSION ; 9.1 ; -- ; -- ; -- ;
|
|
; IP_TOOL_VERSION ; 9.1 ; -- ; -- ; -- ;
|
|
; IP_TOOL_VERSION ; 9.1 ; -- ; -- ; -- ;
|
|
; IP_TOOL_VERSION ; 9.1 ; -- ; -- ; -- ;
|
|
; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ;
|
|
; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ;
|
|
; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ;
|
|
; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ;
|
|
; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ;
|
|
; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ;
|
|
; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ;
|
|
; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ;
|
|
; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ;
|
|
; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ;
|
|
; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ;
|
|
; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ;
|
|
; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ;
|
|
; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ;
|
|
; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ;
|
|
; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ;
|
|
; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ;
|
|
; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ;
|
|
; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ;
|
|
; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ;
|
|
; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ;
|
|
; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ;
|
|
; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ;
|
|
; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ;
|
|
; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ;
|
|
; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ;
|
|
; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ;
|
|
; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ;
|
|
; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ;
|
|
; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ;
|
|
; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ;
|
|
; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ;
|
|
; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ;
|
|
; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ;
|
|
; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ;
|
|
; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ;
|
|
; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ;
|
|
; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ;
|
|
; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ;
|
|
; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ;
|
|
; IP_TOOL_VERSION ; 9.1 ; -- ; -- ; -- ;
|
|
; IP_TOOL_VERSION ; 9.1 ; -- ; -- ; -- ;
|
|
; IP_TOOL_VERSION ; 9.1 ; -- ; -- ; -- ;
|
|
; IP_TOOL_VERSION ; 9.1 ; -- ; -- ; -- ;
|
|
; IP_TOOL_VERSION ; 9.1 ; -- ; -- ; -- ;
|
|
; IP_TOOL_VERSION ; 9.1 ; -- ; -- ; -- ;
|
|
; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ;
|
|
; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ;
|
|
; MISC_FILE ; C:/firebee/FPGA/firebee1.dpf ; -- ; -- ; -- ;
|
|
; MISC_FILE ; C:/FireBee/FPGA/firebee1.dpf ; -- ; -- ; -- ;
|
|
; MISC_FILE ; altpll1.bsf ; -- ; -- ; -- ;
|
|
; MISC_FILE ; altpll1.inc ; -- ; -- ; -- ;
|
|
; MISC_FILE ; altpll1.cmp ; -- ; -- ; -- ;
|
|
; MISC_FILE ; altpll1.ppf ; -- ; -- ; -- ;
|
|
; MISC_FILE ; altpll2.bsf ; -- ; -- ; -- ;
|
|
; MISC_FILE ; altpll2.inc ; -- ; -- ; -- ;
|
|
; MISC_FILE ; altpll2.cmp ; -- ; -- ; -- ;
|
|
; MISC_FILE ; altpll2.ppf ; -- ; -- ; -- ;
|
|
; MISC_FILE ; altpll3.bsf ; -- ; -- ; -- ;
|
|
; MISC_FILE ; altpll3.inc ; -- ; -- ; -- ;
|
|
; MISC_FILE ; altpll3.cmp ; -- ; -- ; -- ;
|
|
; MISC_FILE ; altpll3.ppf ; -- ; -- ; -- ;
|
|
; MISC_FILE ; altpll0.bsf ; -- ; -- ; -- ;
|
|
; MISC_FILE ; altpll0.inc ; -- ; -- ; -- ;
|
|
; MISC_FILE ; altpll0.cmp ; -- ; -- ; -- ;
|
|
; MISC_FILE ; altpll0.ppf ; -- ; -- ; -- ;
|
|
; MISC_FILE ; lpm_counter0.bsf ; -- ; -- ; -- ;
|
|
; MISC_FILE ; lpm_counter0.cmp ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_shiftreg0.bsf ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_shiftreg0.inc ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_shiftreg0.cmp ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/altdpram0.bsf ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/altdpram0.inc ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/altdpram0.cmp ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_bustri1.bsf ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_bustri1.cmp ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/altdpram1.bsf ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/altdpram1.inc ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/altdpram1.cmp ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_bustri2.bsf ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_bustri2.cmp ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_bustri4.bsf ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_bustri4.cmp ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_constant0.bsf ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_constant0.cmp ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_constant1.bsf ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_constant1.inc ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_constant1.cmp ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_mux0.bsf ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_mux0.inc ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_mux0.cmp ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_mux1.bsf ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_mux1.inc ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_mux1.cmp ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_mux2.bsf ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_mux2.inc ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_mux2.cmp ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_constant2.bsf ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_constant2.cmp ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/altdpram2.bsf ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/altdpram2.inc ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/altdpram2.cmp ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_bustri6.bsf ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_bustri6.cmp ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_mux3.bsf ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_mux3.cmp ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_mux4.bsf ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_mux4.cmp ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_constant3.bsf ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_constant3.cmp ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_shiftreg1.bsf ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_shiftreg1.cmp ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_latch1.bsf ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_latch1.cmp ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_constant4.bsf ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_constant4.inc ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_constant4.cmp ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_shiftreg2.bsf ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_shiftreg2.cmp ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_compare1.bsf ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_compare1.inc ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_compare1.cmp ; -- ; -- ; -- ;
|
|
; MISC_FILE ; lpm_bustri_LONG.bsf ; -- ; -- ; -- ;
|
|
; MISC_FILE ; lpm_bustri_LONG.inc ; -- ; -- ; -- ;
|
|
; MISC_FILE ; lpm_bustri_LONG.cmp ; -- ; -- ; -- ;
|
|
; MISC_FILE ; lpm_bustri_BYT.bsf ; -- ; -- ; -- ;
|
|
; MISC_FILE ; lpm_bustri_BYT.inc ; -- ; -- ; -- ;
|
|
; MISC_FILE ; lpm_bustri_BYT.cmp ; -- ; -- ; -- ;
|
|
; MISC_FILE ; lpm_bustri_WORD.bsf ; -- ; -- ; -- ;
|
|
; MISC_FILE ; lpm_bustri_WORD.inc ; -- ; -- ; -- ;
|
|
; MISC_FILE ; lpm_bustri_WORD.cmp ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_ff4.bsf ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_ff4.inc ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_ff4.cmp ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_ff5.bsf ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_ff5.inc ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_ff5.cmp ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_ff6.bsf ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_ff6.inc ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_ff6.cmp ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_shiftreg3.bsf ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_shiftreg3.inc ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_shiftreg3.cmp ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/altddio_bidir0.bsf ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/altddio_bidir0.inc ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/altddio_bidir0.cmp ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/altddio_bidir0.ppf ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/altddio_out0.bsf ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/altddio_out0.inc ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/altddio_out0.cmp ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/altddio_out0.ppf ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_mux5.bsf ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_mux5.inc ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_mux5.cmp ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_shiftreg5.bsf ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_shiftreg5.inc ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_shiftreg5.cmp ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_shiftreg6.bsf ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_shiftreg6.inc ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_shiftreg6.cmp ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_shiftreg4.bsf ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_shiftreg4.inc ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_shiftreg4.cmp ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/altddio_out1.bsf ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/altddio_out1.inc ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/altddio_out1.cmp ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/altddio_out1.ppf ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/altddio_out2.bsf ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/altddio_out2.inc ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/altddio_out2.cmp ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/altddio_out2.ppf ; -- ; -- ; -- ;
|
|
; MISC_FILE ; altddio_out3.bsf ; -- ; -- ; -- ;
|
|
; MISC_FILE ; altddio_out3.inc ; -- ; -- ; -- ;
|
|
; MISC_FILE ; altddio_out3.cmp ; -- ; -- ; -- ;
|
|
; MISC_FILE ; altddio_out3.ppf ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_mux6.bsf ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_mux6.inc ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_mux6.cmp ; -- ; -- ; -- ;
|
|
; MISC_FILE ; FalconIO_SDCard_IDE_CF/dcfifo0.bsf ; -- ; -- ; -- ;
|
|
; MISC_FILE ; FalconIO_SDCard_IDE_CF/dcfifo0.cmp ; -- ; -- ; -- ;
|
|
; MISC_FILE ; FalconIO_SDCard_IDE_CF/dcfifo1.bsf ; -- ; -- ; -- ;
|
|
; MISC_FILE ; FalconIO_SDCard_IDE_CF/dcfifo1.cmp ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_muxDZ.bsf ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_muxDZ.cmp ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_muxVDM.bsf ; -- ; -- ; -- ;
|
|
; MISC_FILE ; Video/lpm_muxVDM.cmp ; -- ; -- ; -- ;
|
|
; MISC_FILE ; altpll_reconfig1.tdf ; -- ; -- ; -- ;
|
|
; MISC_FILE ; altpll_reconfig1.bsf ; -- ; -- ; -- ;
|
|
; MISC_FILE ; altpll_reconfig1.inc ; -- ; -- ; -- ;
|
|
; MISC_FILE ; altpll_reconfig1.cmp ; -- ; -- ; -- ;
|
|
; MISC_FILE ; altpll4.tdf ; -- ; -- ; -- ;
|
|
; MISC_FILE ; altpll4.bsf ; -- ; -- ; -- ;
|
|
; MISC_FILE ; altpll4.inc ; -- ; -- ; -- ;
|
|
; MISC_FILE ; altpll4.cmp ; -- ; -- ; -- ;
|
|
; MISC_FILE ; altpll4.ppf ; -- ; -- ; -- ;
|
|
; NOMINAL_CORE_SUPPLY_VOLTAGE ; 1.2V ; -- ; -- ; -- ;
|
|
; PARTITION_COLOR ; 16764057 ; -- ; -- ; Top ;
|
|
; PARTITION_FITTER_PRESERVATION_LEVEL ; PLACEMENT_AND_ROUTING ; -- ; -- ; Top ;
|
|
; PARTITION_NETLIST_TYPE ; SOURCE ; -- ; -- ; Top ;
|
|
; PHYSICAL_SYNTHESIS_COMBO_LOGIC ; On ; Off ; -- ; -- ;
|
|
; PHYSICAL_SYNTHESIS_COMBO_LOGIC_FOR_AREA ; On ; Off ; -- ; -- ;
|
|
; PHYSICAL_SYNTHESIS_EFFORT ; Fast ; Normal ; -- ; -- ;
|
|
; PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION ; On ; Off ; -- ; -- ;
|
|
; STATE_MACHINE_PROCESSING ; One-Hot ; Auto ; -- ; -- ;
|
|
; TCO_REQUIREMENT ; 1 ns ; -- ; -- ; -- ;
|
|
; TH_REQUIREMENT ; 1 ns ; -- ; -- ; -- ;
|
|
; TPD_REQUIREMENT ; 1 ns ; -- ; -- ; -- ;
|
|
; TSU_REQUIREMENT ; 1 ns ; -- ; -- ; -- ;
|
|
; USE_GENERATED_PHYSICAL_CONSTRAINTS ; Off ; -- ; -- ; eda_blast_fpga ;
|
|
+-----------------------------------------+------------------------------------+---------------+-------------+----------------+
|
|
|
|
|
|
+-------------------------------------------------------------------------------------------------------------------------------+
|
|
; Flow Elapsed Time ;
|
|
+---------------------------+--------------+-------------------------+---------------------+------------------------------------+
|
|
; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ;
|
|
+---------------------------+--------------+-------------------------+---------------------+------------------------------------+
|
|
; Analysis & Synthesis ; 00:01:48 ; 1.0 ; -- ; 00:01:46 ;
|
|
; Fitter ; 00:17:04 ; 1.0 ; -- ; 00:17:00 ;
|
|
; Assembler ; 00:00:06 ; 1.0 ; -- ; 00:00:06 ;
|
|
; TimeQuest Timing Analyzer ; 00:00:49 ; 1.0 ; -- ; 00:00:48 ;
|
|
; Total ; 00:19:47 ; -- ; -- ; 00:19:40 ;
|
|
+---------------------------+--------------+-------------------------+---------------------+------------------------------------+
|
|
|
|
|
|
+---------------------------------------------------------------------------------------------+
|
|
; Flow OS Summary ;
|
|
+---------------------------+------------------+----------------+------------+----------------+
|
|
; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ;
|
|
+---------------------------+------------------+----------------+------------+----------------+
|
|
; Analysis & Synthesis ; bums ; Ubuntu 12.04.1 ; 12 ; x86_64 ;
|
|
; Fitter ; bums ; Ubuntu 12.04.1 ; 12 ; x86_64 ;
|
|
; Assembler ; bums ; Ubuntu 12.04.1 ; 12 ; x86_64 ;
|
|
; TimeQuest Timing Analyzer ; bums ; Ubuntu 12.04.1 ; 12 ; x86_64 ;
|
|
+---------------------------+------------------+----------------+------------+----------------+
|
|
|
|
|
|
------------
|
|
; Flow Log ;
|
|
------------
|
|
quartus_map --read_settings_files=on --write_settings_files=off firebeei1 -c firebee1
|
|
quartus_fit --read_settings_files=off --write_settings_files=off firebeei1 -c firebee1
|
|
quartus_asm --read_settings_files=off --write_settings_files=off firebeei1 -c firebee1
|
|
quartus_sta firebeei1 -c firebee1
|
|
|
|
|
|
|