reformatted

This commit is contained in:
Markus Fröschle
2014-12-20 01:21:36 +00:00
parent c81fc7e7e9
commit 91ea8fc622
4 changed files with 2555 additions and 2562 deletions

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@@ -166,7 +166,7 @@ package firebee_pkg is
FB_ALE : in std_logic; FB_ALE : in std_logic;
FB_WRn : in std_logic; FB_WRn : in std_logic;
FIFO_CLR : in std_logic; FIFO_CLR : in std_logic;
VIDEO_RAM_CTR : in std_logic_vector(15 downto 0); video_control_register : in std_logic_vector(15 downto 0);
BLITTER_ADR : in std_logic_vector(31 downto 0); BLITTER_ADR : in std_logic_vector(31 downto 0);
BLITTER_SIG : in std_logic; BLITTER_SIG : in std_logic;
BLITTER_WR : in std_logic; BLITTER_WR : in std_logic;
@@ -356,7 +356,7 @@ package firebee_pkg is
BLITTER_WR : out std_logic; BLITTER_WR : out std_logic;
BLITTER_TA : out std_logic BLITTER_TA : out std_logic
); );
end component; end component;
component DSP is component DSP is
port( port(
@@ -575,4 +575,4 @@ package firebee_pkg is
PIC_INT : in std_logic PIC_INT : in std_logic
); );
end component RTC; end component RTC;
end firebee_pkg; end firebee_pkg;