tried less restrictive option to speed up synthesis
This commit is contained in:
@@ -48,7 +48,7 @@ set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
|
|||||||
set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA
|
set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA
|
||||||
set_global_assignment -name DEVICE_FILTER_PIN_COUNT 484
|
set_global_assignment -name DEVICE_FILTER_PIN_COUNT 484
|
||||||
set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1
|
set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1
|
||||||
set_global_assignment -name CYCLONEII_OPTIMIZATION_TECHNIQUE SPEED
|
set_global_assignment -name CYCLONEII_OPTIMIZATION_TECHNIQUE BALANCED
|
||||||
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
|
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
|
||||||
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
|
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
|
||||||
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
|
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
|
||||||
|
|||||||
@@ -79,7 +79,6 @@ architecture BEHAVIOUR of FBEE_BLITTER is
|
|||||||
signal BLITTER_DACK : std_logic_vector(4 downto 0);
|
signal BLITTER_DACK : std_logic_vector(4 downto 0);
|
||||||
signal BLITTER_DIN_I : std_logic_vector(127 downto 0);
|
signal BLITTER_DIN_I : std_logic_vector(127 downto 0);
|
||||||
begin
|
begin
|
||||||
|
|
||||||
P_BLITTER_DACK: process
|
P_BLITTER_DACK: process
|
||||||
begin
|
begin
|
||||||
wait until CLK_DDR0 = '1' and CLK_DDR0' event;
|
wait until CLK_DDR0 = '1' and CLK_DDR0' event;
|
||||||
|
|||||||
Reference in New Issue
Block a user