fix formatting

This commit is contained in:
Markus Fröschle
2016-01-12 08:00:20 +00:00
parent 703d95ee75
commit 87100a7d62
2 changed files with 28 additions and 18 deletions

View File

@@ -242,27 +242,37 @@ ARCHITECTURE rtl OF ddr_ctr IS
-- Sub Module Interface Section
component lpm_bustri_BYT
Port (
data: in std_logic_vector(7 DOWNTO 0);
enabledt: in std_logic;
tridata: buffer std_logic_vector(7 DOWNTO 0)
COMPONENT lpm_bustri_BYT
PORT
(
data : IN std_logic_vector(7 DOWNTO 0);
enabledt : IN std_logic;
tridata : BUFFER std_logic_vector(7 DOWNTO 0)
);
END component;
END COMPONENT lpm_bustri_BYT;
Function to_std_logic(X: in Boolean) return Std_Logic IS
FUNCTION to_std_logic(X : IN boolean) RETURN std_logic IS
VARIABLE ret : std_logic;
BEGIN
IF x THEN ret := '1'; ELSE ret := '0'; END IF;
return ret;
IF x THEN
ret := '1';
ELSE
ret := '0';
END IF;
RETURN ret;
END to_std_logic;
-- sizeIt replicates a value to an array of specific length.
Function sizeIt(a: std_Logic; len: integer) return std_logic_vector IS
VARIABLE rep: std_logic_vector( len-1 DOWNTO 0);
BEGIN for i in rep'range loop rep(i) := a; END loop; return rep;
FUNCTION sizeit(a: std_logic; len: integer) RETURN std_logic_vector IS
VARIABLE rep: std_logic_vector(len - 1 DOWNTO 0);
BEGIN
FOR i IN rep'RANGE LOOP
rep(i) := a;
END LOOP;
RETURN rep;
END sizeIt;
BEGIN
-- Sub Module Section

Binary file not shown.