From 87100a7d62d0969215feafe8f71a8f8eb9ac6cc1 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Markus=20Fr=C3=B6schle?= Date: Tue, 12 Jan 2016 08:00:20 +0000 Subject: [PATCH] fix formatting --- FPGA_Quartus_13.1/Video/DDR_CTR.vhd | 46 +++++++++++++++++----------- FPGA_Quartus_13.1/firebee1.qws | Bin 6339 -> 5478 bytes 2 files changed, 28 insertions(+), 18 deletions(-) diff --git a/FPGA_Quartus_13.1/Video/DDR_CTR.vhd b/FPGA_Quartus_13.1/Video/DDR_CTR.vhd index 966b0be..858d553 100755 --- a/FPGA_Quartus_13.1/Video/DDR_CTR.vhd +++ b/FPGA_Quartus_13.1/Video/DDR_CTR.vhd @@ -242,27 +242,37 @@ ARCHITECTURE rtl OF ddr_ctr IS -- Sub Module Interface Section - component lpm_bustri_BYT - Port ( - data: in std_logic_vector(7 DOWNTO 0); - enabledt: in std_logic; - tridata: buffer std_logic_vector(7 DOWNTO 0) - ); - END component; + COMPONENT lpm_bustri_BYT + PORT + ( + data : IN std_logic_vector(7 DOWNTO 0); + enabledt : IN std_logic; + tridata : BUFFER std_logic_vector(7 DOWNTO 0) + ); + END COMPONENT lpm_bustri_BYT; - Function to_std_logic(X: in Boolean) return Std_Logic IS - VARIABLE ret : std_logic; - BEGIN - IF x THEN ret := '1'; ELSE ret := '0'; END IF; - return ret; - END to_std_logic; + FUNCTION to_std_logic(X : IN boolean) RETURN std_logic IS + VARIABLE ret : std_logic; + BEGIN + IF x THEN + ret := '1'; + ELSE + ret := '0'; + END IF; + RETURN ret; + END to_std_logic; - -- sizeIt replicates a value to an array of specific length. - Function sizeIt(a: std_Logic; len: integer) return std_logic_vector IS - VARIABLE rep: std_logic_vector( len-1 DOWNTO 0); - BEGIN for i in rep'range loop rep(i) := a; END loop; return rep; - END sizeIt; + -- sizeIt replicates a value to an array of specific length. + FUNCTION sizeit(a: std_logic; len: integer) RETURN std_logic_vector IS + VARIABLE rep: std_logic_vector(len - 1 DOWNTO 0); + BEGIN + FOR i IN rep'RANGE LOOP + rep(i) := a; + END LOOP; + RETURN rep; + END sizeIt; + BEGIN -- Sub Module Section diff --git a/FPGA_Quartus_13.1/firebee1.qws b/FPGA_Quartus_13.1/firebee1.qws index 45ccb00133c94c66c2f6abd890f9ae092b620d40..2de15470570a5aec89d087b29e9c63673535dafc 100644 GIT binary patch literal 5478 zcmeI0&rcIU6vw|U1UP!~{iIq7$pr`o98CfQ;F|t4^XY>x}E?TUki>53e zFGQ9_EL36dRc&cWcd*iZEIa4y=0Pn%El|#3SF`QkbB}_KHS`*tRh#R-xDZXQfNBps z`{!j|_ng+2DNi&ph&FEPiKan)UKyp~pCC`tlEn&B)W&%|QWB07XCy@}#hWJOIVENC z333~`AE9>LnT^Gy*eX&Slk~$fsV^bLhpF@jX^3*@C?F^lCtX7mCHB!!LO1ac4PHZG zLd%p@P+4H7HDlE=kCnl52_Ty5@El7~8?Bg-OqNElz&dAa?K~^l#5T!QC)75={Xtat z&WPGalTC1)fz@D-oBuw|XzcLwCpVG$lg#)|8hn6))1)l)7w8r0C+^;0R@5Xq@{|Ky z)2KQLJq3_FY8hGz0nDcsr_89FE2D&&bBCtE*ekNrFpKZe_=d*rfSEz< z+%UTgK1+UesOmE@C(|$zSBKE|eVUVwWy#?KFM0QND?xFLPzjo#i`Rhp0 z8Gr60vLD}J=nT_-uM5i_{xRcxruj|th!a7O4u@q6;Ag{f2JUhE>V9+ovA4|5x_)SM zrx77;kPePqX!%apFlRT9FRpNc69kDe`5dKx(YCza9C-kH!45PtOxi5a-QoRghv)Ww z?RRN?ACTu8A4M|&=8!8*h^E&b-=*1oY&w8V7FgKLu1N3{x3v%*rhSOE n-P?A^e}R_R9GK;BzGmay5#Im*j~jOrcpo6qu3$ec86DRzGNY3V literal 6339 zcmeI0J8u&~5Xa}v5pjtq5Jie8AQT}veqCZ)G&~$=C=k#`w$COZPHb!g5h#KJAw)q% zPbwrn10R7VEfNw9--1B+&DGGD&WDiv1kQFmFTA!|@|sgmoGl?Ax*>5T_3U3jU*gqLb4mp4f5cy;8Xoiti& zqO~?<6FnBKokL%}Sn+iaOm-!Hd}Tp-p69o`UL3m~%W|7@s3%wJ1thhK?nIL08}bC5 zX3?^T^y+Y$lN3D#@V`VW%j1(%%*cR;46O`Lj$GlqHYoFy`sQ{_PK;R+#}RQ>;Ao#L z-?b1)%!;^kG^WC=B1rCq1eLht^GK!6o_aDXSFl$-o|bGv-Jx!A4yx?Bqf=#{q;;51 zV)E3W>CsUGyFP3ZoQdXnxrG(Hi#A61k}j-^oHM4{F!u*mnRkfQ1YNqo1zv20d))Z< zWfq)IyB}>KnL5RCNP2o1Nk2!(GkoDJ^hM~$j0H&{SCd6#<15>1YO-mhADE)8MU=)p3EGT^K4&=M}{uNagn(`v*UtJ zb0G|!@7eJfqf=2cGCI#-2-T^@YGVffg3n}s0%CQ`kz3&C!RtO#sA5D=o`N?@2cveI zt6|LpT#t=-W;}}+%RJO!@D|Lp9=y#xyU%k5-dOsa2IPsE#l~nrr6~fx|7vCvUt#F8 z$cY*#{lLW^p^f3d?;Jm*Mxu}jQU}~+Q+%9|Y7Bu?bqExxL+NovY9ub5AT`cNH5&>% z)u!t0AEiU=27#5v<3$Uv7I9=XZdXr0TL)wL60;7+@`Ja3O#W0%uo-7;nkR}CRnJtd zXp|nnrVlE;5Sbdpr$B1(*C~ok{UbFDn;!i|+3w#W&Vg`7aYAxl!^F;>{U7-TJx9=4 z!C7h&kj>)WHz==C2miCR?1pc;J4~;tH^p{#brtCG2-|gsBL_xDAI`vyC36zr_9+Da JL$lJZ`~;u%Dii