diff --git a/FPGA_Quartus_13.1/firebee1.qsf b/FPGA_Quartus_13.1/firebee1.qsf index 5353743..a9a68e7 100644 --- a/FPGA_Quartus_13.1/firebee1.qsf +++ b/FPGA_Quartus_13.1/firebee1.qsf @@ -446,16 +446,16 @@ set_global_assignment -name ASSIGNMENT_GROUP_MEMBER DDRCLK[0] -section_id fast set_global_assignment -name ASSIGNMENT_GROUP_MEMBER DDRCLK[1] -section_id fast set_global_assignment -name ASSIGNMENT_GROUP_MEMBER DDRCLK[2] -section_id fast set_global_assignment -name ASSIGNMENT_GROUP_MEMBER DDRCLK[3] -section_id fast -set_global_assignment -name ASSIGNMENT_GROUP_MEMBER "Video:Fredi_Aschwanden|DDRCLK" -section_id fast -set_global_assignment -name ASSIGNMENT_GROUP_MEMBER "Video:Fredi_Aschwanden|DDRCLK[0]" -section_id fast -set_global_assignment -name ASSIGNMENT_GROUP_MEMBER "Video:Fredi_Aschwanden|DDRCLK[1]" -section_id fast -set_global_assignment -name ASSIGNMENT_GROUP_MEMBER "Video:Fredi_Aschwanden|DDRCLK[2]" -section_id fast -set_global_assignment -name ASSIGNMENT_GROUP_MEMBER "Video:Fredi_Aschwanden|DDRCLK[3]" -section_id fast -set_global_assignment -name ASSIGNMENT_GROUP_MEMBER "Video:Fredi_Aschwanden|DDR_CTR_BLITTER:DDR_CTR_BLITTER|DDRCLK" -section_id fast -set_global_assignment -name ASSIGNMENT_GROUP_MEMBER "Video:Fredi_Aschwanden|DDR_CTR_BLITTER:DDR_CTR_BLITTER|DDRCLK[0]" -section_id fast -set_global_assignment -name ASSIGNMENT_GROUP_MEMBER "Video:Fredi_Aschwanden|DDR_CTR_BLITTER:DDR_CTR_BLITTER|DDRCLK[1]" -section_id fast -set_global_assignment -name ASSIGNMENT_GROUP_MEMBER "Video:Fredi_Aschwanden|DDR_CTR_BLITTER:DDR_CTR_BLITTER|DDRCLK[2]" -section_id fast -set_global_assignment -name ASSIGNMENT_GROUP_MEMBER "Video:Fredi_Aschwanden|DDR_CTR_BLITTER:DDR_CTR_BLITTER|DDRCLK[3]" -section_id fast +set_global_assignment -name ASSIGNMENT_GROUP_MEMBER "video:Fredi_Aschwanden|DDRCLK" -section_id fast +set_global_assignment -name ASSIGNMENT_GROUP_MEMBER "video:Fredi_Aschwanden|DDRCLK[0]" -section_id fast +set_global_assignment -name ASSIGNMENT_GROUP_MEMBER "video:Fredi_Aschwanden|DDRCLK[1]" -section_id fast +set_global_assignment -name ASSIGNMENT_GROUP_MEMBER "video:Fredi_Aschwanden|DDRCLK[2]" -section_id fast +set_global_assignment -name ASSIGNMENT_GROUP_MEMBER "video:Fredi_Aschwanden|DDRCLK[3]" -section_id fast +set_global_assignment -name ASSIGNMENT_GROUP_MEMBER "video:Fredi_Aschwanden|DDR_CTR_BLITTER:DDR_CTR_BLITTER|DDRCLK" -section_id fast +set_global_assignment -name ASSIGNMENT_GROUP_MEMBER "video:Fredi_Aschwanden|DDR_CTR_BLITTER:DDR_CTR_BLITTER|DDRCLK[0]" -section_id fast +set_global_assignment -name ASSIGNMENT_GROUP_MEMBER "video:Fredi_Aschwanden|DDR_CTR_BLITTER:DDR_CTR_BLITTER|DDRCLK[1]" -section_id fast +set_global_assignment -name ASSIGNMENT_GROUP_MEMBER "video:Fredi_Aschwanden|DDR_CTR_BLITTER:DDR_CTR_BLITTER|DDRCLK[2]" -section_id fast +set_global_assignment -name ASSIGNMENT_GROUP_MEMBER "video:Fredi_Aschwanden|DDR_CTR_BLITTER:DDR_CTR_BLITTER|DDRCLK[3]" -section_id fast # end ASSIGNMENT_GROUP(fast) # -------------------------- @@ -470,16 +470,16 @@ set_instance_assignment -name CLOCK_SETTINGS fast -to DDRCLK[0] set_instance_assignment -name CLOCK_SETTINGS fast -to DDRCLK[1] set_instance_assignment -name CLOCK_SETTINGS fast -to DDRCLK[2] set_instance_assignment -name CLOCK_SETTINGS fast -to DDRCLK[3] -set_instance_assignment -name CLOCK_SETTINGS fast -to "Video:Fredi_Aschwanden|DDRCLK" -set_instance_assignment -name CLOCK_SETTINGS fast -to "Video:Fredi_Aschwanden|DDRCLK[0]" -set_instance_assignment -name CLOCK_SETTINGS fast -to "Video:Fredi_Aschwanden|DDRCLK[1]" -set_instance_assignment -name CLOCK_SETTINGS fast -to "Video:Fredi_Aschwanden|DDRCLK[2]" -set_instance_assignment -name CLOCK_SETTINGS fast -to "Video:Fredi_Aschwanden|DDRCLK[3]" -set_instance_assignment -name CLOCK_SETTINGS fast -to "Video:Fredi_Aschwanden|DDR_CTR_BLITTER:DDR_CTR_BLITTER|DDRCLK" -set_instance_assignment -name CLOCK_SETTINGS fast -to "Video:Fredi_Aschwanden|DDR_CTR_BLITTER:DDR_CTR_BLITTER|DDRCLK[0]" -set_instance_assignment -name CLOCK_SETTINGS fast -to "Video:Fredi_Aschwanden|DDR_CTR_BLITTER:DDR_CTR_BLITTER|DDRCLK[1]" -set_instance_assignment -name CLOCK_SETTINGS fast -to "Video:Fredi_Aschwanden|DDR_CTR_BLITTER:DDR_CTR_BLITTER|DDRCLK[2]" -set_instance_assignment -name CLOCK_SETTINGS fast -to "Video:Fredi_Aschwanden|DDR_CTR_BLITTER:DDR_CTR_BLITTER|DDRCLK[3]" +set_instance_assignment -name CLOCK_SETTINGS fast -to "video:Fredi_Aschwanden|DDRCLK" +set_instance_assignment -name CLOCK_SETTINGS fast -to "video:Fredi_Aschwanden|DDRCLK[0]" +set_instance_assignment -name CLOCK_SETTINGS fast -to "video:Fredi_Aschwanden|DDRCLK[1]" +set_instance_assignment -name CLOCK_SETTINGS fast -to "video:Fredi_Aschwanden|DDRCLK[2]" +set_instance_assignment -name CLOCK_SETTINGS fast -to "video:Fredi_Aschwanden|DDRCLK[3]" +set_instance_assignment -name CLOCK_SETTINGS fast -to "video:Fredi_Aschwanden|DDR_CTR_BLITTER:DDR_CTR_BLITTER|DDRCLK" +set_instance_assignment -name CLOCK_SETTINGS fast -to "video:Fredi_Aschwanden|DDR_CTR_BLITTER:DDR_CTR_BLITTER|DDRCLK[0]" +set_instance_assignment -name CLOCK_SETTINGS fast -to "video:Fredi_Aschwanden|DDR_CTR_BLITTER:DDR_CTR_BLITTER|DDRCLK[1]" +set_instance_assignment -name CLOCK_SETTINGS fast -to "video:Fredi_Aschwanden|DDR_CTR_BLITTER:DDR_CTR_BLITTER|DDRCLK[2]" +set_instance_assignment -name CLOCK_SETTINGS fast -to "video:Fredi_Aschwanden|DDR_CTR_BLITTER:DDR_CTR_BLITTER|DDRCLK[3]" set_instance_assignment -name INPUT_MAX_DELAY "4 ns" -from * -to FB_ALE set_instance_assignment -name MAX_DELAY "5 ns" -from VD -to FB_AD set_instance_assignment -name MAX_DELAY "5 ns" -from FB_AD -to VA @@ -677,126 +677,126 @@ set_global_assignment -name AUTO_DELAY_CHAINS_FOR_HIGH_FANOUT_INPUT_PINS OFF set_global_assignment -name OPTIMIZE_FOR_METASTABILITY OFF set_instance_assignment -name GLOBAL_SIGNAL "GLOBAL CLOCK" -to i_video|i_video_mod_mux_clutctr|CLK13M_q set_instance_assignment -name GLOBAL_SIGNAL "GLOBAL CLOCK" -to i_video|i_video_mod_mux_clutctr|CLK17M_q +set_global_assignment -name VHDL_FILE video/video.vhd set_global_assignment -name VHDL_FILE firebee_utils_pkg.vhd set_global_assignment -name AHDL_FILE altpll_reconfig1_pllrcfg_t4q.tdf set_global_assignment -name AHDL_FILE altpll_reconfig1.tdf set_global_assignment -name AHDL_FILE altpll4.tdf set_global_assignment -name SDC_FILE firebee_groups.sdc -set_global_assignment -name VHDL_FILE Video/video.vhd -set_global_assignment -name VHDL_FILE Video/video_mod_mux_clutctr.vhd -set_global_assignment -name VHDL_FILE Video/DDR_CTR.vhd +set_global_assignment -name VHDL_FILE video/video_mod_mux_clutctr.vhd +set_global_assignment -name VHDL_FILE video/ddr_controller.vhd set_global_assignment -name SOURCE_FILE altpll_reconfig1.cmp set_global_assignment -name VHDL_FILE Interrupt_Handler/interrupt_handler.vhd set_global_assignment -name SOURCE_FILE altpll4.cmp set_global_assignment -name VHDL_FILE firebee1.vhd -set_global_assignment -name VHDL_FILE Video/mux41.vhd -set_global_assignment -name VHDL_FILE Video/mux41_5.vhd -set_global_assignment -name VHDL_FILE Video/mux41_4.vhd -set_global_assignment -name VHDL_FILE Video/mux41_3.vhd -set_global_assignment -name VHDL_FILE Video/mux41_2.vhd -set_global_assignment -name VHDL_FILE Video/mux41_1.vhd -set_global_assignment -name VHDL_FILE Video/mux41_0.vhd -set_global_assignment -name VHDL_FILE Video/BLITTER/BLITTER.vhd -set_global_assignment -name SOURCE_FILE Video/lpm_bustri7.cmp -set_global_assignment -name VHDL_FILE Video/lpm_bustri7.vhd -set_global_assignment -name SOURCE_FILE Video/lpm_ff4.cmp -set_global_assignment -name SOURCE_FILE Video/lpm_fifoDZ.cmp -set_global_assignment -name SOURCE_FILE Video/lpm_compare1.cmp -set_global_assignment -name SOURCE_FILE Video/lpm_constant3.cmp -set_global_assignment -name SOURCE_FILE Video/lpm_ff6.cmp -set_global_assignment -name SOURCE_FILE Video/altddio_out0.cmp -set_global_assignment -name SOURCE_FILE Video/altddio_out1.cmp -set_global_assignment -name SOURCE_FILE Video/altddio_bidir0.cmp -set_global_assignment -name SOURCE_FILE Video/lpm_constant2.cmp -set_global_assignment -name SOURCE_FILE Video/lpm_bustri0.cmp -set_global_assignment -name VHDL_FILE Video/lpm_bustri0.vhd -set_global_assignment -name SOURCE_FILE Video/lpm_constant4.cmp -set_global_assignment -name SOURCE_FILE Video/altdpram2.cmp -set_global_assignment -name VHDL_FILE Video/lpm_fifoDZ.vhd -set_global_assignment -name SOURCE_FILE Video/lpm_latch1.cmp -set_global_assignment -name SOURCE_FILE Video/lpm_mux0.cmp -set_global_assignment -name SOURCE_FILE Video/lpm_shiftreg4.cmp -set_global_assignment -name SOURCE_FILE Video/lpm_bustri3.cmp -set_global_assignment -name SOURCE_FILE Video/lpm_shiftreg5.cmp -set_global_assignment -name VHDL_FILE Video/lpm_bustri3.vhd -set_global_assignment -name SOURCE_FILE Video/lpm_shiftreg6.cmp -set_global_assignment -name SOURCE_FILE Video/lpm_bustri4.cmp -set_global_assignment -name SOURCE_FILE Video/altddio_out2.cmp -set_global_assignment -name SOURCE_FILE Video/lpm_constant0.cmp -set_global_assignment -name SOURCE_FILE Video/lpm_mux1.cmp -set_global_assignment -name SOURCE_FILE Video/lpm_constant1.cmp -set_global_assignment -name SOURCE_FILE Video/lpm_mux2.cmp -set_global_assignment -name SOURCE_FILE Video/lpm_bustri5.cmp -set_global_assignment -name VHDL_FILE Video/lpm_ff0.vhd -set_global_assignment -name SOURCE_FILE Video/lpm_ff1.cmp -set_global_assignment -name SOURCE_FILE Video/lpm_shiftreg0.cmp -set_global_assignment -name VHDL_FILE Video/lpm_ff1.vhd -set_global_assignment -name SOURCE_FILE Video/lpm_ff2.cmp -set_global_assignment -name SOURCE_FILE Video/lpm_ff3.cmp -set_global_assignment -name VHDL_FILE Video/lpm_ff3.vhd -set_global_assignment -name VHDL_FILE Video/lpm_ff2.vhd -set_global_assignment -name SOURCE_FILE Video/lpm_fifo_dc0.cmp -set_global_assignment -name SOURCE_FILE Video/lpm_mux3.cmp -set_global_assignment -name SOURCE_FILE Video/lpm_mux4.cmp -set_global_assignment -name SOURCE_FILE Video/altdpram0.cmp -set_global_assignment -name SOURCE_FILE Video/lpm_mux5.cmp -set_global_assignment -name VHDL_FILE Video/altdpram0.vhd -set_global_assignment -name SOURCE_FILE Video/lpm_mux6.cmp -set_global_assignment -name SOURCE_FILE Video/altdpram1.cmp -set_global_assignment -name SOURCE_FILE Video/lpm_muxDZ2.cmp -set_global_assignment -name VHDL_FILE Video/lpm_muxDZ2.vhd -set_global_assignment -name SOURCE_FILE Video/lpm_muxDZ.cmp -set_global_assignment -name VHDL_FILE Video/lpm_muxDZ.vhd -set_global_assignment -name SOURCE_FILE Video/lpm_ff5.cmp -set_global_assignment -name SOURCE_FILE Video/lpm_bustri1.cmp -set_global_assignment -name SOURCE_FILE Video/lpm_shiftreg1.cmp -set_global_assignment -name SOURCE_FILE Video/lpm_ff0.cmp -set_global_assignment -name QIP_FILE Video/lpm_shiftreg0.qip -set_global_assignment -name QIP_FILE Video/altdpram0.qip -set_global_assignment -name QIP_FILE Video/lpm_bustri1.qip -set_global_assignment -name QIP_FILE Video/altdpram1.qip -set_global_assignment -name QIP_FILE Video/lpm_bustri2.qip -set_global_assignment -name QIP_FILE Video/lpm_bustri4.qip -set_global_assignment -name QIP_FILE Video/lpm_constant0.qip -set_global_assignment -name QIP_FILE Video/lpm_constant1.qip -set_global_assignment -name QIP_FILE Video/lpm_mux0.qip -set_global_assignment -name QIP_FILE Video/lpm_mux1.qip -set_global_assignment -name QIP_FILE Video/lpm_mux2.qip -set_global_assignment -name QIP_FILE Video/lpm_constant2.qip -set_global_assignment -name QIP_FILE Video/altdpram2.qip -set_global_assignment -name QIP_FILE Video/lpm_shiftreg3.qip -set_global_assignment -name QIP_FILE Video/altddio_bidir0.qip -set_global_assignment -name QIP_FILE Video/altddio_out0.qip -set_global_assignment -name QIP_FILE Video/lpm_mux5.qip -set_global_assignment -name QIP_FILE Video/lpm_shiftreg5.qip -set_global_assignment -name QIP_FILE Video/lpm_shiftreg6.qip -set_global_assignment -name QIP_FILE Video/lpm_shiftreg4.qip -set_global_assignment -name QIP_FILE Video/altddio_out1.qip -set_global_assignment -name QIP_FILE Video/altddio_out2.qip -set_global_assignment -name QIP_FILE Video/lpm_bustri6.qip -set_global_assignment -name QIP_FILE Video/lpm_mux6.qip -set_global_assignment -name QIP_FILE Video/lpm_mux3.qip -set_global_assignment -name QIP_FILE Video/lpm_mux4.qip -set_global_assignment -name QIP_FILE Video/lpm_constant3.qip -set_global_assignment -name QIP_FILE Video/lpm_muxDZ.qip -set_global_assignment -name QIP_FILE Video/lpm_muxVDM.qip -set_global_assignment -name QIP_FILE Video/lpm_shiftreg1.qip -set_global_assignment -name QIP_FILE Video/lpm_latch1.qip -set_global_assignment -name QIP_FILE Video/lpm_constant4.qip -set_global_assignment -name QIP_FILE Video/lpm_shiftreg2.qip -set_global_assignment -name QIP_FILE Video/BLITTER/lpm_clshift0.qip -set_global_assignment -name SOURCE_FILE Video/BLITTER/blitter.tdf.ALT -set_global_assignment -name QIP_FILE Video/lpm_compare1.qip -set_global_assignment -name SOURCE_FILE Video/lpm_shiftreg2.cmp -set_global_assignment -name SOURCE_FILE Video/lpm_bustri2.cmp -set_global_assignment -name VHDL_FILE Video/lpm_fifo_dc0.vhd -set_global_assignment -name SOURCE_FILE Video/lpm_shiftreg3.cmp -set_global_assignment -name VHDL_FILE Video/lpm_bustri5.vhd -set_global_assignment -name QIP_FILE Video/lpm_ff4.qip -set_global_assignment -name QIP_FILE Video/lpm_ff5.qip -set_global_assignment -name QIP_FILE Video/lpm_ff6.qip -set_global_assignment -name SOURCE_FILE Video/lpm_bustri6.cmp -set_global_assignment -name QIP_FILE Video/BLITTER/altsyncram0.qip +set_global_assignment -name VHDL_FILE video/mux41.vhd +set_global_assignment -name VHDL_FILE video/mux41_5.vhd +set_global_assignment -name VHDL_FILE video/mux41_4.vhd +set_global_assignment -name VHDL_FILE video/mux41_3.vhd +set_global_assignment -name VHDL_FILE video/mux41_2.vhd +set_global_assignment -name VHDL_FILE video/mux41_1.vhd +set_global_assignment -name VHDL_FILE video/mux41_0.vhd +set_global_assignment -name VHDL_FILE video/BLITTER/BLITTER.vhd +set_global_assignment -name SOURCE_FILE video/lpm_bustri7.cmp +set_global_assignment -name VHDL_FILE video/lpm_bustri7.vhd +set_global_assignment -name SOURCE_FILE video/lpm_ff4.cmp +set_global_assignment -name SOURCE_FILE video/lpm_fifoDZ.cmp +set_global_assignment -name SOURCE_FILE video/lpm_compare1.cmp +set_global_assignment -name SOURCE_FILE video/lpm_constant3.cmp +set_global_assignment -name SOURCE_FILE video/lpm_ff6.cmp +set_global_assignment -name SOURCE_FILE video/altddio_out0.cmp +set_global_assignment -name SOURCE_FILE video/altddio_out1.cmp +set_global_assignment -name SOURCE_FILE video/altddio_bidir0.cmp +set_global_assignment -name SOURCE_FILE video/lpm_constant2.cmp +set_global_assignment -name SOURCE_FILE video/lpm_bustri0.cmp +set_global_assignment -name VHDL_FILE video/lpm_bustri0.vhd +set_global_assignment -name SOURCE_FILE video/lpm_constant4.cmp +set_global_assignment -name SOURCE_FILE video/altdpram2.cmp +set_global_assignment -name VHDL_FILE video/lpm_fifoDZ.vhd +set_global_assignment -name SOURCE_FILE video/lpm_latch1.cmp +set_global_assignment -name SOURCE_FILE video/lpm_mux0.cmp +set_global_assignment -name SOURCE_FILE video/lpm_shiftreg4.cmp +set_global_assignment -name SOURCE_FILE video/lpm_bustri3.cmp +set_global_assignment -name SOURCE_FILE video/lpm_shiftreg5.cmp +set_global_assignment -name VHDL_FILE video/lpm_bustri3.vhd +set_global_assignment -name SOURCE_FILE video/lpm_shiftreg6.cmp +set_global_assignment -name SOURCE_FILE video/lpm_bustri4.cmp +set_global_assignment -name SOURCE_FILE video/altddio_out2.cmp +set_global_assignment -name SOURCE_FILE video/lpm_constant0.cmp +set_global_assignment -name SOURCE_FILE video/lpm_mux1.cmp +set_global_assignment -name SOURCE_FILE video/lpm_constant1.cmp +set_global_assignment -name SOURCE_FILE video/lpm_mux2.cmp +set_global_assignment -name SOURCE_FILE video/lpm_bustri5.cmp +set_global_assignment -name VHDL_FILE video/lpm_ff0.vhd +set_global_assignment -name SOURCE_FILE video/lpm_ff1.cmp +set_global_assignment -name SOURCE_FILE video/lpm_shiftreg0.cmp +set_global_assignment -name VHDL_FILE video/lpm_ff1.vhd +set_global_assignment -name SOURCE_FILE video/lpm_ff2.cmp +set_global_assignment -name SOURCE_FILE video/lpm_ff3.cmp +set_global_assignment -name VHDL_FILE video/lpm_ff3.vhd +set_global_assignment -name VHDL_FILE video/lpm_ff2.vhd +set_global_assignment -name SOURCE_FILE video/lpm_fifo_dc0.cmp +set_global_assignment -name SOURCE_FILE video/lpm_mux3.cmp +set_global_assignment -name SOURCE_FILE video/lpm_mux4.cmp +set_global_assignment -name SOURCE_FILE video/altdpram0.cmp +set_global_assignment -name SOURCE_FILE video/lpm_mux5.cmp +set_global_assignment -name VHDL_FILE video/altdpram0.vhd +set_global_assignment -name SOURCE_FILE video/lpm_mux6.cmp +set_global_assignment -name SOURCE_FILE video/altdpram1.cmp +set_global_assignment -name SOURCE_FILE video/lpm_muxDZ2.cmp +set_global_assignment -name VHDL_FILE video/lpm_muxDZ2.vhd +set_global_assignment -name SOURCE_FILE video/lpm_muxDZ.cmp +set_global_assignment -name VHDL_FILE video/lpm_muxDZ.vhd +set_global_assignment -name SOURCE_FILE video/lpm_ff5.cmp +set_global_assignment -name SOURCE_FILE video/lpm_bustri1.cmp +set_global_assignment -name SOURCE_FILE video/lpm_shiftreg1.cmp +set_global_assignment -name SOURCE_FILE video/lpm_ff0.cmp +set_global_assignment -name QIP_FILE video/lpm_shiftreg0.qip +set_global_assignment -name QIP_FILE video/altdpram0.qip +set_global_assignment -name QIP_FILE video/lpm_bustri1.qip +set_global_assignment -name QIP_FILE video/altdpram1.qip +set_global_assignment -name QIP_FILE video/lpm_bustri2.qip +set_global_assignment -name QIP_FILE video/lpm_bustri4.qip +set_global_assignment -name QIP_FILE video/lpm_constant0.qip +set_global_assignment -name QIP_FILE video/lpm_constant1.qip +set_global_assignment -name QIP_FILE video/lpm_mux0.qip +set_global_assignment -name QIP_FILE video/lpm_mux1.qip +set_global_assignment -name QIP_FILE video/lpm_mux2.qip +set_global_assignment -name QIP_FILE video/lpm_constant2.qip +set_global_assignment -name QIP_FILE video/altdpram2.qip +set_global_assignment -name QIP_FILE video/lpm_shiftreg3.qip +set_global_assignment -name QIP_FILE video/altddio_bidir0.qip +set_global_assignment -name QIP_FILE video/altddio_out0.qip +set_global_assignment -name QIP_FILE video/lpm_mux5.qip +set_global_assignment -name QIP_FILE video/lpm_shiftreg5.qip +set_global_assignment -name QIP_FILE video/lpm_shiftreg6.qip +set_global_assignment -name QIP_FILE video/lpm_shiftreg4.qip +set_global_assignment -name QIP_FILE video/altddio_out1.qip +set_global_assignment -name QIP_FILE video/altddio_out2.qip +set_global_assignment -name QIP_FILE video/lpm_bustri6.qip +set_global_assignment -name QIP_FILE video/lpm_mux6.qip +set_global_assignment -name QIP_FILE video/lpm_mux3.qip +set_global_assignment -name QIP_FILE video/lpm_mux4.qip +set_global_assignment -name QIP_FILE video/lpm_constant3.qip +set_global_assignment -name QIP_FILE video/lpm_muxDZ.qip +set_global_assignment -name QIP_FILE video/lpm_muxVDM.qip +set_global_assignment -name QIP_FILE video/lpm_shiftreg1.qip +set_global_assignment -name QIP_FILE video/lpm_latch1.qip +set_global_assignment -name QIP_FILE video/lpm_constant4.qip +set_global_assignment -name QIP_FILE video/lpm_shiftreg2.qip +set_global_assignment -name QIP_FILE video/BLITTER/lpm_clshift0.qip +set_global_assignment -name SOURCE_FILE video/BLITTER/blitter.tdf.ALT +set_global_assignment -name QIP_FILE video/lpm_compare1.qip +set_global_assignment -name SOURCE_FILE video/lpm_shiftreg2.cmp +set_global_assignment -name SOURCE_FILE video/lpm_bustri2.cmp +set_global_assignment -name VHDL_FILE video/lpm_fifo_dc0.vhd +set_global_assignment -name SOURCE_FILE video/lpm_shiftreg3.cmp +set_global_assignment -name VHDL_FILE video/lpm_bustri5.vhd +set_global_assignment -name QIP_FILE video/lpm_ff4.qip +set_global_assignment -name QIP_FILE video/lpm_ff5.qip +set_global_assignment -name QIP_FILE video/lpm_ff6.qip +set_global_assignment -name SOURCE_FILE video/lpm_bustri6.cmp +set_global_assignment -name QIP_FILE video/BLITTER/altsyncram0.qip set_global_assignment -name VHDL_FILE DSP/DSP.vhd set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/FalconIO_SDCard_IDE_CF.vhd set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF5380/wf5380_control.vhd @@ -864,4 +864,6 @@ set_global_assignment -name QIP_FILE lpm_shiftreg0.qip set_global_assignment -name QIP_FILE lpm_counter1.qip set_global_assignment -name QIP_FILE altiobuf_bidir0.qip set_global_assignment -name VHDL_FILE flexbus_register.vhd + + set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/FPGA_Quartus_13.1/Video/BLITTER/BLITTER.vhd b/FPGA_Quartus_13.1/video/BLITTER/BLITTER.vhd similarity index 100% rename from FPGA_Quartus_13.1/Video/BLITTER/BLITTER.vhd rename to FPGA_Quartus_13.1/video/BLITTER/BLITTER.vhd diff --git a/FPGA_Quartus_13.1/Video/BLITTER/altsyncram0.qip b/FPGA_Quartus_13.1/video/BLITTER/altsyncram0.qip similarity index 100% rename from FPGA_Quartus_13.1/Video/BLITTER/altsyncram0.qip rename to FPGA_Quartus_13.1/video/BLITTER/altsyncram0.qip diff --git a/FPGA_Quartus_13.1/Video/BLITTER/lpm_clshift0.qip b/FPGA_Quartus_13.1/video/BLITTER/lpm_clshift0.qip similarity index 100% rename from FPGA_Quartus_13.1/Video/BLITTER/lpm_clshift0.qip rename to FPGA_Quartus_13.1/video/BLITTER/lpm_clshift0.qip diff --git a/FPGA_Quartus_13.1/Video/altddio_bidir0.bsf b/FPGA_Quartus_13.1/video/altddio_bidir0.bsf similarity index 100% rename from FPGA_Quartus_13.1/Video/altddio_bidir0.bsf rename to FPGA_Quartus_13.1/video/altddio_bidir0.bsf diff --git a/FPGA_Quartus_13.1/Video/altddio_bidir0.cmp b/FPGA_Quartus_13.1/video/altddio_bidir0.cmp similarity index 100% rename from FPGA_Quartus_13.1/Video/altddio_bidir0.cmp rename to FPGA_Quartus_13.1/video/altddio_bidir0.cmp diff --git a/FPGA_Quartus_13.1/Video/altddio_bidir0.inc b/FPGA_Quartus_13.1/video/altddio_bidir0.inc similarity index 100% rename from FPGA_Quartus_13.1/Video/altddio_bidir0.inc rename to FPGA_Quartus_13.1/video/altddio_bidir0.inc diff --git a/FPGA_Quartus_13.1/Video/altddio_bidir0.ppf b/FPGA_Quartus_13.1/video/altddio_bidir0.ppf similarity index 100% rename from FPGA_Quartus_13.1/Video/altddio_bidir0.ppf rename to FPGA_Quartus_13.1/video/altddio_bidir0.ppf diff --git a/FPGA_Quartus_13.1/Video/altddio_bidir0.qip b/FPGA_Quartus_13.1/video/altddio_bidir0.qip similarity index 100% rename from FPGA_Quartus_13.1/Video/altddio_bidir0.qip rename to FPGA_Quartus_13.1/video/altddio_bidir0.qip diff --git a/FPGA_Quartus_13.1/Video/altddio_bidir0.vhd b/FPGA_Quartus_13.1/video/altddio_bidir0.vhd similarity index 100% rename from FPGA_Quartus_13.1/Video/altddio_bidir0.vhd rename to FPGA_Quartus_13.1/video/altddio_bidir0.vhd diff --git a/FPGA_Quartus_13.1/Video/altddio_out0.bsf b/FPGA_Quartus_13.1/video/altddio_out0.bsf similarity index 100% rename from FPGA_Quartus_13.1/Video/altddio_out0.bsf rename to FPGA_Quartus_13.1/video/altddio_out0.bsf diff --git a/FPGA_Quartus_13.1/Video/altddio_out0.cmp b/FPGA_Quartus_13.1/video/altddio_out0.cmp similarity index 100% rename from FPGA_Quartus_13.1/Video/altddio_out0.cmp rename to FPGA_Quartus_13.1/video/altddio_out0.cmp diff --git a/FPGA_Quartus_13.1/Video/altddio_out0.inc b/FPGA_Quartus_13.1/video/altddio_out0.inc similarity index 100% rename from FPGA_Quartus_13.1/Video/altddio_out0.inc rename to FPGA_Quartus_13.1/video/altddio_out0.inc diff --git a/FPGA_Quartus_13.1/Video/altddio_out0.ppf b/FPGA_Quartus_13.1/video/altddio_out0.ppf similarity index 100% rename from FPGA_Quartus_13.1/Video/altddio_out0.ppf rename to FPGA_Quartus_13.1/video/altddio_out0.ppf diff --git a/FPGA_Quartus_13.1/Video/altddio_out0.qip b/FPGA_Quartus_13.1/video/altddio_out0.qip similarity index 100% rename from FPGA_Quartus_13.1/Video/altddio_out0.qip rename to FPGA_Quartus_13.1/video/altddio_out0.qip diff --git a/FPGA_Quartus_13.1/Video/altddio_out0.vhd b/FPGA_Quartus_13.1/video/altddio_out0.vhd similarity index 100% rename from FPGA_Quartus_13.1/Video/altddio_out0.vhd rename to FPGA_Quartus_13.1/video/altddio_out0.vhd diff --git a/FPGA_Quartus_13.1/Video/altddio_out1.bsf b/FPGA_Quartus_13.1/video/altddio_out1.bsf similarity index 100% rename from FPGA_Quartus_13.1/Video/altddio_out1.bsf rename to FPGA_Quartus_13.1/video/altddio_out1.bsf diff --git a/FPGA_Quartus_13.1/Video/altddio_out1.cmp b/FPGA_Quartus_13.1/video/altddio_out1.cmp similarity index 100% rename from FPGA_Quartus_13.1/Video/altddio_out1.cmp rename to FPGA_Quartus_13.1/video/altddio_out1.cmp diff --git a/FPGA_Quartus_13.1/Video/altddio_out1.inc b/FPGA_Quartus_13.1/video/altddio_out1.inc similarity index 100% rename from FPGA_Quartus_13.1/Video/altddio_out1.inc rename to FPGA_Quartus_13.1/video/altddio_out1.inc diff --git a/FPGA_Quartus_13.1/Video/altddio_out1.ppf b/FPGA_Quartus_13.1/video/altddio_out1.ppf similarity index 100% rename from FPGA_Quartus_13.1/Video/altddio_out1.ppf rename to FPGA_Quartus_13.1/video/altddio_out1.ppf diff --git a/FPGA_Quartus_13.1/Video/altddio_out1.qip b/FPGA_Quartus_13.1/video/altddio_out1.qip similarity index 100% rename from FPGA_Quartus_13.1/Video/altddio_out1.qip rename to FPGA_Quartus_13.1/video/altddio_out1.qip diff --git a/FPGA_Quartus_13.1/Video/altddio_out1.vhd b/FPGA_Quartus_13.1/video/altddio_out1.vhd similarity index 100% rename from FPGA_Quartus_13.1/Video/altddio_out1.vhd rename to FPGA_Quartus_13.1/video/altddio_out1.vhd diff --git a/FPGA_Quartus_13.1/Video/altddio_out2.bsf b/FPGA_Quartus_13.1/video/altddio_out2.bsf similarity index 100% rename from FPGA_Quartus_13.1/Video/altddio_out2.bsf rename to FPGA_Quartus_13.1/video/altddio_out2.bsf diff --git a/FPGA_Quartus_13.1/Video/altddio_out2.cmp b/FPGA_Quartus_13.1/video/altddio_out2.cmp similarity index 100% rename from FPGA_Quartus_13.1/Video/altddio_out2.cmp rename to FPGA_Quartus_13.1/video/altddio_out2.cmp diff --git a/FPGA_Quartus_13.1/Video/altddio_out2.inc b/FPGA_Quartus_13.1/video/altddio_out2.inc similarity index 100% rename from FPGA_Quartus_13.1/Video/altddio_out2.inc rename to FPGA_Quartus_13.1/video/altddio_out2.inc diff --git a/FPGA_Quartus_13.1/Video/altddio_out2.ppf b/FPGA_Quartus_13.1/video/altddio_out2.ppf similarity index 100% rename from FPGA_Quartus_13.1/Video/altddio_out2.ppf rename to FPGA_Quartus_13.1/video/altddio_out2.ppf diff --git a/FPGA_Quartus_13.1/Video/altddio_out2.qip b/FPGA_Quartus_13.1/video/altddio_out2.qip similarity index 100% rename from FPGA_Quartus_13.1/Video/altddio_out2.qip rename to FPGA_Quartus_13.1/video/altddio_out2.qip diff --git a/FPGA_Quartus_13.1/Video/altddio_out2.vhd b/FPGA_Quartus_13.1/video/altddio_out2.vhd similarity index 100% rename from FPGA_Quartus_13.1/Video/altddio_out2.vhd rename to FPGA_Quartus_13.1/video/altddio_out2.vhd diff --git a/FPGA_Quartus_13.1/Video/altdpram0.bsf b/FPGA_Quartus_13.1/video/altdpram0.bsf similarity index 100% rename from FPGA_Quartus_13.1/Video/altdpram0.bsf rename to FPGA_Quartus_13.1/video/altdpram0.bsf diff --git a/FPGA_Quartus_13.1/Video/altdpram0.cmp b/FPGA_Quartus_13.1/video/altdpram0.cmp similarity index 100% rename from FPGA_Quartus_13.1/Video/altdpram0.cmp rename to FPGA_Quartus_13.1/video/altdpram0.cmp diff --git a/FPGA_Quartus_13.1/Video/altdpram0.inc b/FPGA_Quartus_13.1/video/altdpram0.inc similarity index 100% rename from FPGA_Quartus_13.1/Video/altdpram0.inc rename to FPGA_Quartus_13.1/video/altdpram0.inc diff --git a/FPGA_Quartus_13.1/Video/altdpram0.qip b/FPGA_Quartus_13.1/video/altdpram0.qip similarity index 100% rename from FPGA_Quartus_13.1/Video/altdpram0.qip rename to FPGA_Quartus_13.1/video/altdpram0.qip diff --git a/FPGA_Quartus_13.1/Video/altdpram0.vhd b/FPGA_Quartus_13.1/video/altdpram0.vhd similarity index 100% rename from FPGA_Quartus_13.1/Video/altdpram0.vhd rename to FPGA_Quartus_13.1/video/altdpram0.vhd diff --git a/FPGA_Quartus_13.1/Video/altdpram1.bsf b/FPGA_Quartus_13.1/video/altdpram1.bsf similarity index 100% rename from FPGA_Quartus_13.1/Video/altdpram1.bsf rename to FPGA_Quartus_13.1/video/altdpram1.bsf diff --git a/FPGA_Quartus_13.1/Video/altdpram1.cmp b/FPGA_Quartus_13.1/video/altdpram1.cmp similarity index 100% rename from FPGA_Quartus_13.1/Video/altdpram1.cmp rename to FPGA_Quartus_13.1/video/altdpram1.cmp diff --git a/FPGA_Quartus_13.1/Video/altdpram1.inc b/FPGA_Quartus_13.1/video/altdpram1.inc similarity index 100% rename from FPGA_Quartus_13.1/Video/altdpram1.inc rename to FPGA_Quartus_13.1/video/altdpram1.inc diff --git a/FPGA_Quartus_13.1/Video/altdpram1.qip b/FPGA_Quartus_13.1/video/altdpram1.qip similarity index 100% rename from FPGA_Quartus_13.1/Video/altdpram1.qip rename to FPGA_Quartus_13.1/video/altdpram1.qip diff --git a/FPGA_Quartus_13.1/Video/altdpram1.vhd b/FPGA_Quartus_13.1/video/altdpram1.vhd similarity index 100% rename from FPGA_Quartus_13.1/Video/altdpram1.vhd rename to FPGA_Quartus_13.1/video/altdpram1.vhd diff --git a/FPGA_Quartus_13.1/Video/altdpram2.bsf b/FPGA_Quartus_13.1/video/altdpram2.bsf similarity index 100% rename from FPGA_Quartus_13.1/Video/altdpram2.bsf rename to FPGA_Quartus_13.1/video/altdpram2.bsf diff --git a/FPGA_Quartus_13.1/Video/altdpram2.cmp b/FPGA_Quartus_13.1/video/altdpram2.cmp similarity index 100% rename from FPGA_Quartus_13.1/Video/altdpram2.cmp rename to FPGA_Quartus_13.1/video/altdpram2.cmp diff --git a/FPGA_Quartus_13.1/Video/altdpram2.inc b/FPGA_Quartus_13.1/video/altdpram2.inc similarity index 100% rename from FPGA_Quartus_13.1/Video/altdpram2.inc rename to FPGA_Quartus_13.1/video/altdpram2.inc diff --git a/FPGA_Quartus_13.1/Video/altdpram2.qip b/FPGA_Quartus_13.1/video/altdpram2.qip similarity index 100% rename from FPGA_Quartus_13.1/Video/altdpram2.qip rename to FPGA_Quartus_13.1/video/altdpram2.qip diff --git a/FPGA_Quartus_13.1/Video/altdpram2.vhd b/FPGA_Quartus_13.1/video/altdpram2.vhd similarity index 100% rename from FPGA_Quartus_13.1/Video/altdpram2.vhd rename to FPGA_Quartus_13.1/video/altdpram2.vhd diff --git a/FPGA_Quartus_13.1/Video/DDR_CTR.vhd b/FPGA_Quartus_13.1/video/ddr_controller.vhd similarity index 100% rename from FPGA_Quartus_13.1/Video/DDR_CTR.vhd rename to FPGA_Quartus_13.1/video/ddr_controller.vhd diff --git a/FPGA_Quartus_13.1/Video/lpm_bustri0.bsf b/FPGA_Quartus_13.1/video/lpm_bustri0.bsf similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_bustri0.bsf rename to FPGA_Quartus_13.1/video/lpm_bustri0.bsf diff --git a/FPGA_Quartus_13.1/Video/lpm_bustri0.cmp b/FPGA_Quartus_13.1/video/lpm_bustri0.cmp similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_bustri0.cmp rename to FPGA_Quartus_13.1/video/lpm_bustri0.cmp diff --git a/FPGA_Quartus_13.1/Video/lpm_bustri0.inc b/FPGA_Quartus_13.1/video/lpm_bustri0.inc similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_bustri0.inc rename to FPGA_Quartus_13.1/video/lpm_bustri0.inc diff --git a/FPGA_Quartus_13.1/Video/lpm_bustri0.qip b/FPGA_Quartus_13.1/video/lpm_bustri0.qip similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_bustri0.qip rename to FPGA_Quartus_13.1/video/lpm_bustri0.qip diff --git a/FPGA_Quartus_13.1/Video/lpm_bustri0.vhd b/FPGA_Quartus_13.1/video/lpm_bustri0.vhd similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_bustri0.vhd rename to FPGA_Quartus_13.1/video/lpm_bustri0.vhd diff --git a/FPGA_Quartus_13.1/Video/lpm_bustri1.bsf b/FPGA_Quartus_13.1/video/lpm_bustri1.bsf similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_bustri1.bsf rename to FPGA_Quartus_13.1/video/lpm_bustri1.bsf diff --git a/FPGA_Quartus_13.1/Video/lpm_bustri1.cmp b/FPGA_Quartus_13.1/video/lpm_bustri1.cmp similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_bustri1.cmp rename to FPGA_Quartus_13.1/video/lpm_bustri1.cmp diff --git a/FPGA_Quartus_13.1/Video/lpm_bustri1.qip b/FPGA_Quartus_13.1/video/lpm_bustri1.qip similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_bustri1.qip rename to FPGA_Quartus_13.1/video/lpm_bustri1.qip diff --git a/FPGA_Quartus_13.1/Video/lpm_bustri1.vhd b/FPGA_Quartus_13.1/video/lpm_bustri1.vhd similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_bustri1.vhd rename to FPGA_Quartus_13.1/video/lpm_bustri1.vhd diff --git a/FPGA_Quartus_13.1/Video/lpm_bustri2.bsf b/FPGA_Quartus_13.1/video/lpm_bustri2.bsf similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_bustri2.bsf rename to FPGA_Quartus_13.1/video/lpm_bustri2.bsf diff --git a/FPGA_Quartus_13.1/Video/lpm_bustri2.cmp b/FPGA_Quartus_13.1/video/lpm_bustri2.cmp similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_bustri2.cmp rename to FPGA_Quartus_13.1/video/lpm_bustri2.cmp diff --git a/FPGA_Quartus_13.1/Video/lpm_bustri2.qip b/FPGA_Quartus_13.1/video/lpm_bustri2.qip similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_bustri2.qip rename to FPGA_Quartus_13.1/video/lpm_bustri2.qip diff --git a/FPGA_Quartus_13.1/Video/lpm_bustri2.vhd b/FPGA_Quartus_13.1/video/lpm_bustri2.vhd similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_bustri2.vhd rename to FPGA_Quartus_13.1/video/lpm_bustri2.vhd diff --git a/FPGA_Quartus_13.1/Video/lpm_bustri3.bsf b/FPGA_Quartus_13.1/video/lpm_bustri3.bsf similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_bustri3.bsf rename to FPGA_Quartus_13.1/video/lpm_bustri3.bsf diff --git a/FPGA_Quartus_13.1/Video/lpm_bustri3.cmp b/FPGA_Quartus_13.1/video/lpm_bustri3.cmp similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_bustri3.cmp rename to FPGA_Quartus_13.1/video/lpm_bustri3.cmp diff --git a/FPGA_Quartus_13.1/Video/lpm_bustri3.qip b/FPGA_Quartus_13.1/video/lpm_bustri3.qip similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_bustri3.qip rename to FPGA_Quartus_13.1/video/lpm_bustri3.qip diff --git a/FPGA_Quartus_13.1/Video/lpm_bustri3.vhd b/FPGA_Quartus_13.1/video/lpm_bustri3.vhd similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_bustri3.vhd rename to FPGA_Quartus_13.1/video/lpm_bustri3.vhd diff --git a/FPGA_Quartus_13.1/Video/lpm_bustri4.bsf b/FPGA_Quartus_13.1/video/lpm_bustri4.bsf similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_bustri4.bsf rename to FPGA_Quartus_13.1/video/lpm_bustri4.bsf diff --git a/FPGA_Quartus_13.1/Video/lpm_bustri4.cmp b/FPGA_Quartus_13.1/video/lpm_bustri4.cmp similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_bustri4.cmp rename to FPGA_Quartus_13.1/video/lpm_bustri4.cmp diff --git a/FPGA_Quartus_13.1/Video/lpm_bustri4.qip b/FPGA_Quartus_13.1/video/lpm_bustri4.qip similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_bustri4.qip rename to FPGA_Quartus_13.1/video/lpm_bustri4.qip diff --git a/FPGA_Quartus_13.1/Video/lpm_bustri4.vhd b/FPGA_Quartus_13.1/video/lpm_bustri4.vhd similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_bustri4.vhd rename to FPGA_Quartus_13.1/video/lpm_bustri4.vhd diff --git a/FPGA_Quartus_13.1/Video/lpm_bustri5.bsf b/FPGA_Quartus_13.1/video/lpm_bustri5.bsf similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_bustri5.bsf rename to FPGA_Quartus_13.1/video/lpm_bustri5.bsf diff --git a/FPGA_Quartus_13.1/Video/lpm_bustri5.cmp b/FPGA_Quartus_13.1/video/lpm_bustri5.cmp similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_bustri5.cmp rename to FPGA_Quartus_13.1/video/lpm_bustri5.cmp diff --git a/FPGA_Quartus_13.1/Video/lpm_bustri5.inc b/FPGA_Quartus_13.1/video/lpm_bustri5.inc similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_bustri5.inc rename to FPGA_Quartus_13.1/video/lpm_bustri5.inc diff --git a/FPGA_Quartus_13.1/Video/lpm_bustri5.qip b/FPGA_Quartus_13.1/video/lpm_bustri5.qip similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_bustri5.qip rename to FPGA_Quartus_13.1/video/lpm_bustri5.qip diff --git a/FPGA_Quartus_13.1/Video/lpm_bustri5.vhd b/FPGA_Quartus_13.1/video/lpm_bustri5.vhd similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_bustri5.vhd rename to FPGA_Quartus_13.1/video/lpm_bustri5.vhd diff --git a/FPGA_Quartus_13.1/Video/lpm_bustri6.bsf b/FPGA_Quartus_13.1/video/lpm_bustri6.bsf similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_bustri6.bsf rename to FPGA_Quartus_13.1/video/lpm_bustri6.bsf diff --git a/FPGA_Quartus_13.1/Video/lpm_bustri6.cmp b/FPGA_Quartus_13.1/video/lpm_bustri6.cmp similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_bustri6.cmp rename to FPGA_Quartus_13.1/video/lpm_bustri6.cmp diff --git a/FPGA_Quartus_13.1/Video/lpm_bustri6.qip b/FPGA_Quartus_13.1/video/lpm_bustri6.qip similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_bustri6.qip rename to FPGA_Quartus_13.1/video/lpm_bustri6.qip diff --git a/FPGA_Quartus_13.1/Video/lpm_bustri6.vhd b/FPGA_Quartus_13.1/video/lpm_bustri6.vhd similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_bustri6.vhd rename to FPGA_Quartus_13.1/video/lpm_bustri6.vhd diff --git a/FPGA_Quartus_13.1/Video/lpm_bustri7.bsf b/FPGA_Quartus_13.1/video/lpm_bustri7.bsf similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_bustri7.bsf rename to FPGA_Quartus_13.1/video/lpm_bustri7.bsf diff --git a/FPGA_Quartus_13.1/Video/lpm_bustri7.cmp b/FPGA_Quartus_13.1/video/lpm_bustri7.cmp similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_bustri7.cmp rename to FPGA_Quartus_13.1/video/lpm_bustri7.cmp diff --git a/FPGA_Quartus_13.1/Video/lpm_bustri7.qip b/FPGA_Quartus_13.1/video/lpm_bustri7.qip similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_bustri7.qip rename to FPGA_Quartus_13.1/video/lpm_bustri7.qip diff --git a/FPGA_Quartus_13.1/Video/lpm_bustri7.vhd b/FPGA_Quartus_13.1/video/lpm_bustri7.vhd similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_bustri7.vhd rename to FPGA_Quartus_13.1/video/lpm_bustri7.vhd diff --git a/FPGA_Quartus_13.1/Video/lpm_compare1.bsf b/FPGA_Quartus_13.1/video/lpm_compare1.bsf similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_compare1.bsf rename to FPGA_Quartus_13.1/video/lpm_compare1.bsf diff --git a/FPGA_Quartus_13.1/Video/lpm_compare1.cmp b/FPGA_Quartus_13.1/video/lpm_compare1.cmp similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_compare1.cmp rename to FPGA_Quartus_13.1/video/lpm_compare1.cmp diff --git a/FPGA_Quartus_13.1/Video/lpm_compare1.inc b/FPGA_Quartus_13.1/video/lpm_compare1.inc similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_compare1.inc rename to FPGA_Quartus_13.1/video/lpm_compare1.inc diff --git a/FPGA_Quartus_13.1/Video/lpm_compare1.qip b/FPGA_Quartus_13.1/video/lpm_compare1.qip similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_compare1.qip rename to FPGA_Quartus_13.1/video/lpm_compare1.qip diff --git a/FPGA_Quartus_13.1/Video/lpm_compare1.vhd b/FPGA_Quartus_13.1/video/lpm_compare1.vhd similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_compare1.vhd rename to FPGA_Quartus_13.1/video/lpm_compare1.vhd diff --git a/FPGA_Quartus_13.1/Video/lpm_constant0.bsf b/FPGA_Quartus_13.1/video/lpm_constant0.bsf similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_constant0.bsf rename to FPGA_Quartus_13.1/video/lpm_constant0.bsf diff --git a/FPGA_Quartus_13.1/Video/lpm_constant0.cmp b/FPGA_Quartus_13.1/video/lpm_constant0.cmp similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_constant0.cmp rename to FPGA_Quartus_13.1/video/lpm_constant0.cmp diff --git a/FPGA_Quartus_13.1/Video/lpm_constant0.qip b/FPGA_Quartus_13.1/video/lpm_constant0.qip similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_constant0.qip rename to FPGA_Quartus_13.1/video/lpm_constant0.qip diff --git a/FPGA_Quartus_13.1/Video/lpm_constant0.vhd b/FPGA_Quartus_13.1/video/lpm_constant0.vhd similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_constant0.vhd rename to FPGA_Quartus_13.1/video/lpm_constant0.vhd diff --git a/FPGA_Quartus_13.1/Video/lpm_constant1.bsf b/FPGA_Quartus_13.1/video/lpm_constant1.bsf similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_constant1.bsf rename to FPGA_Quartus_13.1/video/lpm_constant1.bsf diff --git a/FPGA_Quartus_13.1/Video/lpm_constant1.cmp b/FPGA_Quartus_13.1/video/lpm_constant1.cmp similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_constant1.cmp rename to FPGA_Quartus_13.1/video/lpm_constant1.cmp diff --git a/FPGA_Quartus_13.1/Video/lpm_constant1.inc b/FPGA_Quartus_13.1/video/lpm_constant1.inc similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_constant1.inc rename to FPGA_Quartus_13.1/video/lpm_constant1.inc diff --git a/FPGA_Quartus_13.1/Video/lpm_constant1.qip b/FPGA_Quartus_13.1/video/lpm_constant1.qip similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_constant1.qip rename to FPGA_Quartus_13.1/video/lpm_constant1.qip diff --git a/FPGA_Quartus_13.1/Video/lpm_constant1.vhd b/FPGA_Quartus_13.1/video/lpm_constant1.vhd similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_constant1.vhd rename to FPGA_Quartus_13.1/video/lpm_constant1.vhd diff --git a/FPGA_Quartus_13.1/Video/lpm_constant2.bsf b/FPGA_Quartus_13.1/video/lpm_constant2.bsf similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_constant2.bsf rename to FPGA_Quartus_13.1/video/lpm_constant2.bsf diff --git a/FPGA_Quartus_13.1/Video/lpm_constant2.cmp b/FPGA_Quartus_13.1/video/lpm_constant2.cmp similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_constant2.cmp rename to FPGA_Quartus_13.1/video/lpm_constant2.cmp diff --git a/FPGA_Quartus_13.1/Video/lpm_constant2.qip b/FPGA_Quartus_13.1/video/lpm_constant2.qip similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_constant2.qip rename to FPGA_Quartus_13.1/video/lpm_constant2.qip diff --git a/FPGA_Quartus_13.1/Video/lpm_constant2.vhd b/FPGA_Quartus_13.1/video/lpm_constant2.vhd similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_constant2.vhd rename to FPGA_Quartus_13.1/video/lpm_constant2.vhd diff --git a/FPGA_Quartus_13.1/Video/lpm_constant3.bsf b/FPGA_Quartus_13.1/video/lpm_constant3.bsf similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_constant3.bsf rename to FPGA_Quartus_13.1/video/lpm_constant3.bsf diff --git a/FPGA_Quartus_13.1/Video/lpm_constant3.cmp b/FPGA_Quartus_13.1/video/lpm_constant3.cmp similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_constant3.cmp rename to FPGA_Quartus_13.1/video/lpm_constant3.cmp diff --git a/FPGA_Quartus_13.1/Video/lpm_constant3.qip b/FPGA_Quartus_13.1/video/lpm_constant3.qip similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_constant3.qip rename to FPGA_Quartus_13.1/video/lpm_constant3.qip diff --git a/FPGA_Quartus_13.1/Video/lpm_constant3.vhd b/FPGA_Quartus_13.1/video/lpm_constant3.vhd similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_constant3.vhd rename to FPGA_Quartus_13.1/video/lpm_constant3.vhd diff --git a/FPGA_Quartus_13.1/Video/lpm_constant4.bsf b/FPGA_Quartus_13.1/video/lpm_constant4.bsf similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_constant4.bsf rename to FPGA_Quartus_13.1/video/lpm_constant4.bsf diff --git a/FPGA_Quartus_13.1/Video/lpm_constant4.cmp b/FPGA_Quartus_13.1/video/lpm_constant4.cmp similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_constant4.cmp rename to FPGA_Quartus_13.1/video/lpm_constant4.cmp diff --git a/FPGA_Quartus_13.1/Video/lpm_constant4.inc b/FPGA_Quartus_13.1/video/lpm_constant4.inc similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_constant4.inc rename to FPGA_Quartus_13.1/video/lpm_constant4.inc diff --git a/FPGA_Quartus_13.1/Video/lpm_constant4.qip b/FPGA_Quartus_13.1/video/lpm_constant4.qip similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_constant4.qip rename to FPGA_Quartus_13.1/video/lpm_constant4.qip diff --git a/FPGA_Quartus_13.1/Video/lpm_constant4.vhd b/FPGA_Quartus_13.1/video/lpm_constant4.vhd similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_constant4.vhd rename to FPGA_Quartus_13.1/video/lpm_constant4.vhd diff --git a/FPGA_Quartus_13.1/Video/lpm_ff0.bsf b/FPGA_Quartus_13.1/video/lpm_ff0.bsf similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_ff0.bsf rename to FPGA_Quartus_13.1/video/lpm_ff0.bsf diff --git a/FPGA_Quartus_13.1/Video/lpm_ff0.cmp b/FPGA_Quartus_13.1/video/lpm_ff0.cmp similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_ff0.cmp rename to FPGA_Quartus_13.1/video/lpm_ff0.cmp diff --git a/FPGA_Quartus_13.1/Video/lpm_ff0.qip b/FPGA_Quartus_13.1/video/lpm_ff0.qip similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_ff0.qip rename to FPGA_Quartus_13.1/video/lpm_ff0.qip diff --git a/FPGA_Quartus_13.1/Video/lpm_ff0.vhd b/FPGA_Quartus_13.1/video/lpm_ff0.vhd similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_ff0.vhd rename to FPGA_Quartus_13.1/video/lpm_ff0.vhd diff --git a/FPGA_Quartus_13.1/Video/lpm_ff1.bsf b/FPGA_Quartus_13.1/video/lpm_ff1.bsf similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_ff1.bsf rename to FPGA_Quartus_13.1/video/lpm_ff1.bsf diff --git a/FPGA_Quartus_13.1/Video/lpm_ff1.cmp b/FPGA_Quartus_13.1/video/lpm_ff1.cmp similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_ff1.cmp rename to FPGA_Quartus_13.1/video/lpm_ff1.cmp diff --git a/FPGA_Quartus_13.1/Video/lpm_ff1.qip b/FPGA_Quartus_13.1/video/lpm_ff1.qip similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_ff1.qip rename to FPGA_Quartus_13.1/video/lpm_ff1.qip diff --git a/FPGA_Quartus_13.1/Video/lpm_ff1.vhd b/FPGA_Quartus_13.1/video/lpm_ff1.vhd similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_ff1.vhd rename to FPGA_Quartus_13.1/video/lpm_ff1.vhd diff --git a/FPGA_Quartus_13.1/Video/lpm_ff2.bsf b/FPGA_Quartus_13.1/video/lpm_ff2.bsf similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_ff2.bsf rename to FPGA_Quartus_13.1/video/lpm_ff2.bsf diff --git a/FPGA_Quartus_13.1/Video/lpm_ff2.cmp b/FPGA_Quartus_13.1/video/lpm_ff2.cmp similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_ff2.cmp rename to FPGA_Quartus_13.1/video/lpm_ff2.cmp diff --git a/FPGA_Quartus_13.1/Video/lpm_ff2.qip b/FPGA_Quartus_13.1/video/lpm_ff2.qip similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_ff2.qip rename to FPGA_Quartus_13.1/video/lpm_ff2.qip diff --git a/FPGA_Quartus_13.1/Video/lpm_ff2.vhd b/FPGA_Quartus_13.1/video/lpm_ff2.vhd similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_ff2.vhd rename to FPGA_Quartus_13.1/video/lpm_ff2.vhd diff --git a/FPGA_Quartus_13.1/Video/lpm_ff3.bsf b/FPGA_Quartus_13.1/video/lpm_ff3.bsf similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_ff3.bsf rename to FPGA_Quartus_13.1/video/lpm_ff3.bsf diff --git a/FPGA_Quartus_13.1/Video/lpm_ff3.cmp b/FPGA_Quartus_13.1/video/lpm_ff3.cmp similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_ff3.cmp rename to FPGA_Quartus_13.1/video/lpm_ff3.cmp diff --git a/FPGA_Quartus_13.1/Video/lpm_ff3.qip b/FPGA_Quartus_13.1/video/lpm_ff3.qip similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_ff3.qip rename to FPGA_Quartus_13.1/video/lpm_ff3.qip diff --git a/FPGA_Quartus_13.1/Video/lpm_ff3.vhd b/FPGA_Quartus_13.1/video/lpm_ff3.vhd similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_ff3.vhd rename to FPGA_Quartus_13.1/video/lpm_ff3.vhd diff --git a/FPGA_Quartus_13.1/Video/lpm_ff4.bsf b/FPGA_Quartus_13.1/video/lpm_ff4.bsf similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_ff4.bsf rename to FPGA_Quartus_13.1/video/lpm_ff4.bsf diff --git a/FPGA_Quartus_13.1/Video/lpm_ff4.cmp b/FPGA_Quartus_13.1/video/lpm_ff4.cmp similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_ff4.cmp rename to FPGA_Quartus_13.1/video/lpm_ff4.cmp diff --git a/FPGA_Quartus_13.1/Video/lpm_ff4.inc b/FPGA_Quartus_13.1/video/lpm_ff4.inc similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_ff4.inc rename to FPGA_Quartus_13.1/video/lpm_ff4.inc diff --git a/FPGA_Quartus_13.1/Video/lpm_ff4.qip b/FPGA_Quartus_13.1/video/lpm_ff4.qip similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_ff4.qip rename to FPGA_Quartus_13.1/video/lpm_ff4.qip diff --git a/FPGA_Quartus_13.1/Video/lpm_ff4.vhd b/FPGA_Quartus_13.1/video/lpm_ff4.vhd similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_ff4.vhd rename to FPGA_Quartus_13.1/video/lpm_ff4.vhd diff --git a/FPGA_Quartus_13.1/Video/lpm_ff5.bsf b/FPGA_Quartus_13.1/video/lpm_ff5.bsf similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_ff5.bsf rename to FPGA_Quartus_13.1/video/lpm_ff5.bsf diff --git a/FPGA_Quartus_13.1/Video/lpm_ff5.cmp b/FPGA_Quartus_13.1/video/lpm_ff5.cmp similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_ff5.cmp rename to FPGA_Quartus_13.1/video/lpm_ff5.cmp diff --git a/FPGA_Quartus_13.1/Video/lpm_ff5.inc b/FPGA_Quartus_13.1/video/lpm_ff5.inc similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_ff5.inc rename to FPGA_Quartus_13.1/video/lpm_ff5.inc diff --git a/FPGA_Quartus_13.1/Video/lpm_ff5.qip b/FPGA_Quartus_13.1/video/lpm_ff5.qip similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_ff5.qip rename to FPGA_Quartus_13.1/video/lpm_ff5.qip diff --git a/FPGA_Quartus_13.1/Video/lpm_ff5.vhd b/FPGA_Quartus_13.1/video/lpm_ff5.vhd similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_ff5.vhd rename to FPGA_Quartus_13.1/video/lpm_ff5.vhd diff --git a/FPGA_Quartus_13.1/Video/lpm_ff6.bsf b/FPGA_Quartus_13.1/video/lpm_ff6.bsf similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_ff6.bsf rename to FPGA_Quartus_13.1/video/lpm_ff6.bsf diff --git a/FPGA_Quartus_13.1/Video/lpm_ff6.cmp b/FPGA_Quartus_13.1/video/lpm_ff6.cmp similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_ff6.cmp rename to FPGA_Quartus_13.1/video/lpm_ff6.cmp diff --git a/FPGA_Quartus_13.1/Video/lpm_ff6.inc b/FPGA_Quartus_13.1/video/lpm_ff6.inc similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_ff6.inc rename to FPGA_Quartus_13.1/video/lpm_ff6.inc diff --git a/FPGA_Quartus_13.1/Video/lpm_ff6.qip b/FPGA_Quartus_13.1/video/lpm_ff6.qip similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_ff6.qip rename to FPGA_Quartus_13.1/video/lpm_ff6.qip diff --git a/FPGA_Quartus_13.1/Video/lpm_ff6.vhd b/FPGA_Quartus_13.1/video/lpm_ff6.vhd similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_ff6.vhd rename to FPGA_Quartus_13.1/video/lpm_ff6.vhd diff --git a/FPGA_Quartus_13.1/Video/lpm_fifoDZ.bsf b/FPGA_Quartus_13.1/video/lpm_fifoDZ.bsf similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_fifoDZ.bsf rename to FPGA_Quartus_13.1/video/lpm_fifoDZ.bsf diff --git a/FPGA_Quartus_13.1/Video/lpm_fifoDZ.cmp b/FPGA_Quartus_13.1/video/lpm_fifoDZ.cmp similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_fifoDZ.cmp rename to FPGA_Quartus_13.1/video/lpm_fifoDZ.cmp diff --git a/FPGA_Quartus_13.1/Video/lpm_fifoDZ.qip b/FPGA_Quartus_13.1/video/lpm_fifoDZ.qip similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_fifoDZ.qip rename to FPGA_Quartus_13.1/video/lpm_fifoDZ.qip diff --git a/FPGA_Quartus_13.1/Video/lpm_fifoDZ.vhd b/FPGA_Quartus_13.1/video/lpm_fifoDZ.vhd similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_fifoDZ.vhd rename to FPGA_Quartus_13.1/video/lpm_fifoDZ.vhd diff --git a/FPGA_Quartus_13.1/Video/lpm_fifo_dc0.bsf b/FPGA_Quartus_13.1/video/lpm_fifo_dc0.bsf similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_fifo_dc0.bsf rename to FPGA_Quartus_13.1/video/lpm_fifo_dc0.bsf diff --git a/FPGA_Quartus_13.1/Video/lpm_fifo_dc0.cmp b/FPGA_Quartus_13.1/video/lpm_fifo_dc0.cmp similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_fifo_dc0.cmp rename to FPGA_Quartus_13.1/video/lpm_fifo_dc0.cmp diff --git a/FPGA_Quartus_13.1/Video/lpm_fifo_dc0.inc b/FPGA_Quartus_13.1/video/lpm_fifo_dc0.inc similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_fifo_dc0.inc rename to FPGA_Quartus_13.1/video/lpm_fifo_dc0.inc diff --git a/FPGA_Quartus_13.1/Video/lpm_fifo_dc0.qip b/FPGA_Quartus_13.1/video/lpm_fifo_dc0.qip similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_fifo_dc0.qip rename to FPGA_Quartus_13.1/video/lpm_fifo_dc0.qip diff --git a/FPGA_Quartus_13.1/Video/lpm_fifo_dc0.vhd b/FPGA_Quartus_13.1/video/lpm_fifo_dc0.vhd similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_fifo_dc0.vhd rename to FPGA_Quartus_13.1/video/lpm_fifo_dc0.vhd diff --git a/FPGA_Quartus_13.1/Video/lpm_latch1.bsf b/FPGA_Quartus_13.1/video/lpm_latch1.bsf similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_latch1.bsf rename to FPGA_Quartus_13.1/video/lpm_latch1.bsf diff --git a/FPGA_Quartus_13.1/Video/lpm_latch1.cmp b/FPGA_Quartus_13.1/video/lpm_latch1.cmp similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_latch1.cmp rename to FPGA_Quartus_13.1/video/lpm_latch1.cmp diff --git a/FPGA_Quartus_13.1/Video/lpm_latch1.qip b/FPGA_Quartus_13.1/video/lpm_latch1.qip similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_latch1.qip rename to FPGA_Quartus_13.1/video/lpm_latch1.qip diff --git a/FPGA_Quartus_13.1/Video/lpm_latch1.vhd b/FPGA_Quartus_13.1/video/lpm_latch1.vhd similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_latch1.vhd rename to FPGA_Quartus_13.1/video/lpm_latch1.vhd diff --git a/FPGA_Quartus_13.1/Video/lpm_mux0.bsf b/FPGA_Quartus_13.1/video/lpm_mux0.bsf similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_mux0.bsf rename to FPGA_Quartus_13.1/video/lpm_mux0.bsf diff --git a/FPGA_Quartus_13.1/Video/lpm_mux0.cmp b/FPGA_Quartus_13.1/video/lpm_mux0.cmp similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_mux0.cmp rename to FPGA_Quartus_13.1/video/lpm_mux0.cmp diff --git a/FPGA_Quartus_13.1/Video/lpm_mux0.inc b/FPGA_Quartus_13.1/video/lpm_mux0.inc similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_mux0.inc rename to FPGA_Quartus_13.1/video/lpm_mux0.inc diff --git a/FPGA_Quartus_13.1/Video/lpm_mux0.qip b/FPGA_Quartus_13.1/video/lpm_mux0.qip similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_mux0.qip rename to FPGA_Quartus_13.1/video/lpm_mux0.qip diff --git a/FPGA_Quartus_13.1/Video/lpm_mux0.vhd b/FPGA_Quartus_13.1/video/lpm_mux0.vhd similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_mux0.vhd rename to FPGA_Quartus_13.1/video/lpm_mux0.vhd diff --git a/FPGA_Quartus_13.1/Video/lpm_mux1.bsf b/FPGA_Quartus_13.1/video/lpm_mux1.bsf similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_mux1.bsf rename to FPGA_Quartus_13.1/video/lpm_mux1.bsf diff --git a/FPGA_Quartus_13.1/Video/lpm_mux1.cmp b/FPGA_Quartus_13.1/video/lpm_mux1.cmp similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_mux1.cmp rename to FPGA_Quartus_13.1/video/lpm_mux1.cmp diff --git a/FPGA_Quartus_13.1/Video/lpm_mux1.inc b/FPGA_Quartus_13.1/video/lpm_mux1.inc similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_mux1.inc rename to FPGA_Quartus_13.1/video/lpm_mux1.inc diff --git a/FPGA_Quartus_13.1/Video/lpm_mux1.qip b/FPGA_Quartus_13.1/video/lpm_mux1.qip similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_mux1.qip rename to FPGA_Quartus_13.1/video/lpm_mux1.qip diff --git a/FPGA_Quartus_13.1/Video/lpm_mux1.vhd b/FPGA_Quartus_13.1/video/lpm_mux1.vhd similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_mux1.vhd rename to FPGA_Quartus_13.1/video/lpm_mux1.vhd diff --git a/FPGA_Quartus_13.1/Video/lpm_mux2.bsf b/FPGA_Quartus_13.1/video/lpm_mux2.bsf similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_mux2.bsf rename to FPGA_Quartus_13.1/video/lpm_mux2.bsf diff --git a/FPGA_Quartus_13.1/Video/lpm_mux2.cmp b/FPGA_Quartus_13.1/video/lpm_mux2.cmp similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_mux2.cmp rename to FPGA_Quartus_13.1/video/lpm_mux2.cmp diff --git a/FPGA_Quartus_13.1/Video/lpm_mux2.inc b/FPGA_Quartus_13.1/video/lpm_mux2.inc similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_mux2.inc rename to FPGA_Quartus_13.1/video/lpm_mux2.inc diff --git a/FPGA_Quartus_13.1/Video/lpm_mux2.qip b/FPGA_Quartus_13.1/video/lpm_mux2.qip similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_mux2.qip rename to FPGA_Quartus_13.1/video/lpm_mux2.qip diff --git a/FPGA_Quartus_13.1/Video/lpm_mux2.vhd b/FPGA_Quartus_13.1/video/lpm_mux2.vhd similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_mux2.vhd rename to FPGA_Quartus_13.1/video/lpm_mux2.vhd diff --git a/FPGA_Quartus_13.1/Video/lpm_mux3.bsf b/FPGA_Quartus_13.1/video/lpm_mux3.bsf similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_mux3.bsf rename to FPGA_Quartus_13.1/video/lpm_mux3.bsf diff --git a/FPGA_Quartus_13.1/Video/lpm_mux3.cmp b/FPGA_Quartus_13.1/video/lpm_mux3.cmp similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_mux3.cmp rename to FPGA_Quartus_13.1/video/lpm_mux3.cmp diff --git a/FPGA_Quartus_13.1/Video/lpm_mux3.qip b/FPGA_Quartus_13.1/video/lpm_mux3.qip similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_mux3.qip rename to FPGA_Quartus_13.1/video/lpm_mux3.qip diff --git a/FPGA_Quartus_13.1/Video/lpm_mux3.vhd b/FPGA_Quartus_13.1/video/lpm_mux3.vhd similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_mux3.vhd rename to FPGA_Quartus_13.1/video/lpm_mux3.vhd diff --git a/FPGA_Quartus_13.1/Video/lpm_mux4.bsf b/FPGA_Quartus_13.1/video/lpm_mux4.bsf similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_mux4.bsf rename to FPGA_Quartus_13.1/video/lpm_mux4.bsf diff --git a/FPGA_Quartus_13.1/Video/lpm_mux4.cmp b/FPGA_Quartus_13.1/video/lpm_mux4.cmp similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_mux4.cmp rename to FPGA_Quartus_13.1/video/lpm_mux4.cmp diff --git a/FPGA_Quartus_13.1/Video/lpm_mux4.qip b/FPGA_Quartus_13.1/video/lpm_mux4.qip similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_mux4.qip rename to FPGA_Quartus_13.1/video/lpm_mux4.qip diff --git a/FPGA_Quartus_13.1/Video/lpm_mux4.vhd b/FPGA_Quartus_13.1/video/lpm_mux4.vhd similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_mux4.vhd rename to FPGA_Quartus_13.1/video/lpm_mux4.vhd diff --git a/FPGA_Quartus_13.1/Video/lpm_mux5.bsf b/FPGA_Quartus_13.1/video/lpm_mux5.bsf similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_mux5.bsf rename to FPGA_Quartus_13.1/video/lpm_mux5.bsf diff --git a/FPGA_Quartus_13.1/Video/lpm_mux5.cmp b/FPGA_Quartus_13.1/video/lpm_mux5.cmp similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_mux5.cmp rename to FPGA_Quartus_13.1/video/lpm_mux5.cmp diff --git a/FPGA_Quartus_13.1/Video/lpm_mux5.inc b/FPGA_Quartus_13.1/video/lpm_mux5.inc similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_mux5.inc rename to FPGA_Quartus_13.1/video/lpm_mux5.inc diff --git a/FPGA_Quartus_13.1/Video/lpm_mux5.qip b/FPGA_Quartus_13.1/video/lpm_mux5.qip similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_mux5.qip rename to FPGA_Quartus_13.1/video/lpm_mux5.qip diff --git a/FPGA_Quartus_13.1/Video/lpm_mux5.vhd b/FPGA_Quartus_13.1/video/lpm_mux5.vhd similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_mux5.vhd rename to FPGA_Quartus_13.1/video/lpm_mux5.vhd diff --git a/FPGA_Quartus_13.1/Video/lpm_mux6.bsf b/FPGA_Quartus_13.1/video/lpm_mux6.bsf similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_mux6.bsf rename to FPGA_Quartus_13.1/video/lpm_mux6.bsf diff --git a/FPGA_Quartus_13.1/Video/lpm_mux6.cmp b/FPGA_Quartus_13.1/video/lpm_mux6.cmp similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_mux6.cmp rename to FPGA_Quartus_13.1/video/lpm_mux6.cmp diff --git a/FPGA_Quartus_13.1/Video/lpm_mux6.inc b/FPGA_Quartus_13.1/video/lpm_mux6.inc similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_mux6.inc rename to FPGA_Quartus_13.1/video/lpm_mux6.inc diff --git a/FPGA_Quartus_13.1/Video/lpm_mux6.qip b/FPGA_Quartus_13.1/video/lpm_mux6.qip similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_mux6.qip rename to FPGA_Quartus_13.1/video/lpm_mux6.qip diff --git a/FPGA_Quartus_13.1/Video/lpm_mux6.vhd b/FPGA_Quartus_13.1/video/lpm_mux6.vhd similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_mux6.vhd rename to FPGA_Quartus_13.1/video/lpm_mux6.vhd diff --git a/FPGA_Quartus_13.1/Video/lpm_muxDZ.bsf b/FPGA_Quartus_13.1/video/lpm_muxDZ.bsf similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_muxDZ.bsf rename to FPGA_Quartus_13.1/video/lpm_muxDZ.bsf diff --git a/FPGA_Quartus_13.1/Video/lpm_muxDZ.cmp b/FPGA_Quartus_13.1/video/lpm_muxDZ.cmp similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_muxDZ.cmp rename to FPGA_Quartus_13.1/video/lpm_muxDZ.cmp diff --git a/FPGA_Quartus_13.1/Video/lpm_muxDZ.qip b/FPGA_Quartus_13.1/video/lpm_muxDZ.qip similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_muxDZ.qip rename to FPGA_Quartus_13.1/video/lpm_muxDZ.qip diff --git a/FPGA_Quartus_13.1/Video/lpm_muxDZ.vhd b/FPGA_Quartus_13.1/video/lpm_muxDZ.vhd similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_muxDZ.vhd rename to FPGA_Quartus_13.1/video/lpm_muxDZ.vhd diff --git a/FPGA_Quartus_13.1/Video/lpm_muxDZ2.bsf b/FPGA_Quartus_13.1/video/lpm_muxDZ2.bsf similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_muxDZ2.bsf rename to FPGA_Quartus_13.1/video/lpm_muxDZ2.bsf diff --git a/FPGA_Quartus_13.1/Video/lpm_muxDZ2.cmp b/FPGA_Quartus_13.1/video/lpm_muxDZ2.cmp similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_muxDZ2.cmp rename to FPGA_Quartus_13.1/video/lpm_muxDZ2.cmp diff --git a/FPGA_Quartus_13.1/Video/lpm_muxDZ2.qip b/FPGA_Quartus_13.1/video/lpm_muxDZ2.qip similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_muxDZ2.qip rename to FPGA_Quartus_13.1/video/lpm_muxDZ2.qip diff --git a/FPGA_Quartus_13.1/Video/lpm_muxDZ2.vhd b/FPGA_Quartus_13.1/video/lpm_muxDZ2.vhd similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_muxDZ2.vhd rename to FPGA_Quartus_13.1/video/lpm_muxDZ2.vhd diff --git a/FPGA_Quartus_13.1/Video/lpm_muxVDM.bsf b/FPGA_Quartus_13.1/video/lpm_muxVDM.bsf similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_muxVDM.bsf rename to FPGA_Quartus_13.1/video/lpm_muxVDM.bsf diff --git a/FPGA_Quartus_13.1/Video/lpm_muxVDM.cmp b/FPGA_Quartus_13.1/video/lpm_muxVDM.cmp similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_muxVDM.cmp rename to FPGA_Quartus_13.1/video/lpm_muxVDM.cmp diff --git a/FPGA_Quartus_13.1/Video/lpm_muxVDM.qip b/FPGA_Quartus_13.1/video/lpm_muxVDM.qip similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_muxVDM.qip rename to FPGA_Quartus_13.1/video/lpm_muxVDM.qip diff --git a/FPGA_Quartus_13.1/Video/lpm_muxVDM.vhd b/FPGA_Quartus_13.1/video/lpm_muxVDM.vhd similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_muxVDM.vhd rename to FPGA_Quartus_13.1/video/lpm_muxVDM.vhd diff --git a/FPGA_Quartus_13.1/Video/lpm_shiftreg0.bsf b/FPGA_Quartus_13.1/video/lpm_shiftreg0.bsf similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_shiftreg0.bsf rename to FPGA_Quartus_13.1/video/lpm_shiftreg0.bsf diff --git a/FPGA_Quartus_13.1/Video/lpm_shiftreg0.cmp b/FPGA_Quartus_13.1/video/lpm_shiftreg0.cmp similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_shiftreg0.cmp rename to FPGA_Quartus_13.1/video/lpm_shiftreg0.cmp diff --git a/FPGA_Quartus_13.1/Video/lpm_shiftreg0.inc b/FPGA_Quartus_13.1/video/lpm_shiftreg0.inc similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_shiftreg0.inc rename to FPGA_Quartus_13.1/video/lpm_shiftreg0.inc diff --git a/FPGA_Quartus_13.1/Video/lpm_shiftreg0.qip b/FPGA_Quartus_13.1/video/lpm_shiftreg0.qip similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_shiftreg0.qip rename to FPGA_Quartus_13.1/video/lpm_shiftreg0.qip diff --git a/FPGA_Quartus_13.1/Video/lpm_shiftreg0.vhd b/FPGA_Quartus_13.1/video/lpm_shiftreg0.vhd similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_shiftreg0.vhd rename to FPGA_Quartus_13.1/video/lpm_shiftreg0.vhd diff --git a/FPGA_Quartus_13.1/Video/lpm_shiftreg1.bsf b/FPGA_Quartus_13.1/video/lpm_shiftreg1.bsf similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_shiftreg1.bsf rename to FPGA_Quartus_13.1/video/lpm_shiftreg1.bsf diff --git a/FPGA_Quartus_13.1/Video/lpm_shiftreg1.cmp b/FPGA_Quartus_13.1/video/lpm_shiftreg1.cmp similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_shiftreg1.cmp rename to FPGA_Quartus_13.1/video/lpm_shiftreg1.cmp diff --git a/FPGA_Quartus_13.1/Video/lpm_shiftreg1.qip b/FPGA_Quartus_13.1/video/lpm_shiftreg1.qip similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_shiftreg1.qip rename to FPGA_Quartus_13.1/video/lpm_shiftreg1.qip diff --git a/FPGA_Quartus_13.1/Video/lpm_shiftreg1.vhd b/FPGA_Quartus_13.1/video/lpm_shiftreg1.vhd similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_shiftreg1.vhd rename to FPGA_Quartus_13.1/video/lpm_shiftreg1.vhd diff --git a/FPGA_Quartus_13.1/Video/lpm_shiftreg2.bsf b/FPGA_Quartus_13.1/video/lpm_shiftreg2.bsf similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_shiftreg2.bsf rename to FPGA_Quartus_13.1/video/lpm_shiftreg2.bsf diff --git a/FPGA_Quartus_13.1/Video/lpm_shiftreg2.cmp b/FPGA_Quartus_13.1/video/lpm_shiftreg2.cmp similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_shiftreg2.cmp rename to FPGA_Quartus_13.1/video/lpm_shiftreg2.cmp diff --git a/FPGA_Quartus_13.1/Video/lpm_shiftreg2.qip b/FPGA_Quartus_13.1/video/lpm_shiftreg2.qip similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_shiftreg2.qip rename to FPGA_Quartus_13.1/video/lpm_shiftreg2.qip diff --git a/FPGA_Quartus_13.1/Video/lpm_shiftreg2.vhd b/FPGA_Quartus_13.1/video/lpm_shiftreg2.vhd similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_shiftreg2.vhd rename to FPGA_Quartus_13.1/video/lpm_shiftreg2.vhd diff --git a/FPGA_Quartus_13.1/Video/lpm_shiftreg3.bsf b/FPGA_Quartus_13.1/video/lpm_shiftreg3.bsf similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_shiftreg3.bsf rename to FPGA_Quartus_13.1/video/lpm_shiftreg3.bsf diff --git a/FPGA_Quartus_13.1/Video/lpm_shiftreg3.cmp b/FPGA_Quartus_13.1/video/lpm_shiftreg3.cmp similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_shiftreg3.cmp rename to FPGA_Quartus_13.1/video/lpm_shiftreg3.cmp diff --git a/FPGA_Quartus_13.1/Video/lpm_shiftreg3.inc b/FPGA_Quartus_13.1/video/lpm_shiftreg3.inc similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_shiftreg3.inc rename to FPGA_Quartus_13.1/video/lpm_shiftreg3.inc diff --git a/FPGA_Quartus_13.1/Video/lpm_shiftreg3.qip b/FPGA_Quartus_13.1/video/lpm_shiftreg3.qip similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_shiftreg3.qip rename to FPGA_Quartus_13.1/video/lpm_shiftreg3.qip diff --git a/FPGA_Quartus_13.1/Video/lpm_shiftreg3.vhd b/FPGA_Quartus_13.1/video/lpm_shiftreg3.vhd similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_shiftreg3.vhd rename to FPGA_Quartus_13.1/video/lpm_shiftreg3.vhd diff --git a/FPGA_Quartus_13.1/Video/lpm_shiftreg4.bsf b/FPGA_Quartus_13.1/video/lpm_shiftreg4.bsf similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_shiftreg4.bsf rename to FPGA_Quartus_13.1/video/lpm_shiftreg4.bsf diff --git a/FPGA_Quartus_13.1/Video/lpm_shiftreg4.cmp b/FPGA_Quartus_13.1/video/lpm_shiftreg4.cmp similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_shiftreg4.cmp rename to FPGA_Quartus_13.1/video/lpm_shiftreg4.cmp diff --git a/FPGA_Quartus_13.1/Video/lpm_shiftreg4.inc b/FPGA_Quartus_13.1/video/lpm_shiftreg4.inc similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_shiftreg4.inc rename to FPGA_Quartus_13.1/video/lpm_shiftreg4.inc diff --git a/FPGA_Quartus_13.1/Video/lpm_shiftreg4.qip b/FPGA_Quartus_13.1/video/lpm_shiftreg4.qip similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_shiftreg4.qip rename to FPGA_Quartus_13.1/video/lpm_shiftreg4.qip diff --git a/FPGA_Quartus_13.1/Video/lpm_shiftreg4.vhd b/FPGA_Quartus_13.1/video/lpm_shiftreg4.vhd similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_shiftreg4.vhd rename to FPGA_Quartus_13.1/video/lpm_shiftreg4.vhd diff --git a/FPGA_Quartus_13.1/Video/lpm_shiftreg5.bsf b/FPGA_Quartus_13.1/video/lpm_shiftreg5.bsf similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_shiftreg5.bsf rename to FPGA_Quartus_13.1/video/lpm_shiftreg5.bsf diff --git a/FPGA_Quartus_13.1/Video/lpm_shiftreg5.cmp b/FPGA_Quartus_13.1/video/lpm_shiftreg5.cmp similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_shiftreg5.cmp rename to FPGA_Quartus_13.1/video/lpm_shiftreg5.cmp diff --git a/FPGA_Quartus_13.1/Video/lpm_shiftreg5.inc b/FPGA_Quartus_13.1/video/lpm_shiftreg5.inc similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_shiftreg5.inc rename to FPGA_Quartus_13.1/video/lpm_shiftreg5.inc diff --git a/FPGA_Quartus_13.1/Video/lpm_shiftreg5.qip b/FPGA_Quartus_13.1/video/lpm_shiftreg5.qip similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_shiftreg5.qip rename to FPGA_Quartus_13.1/video/lpm_shiftreg5.qip diff --git a/FPGA_Quartus_13.1/Video/lpm_shiftreg5.vhd b/FPGA_Quartus_13.1/video/lpm_shiftreg5.vhd similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_shiftreg5.vhd rename to FPGA_Quartus_13.1/video/lpm_shiftreg5.vhd diff --git a/FPGA_Quartus_13.1/Video/lpm_shiftreg6.bsf b/FPGA_Quartus_13.1/video/lpm_shiftreg6.bsf similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_shiftreg6.bsf rename to FPGA_Quartus_13.1/video/lpm_shiftreg6.bsf diff --git a/FPGA_Quartus_13.1/Video/lpm_shiftreg6.cmp b/FPGA_Quartus_13.1/video/lpm_shiftreg6.cmp similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_shiftreg6.cmp rename to FPGA_Quartus_13.1/video/lpm_shiftreg6.cmp diff --git a/FPGA_Quartus_13.1/Video/lpm_shiftreg6.inc b/FPGA_Quartus_13.1/video/lpm_shiftreg6.inc similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_shiftreg6.inc rename to FPGA_Quartus_13.1/video/lpm_shiftreg6.inc diff --git a/FPGA_Quartus_13.1/Video/lpm_shiftreg6.qip b/FPGA_Quartus_13.1/video/lpm_shiftreg6.qip similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_shiftreg6.qip rename to FPGA_Quartus_13.1/video/lpm_shiftreg6.qip diff --git a/FPGA_Quartus_13.1/Video/lpm_shiftreg6.vhd b/FPGA_Quartus_13.1/video/lpm_shiftreg6.vhd similarity index 100% rename from FPGA_Quartus_13.1/Video/lpm_shiftreg6.vhd rename to FPGA_Quartus_13.1/video/lpm_shiftreg6.vhd diff --git a/FPGA_Quartus_13.1/Video/mux41.vhd b/FPGA_Quartus_13.1/video/mux41.vhd similarity index 100% rename from FPGA_Quartus_13.1/Video/mux41.vhd rename to FPGA_Quartus_13.1/video/mux41.vhd diff --git a/FPGA_Quartus_13.1/Video/mux41_0.vhd b/FPGA_Quartus_13.1/video/mux41_0.vhd similarity index 100% rename from FPGA_Quartus_13.1/Video/mux41_0.vhd rename to FPGA_Quartus_13.1/video/mux41_0.vhd diff --git a/FPGA_Quartus_13.1/Video/mux41_1.vhd b/FPGA_Quartus_13.1/video/mux41_1.vhd similarity index 100% rename from FPGA_Quartus_13.1/Video/mux41_1.vhd rename to FPGA_Quartus_13.1/video/mux41_1.vhd diff --git a/FPGA_Quartus_13.1/Video/mux41_2.vhd b/FPGA_Quartus_13.1/video/mux41_2.vhd similarity index 100% rename from FPGA_Quartus_13.1/Video/mux41_2.vhd rename to FPGA_Quartus_13.1/video/mux41_2.vhd diff --git a/FPGA_Quartus_13.1/Video/mux41_3.vhd b/FPGA_Quartus_13.1/video/mux41_3.vhd similarity index 100% rename from FPGA_Quartus_13.1/Video/mux41_3.vhd rename to FPGA_Quartus_13.1/video/mux41_3.vhd diff --git a/FPGA_Quartus_13.1/Video/mux41_4.vhd b/FPGA_Quartus_13.1/video/mux41_4.vhd similarity index 100% rename from FPGA_Quartus_13.1/Video/mux41_4.vhd rename to FPGA_Quartus_13.1/video/mux41_4.vhd diff --git a/FPGA_Quartus_13.1/Video/mux41_5.vhd b/FPGA_Quartus_13.1/video/mux41_5.vhd similarity index 100% rename from FPGA_Quartus_13.1/Video/mux41_5.vhd rename to FPGA_Quartus_13.1/video/mux41_5.vhd diff --git a/FPGA_Quartus_13.1/Video/video.vhd b/FPGA_Quartus_13.1/video/video.vhd similarity index 100% rename from FPGA_Quartus_13.1/Video/video.vhd rename to FPGA_Quartus_13.1/video/video.vhd diff --git a/FPGA_Quartus_13.1/Video/video_mod_mux_clutctr.vhd b/FPGA_Quartus_13.1/video/video_mod_mux_clutctr.vhd similarity index 100% rename from FPGA_Quartus_13.1/Video/video_mod_mux_clutctr.vhd rename to FPGA_Quartus_13.1/video/video_mod_mux_clutctr.vhd