forked from Firebee/FPGA_Config
create datestamp on the fly during compilation
This commit is contained in:
15
FPGA_by_Fredi/compile_date.vhd
Normal file
15
FPGA_by_Fredi/compile_date.vhd
Normal file
@@ -0,0 +1,15 @@
|
||||
library ieee;
|
||||
use ieee.std_logic_1164.all;
|
||||
use work.datetime.all;
|
||||
|
||||
entity compile_date is
|
||||
port
|
||||
(
|
||||
datetime : out std_ulogic_vector(31 downto 0)
|
||||
);
|
||||
end entity compile_date;
|
||||
|
||||
architecture rtl of compile_date is
|
||||
begin
|
||||
datetime <= work.datetime.DATE_HEX_DMY;
|
||||
end architecture rtl;
|
||||
Reference in New Issue
Block a user