From 1b90408416f34f578d4771565f207575a7c86d77 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Markus=20Fr=C3=B6schle?= Date: Wed, 28 Aug 2019 08:12:52 +0200 Subject: [PATCH] add .gitignore and Makefile --- FPGA_by_Fredi/.gitignore | 5 +++++ FPGA_by_Fredi/Makefile | 39 +++++++++++++++++++++++++++++++++++++++ 2 files changed, 44 insertions(+) create mode 100644 FPGA_by_Fredi/.gitignore create mode 100644 FPGA_by_Fredi/Makefile diff --git a/FPGA_by_Fredi/.gitignore b/FPGA_by_Fredi/.gitignore new file mode 100644 index 0000000..2c3691b --- /dev/null +++ b/FPGA_by_Fredi/.gitignore @@ -0,0 +1,5 @@ + +db +incremental_db +*.rpt +*.summary diff --git a/FPGA_by_Fredi/Makefile b/FPGA_by_Fredi/Makefile new file mode 100644 index 0000000..ce95a1b --- /dev/null +++ b/FPGA_by_Fredi/Makefile @@ -0,0 +1,39 @@ +SHELL=/bin/csh + +ifeq ($(OS),Windows_NT) + ALTPATH=c:/opt/altera/9.1sp2/quartus/bin64 +else + ALTPATH=/opt/altera/9.1sp2/quartus/bin +endif +BITSTREAM=output_files/$(PROJ).sof +BUILD_DIR=. + +PROJ=firebee1 + +all: synthesis fitter assembler timing_analyzer eda + +precmd: + (cd $(BUILD_DIR); $(ALTPATH)/quartus_sh $(ALTOPT) -t precmd.tcl) + +synthesis: + (cd $(BUILD_DIR); $(ALTPATH)/quartus_map --read_settings_files=on --write_settings_files=off $(ALTOPT) $(PROJ) -c $(PROJ)) + +fitter: + (cd $(BUILD_DIR); $(ALTPATH)/quartus_fit --read_settings_files=off --write_settings_files=off $(ALTOPT) $(PROJ) -c $(PROJ)) + +assembler: + (cd $(BUILD_DIR); $(ALTPATH)/quartus_asm --read_settings_files=off --write_settings_files=off $(ALTOPT) $(PROJ) -c $(PROJ)) + +timing_analyzer: + (cd $(BUILD_DIR); $(ALTPATH)/quartus_sta $(ALTOPT) $(PROJ)) + +eda: + (cd $(BUILD_DIR); $(ALTPATH)/quartus_eda --simulation --read_settings_files=off --write_settings_files=off $(ALTOPT) $(PROJ) -c $(PROJ)) + +# program the beast +p: $(BITSTREAM) + (cd $(BUILD_DIR); $(ALTPATH)/quartus_pgm $(ALTOPT) -m JTAG -o P\;$(BITSTREAM)@1) + +.PHONY: clean +clean: + (cd $(BUILD_DIR); rm -rf db incremental_db)