Fitter report for firebee1 Sat Oct 20 18:39:28 2012 Quartus II 32-bit Version 12.0 Build 263 08/02/2012 Service Pack 2 SJ Web Edition --------------------- ; Table of Contents ; --------------------- 1. Legal Notice 2. Fitter Summary 3. Fitter Settings 4. Parallel Compilation 5. I/O Assignment Warnings 6. Ignored Assignments 7. Incremental Compilation Preservation Summary 8. Incremental Compilation Partition Settings 9. Incremental Compilation Placement Preservation 10. Pin-Out File 11. Fitter Resource Usage Summary 12. Fitter Partition Statistics 13. Input Pins 14. Output Pins 15. Bidir Pins 16. Dual Purpose and Dedicated Pins 17. I/O Bank Usage 18. All Package Pins 19. PLL Summary 20. PLL Usage 21. Fitter Resource Utilization by Entity 22. Delay Chain Summary 23. Pad To Core Delay Chain Fanout 24. Control Signals 25. Global & Other Fast Signals 26. Non-Global High Fan-Out Signals 27. Fitter RAM Summary 28. Fitter DSP Block Usage Summary 29. DSP Block Details 30. Interconnect Usage Summary 31. LAB Logic Elements 32. LAB-wide Signals 33. LAB Signals Sourced 34. LAB Signals Sourced Out 35. LAB Distinct Inputs 36. I/O Rules Summary 37. I/O Rules Details 38. I/O Rules Matrix 39. Fitter Device Options 40. Operating Settings and Conditions 41. Estimated Delay Added for Hold Timing Summary 42. Estimated Delay Added for Hold Timing Details 43. Fitter Messages ---------------- ; Legal Notice ; ---------------- Copyright (C) 1991-2012 Altera Corporation Your use of Altera Corporation's design tools, logic functions and other software and tools, and its AMPP partner logic functions, and any output files from any of the foregoing (including device programming or simulation files), and any associated documentation or information are expressly subject to the terms and conditions of the Altera Program License Subscription Agreement, Altera MegaCore Function License Agreement, or other applicable license agreement, including, without limitation, that your use is for the sole purpose of programming logic devices manufactured by Altera and sold by Altera or its authorized distributors. Please refer to the applicable agreement for further details. +------------------------------------------------------------------------------------+ ; Fitter Summary ; +------------------------------------+-----------------------------------------------+ ; Fitter Status ; Successful - Sat Oct 20 18:39:28 2012 ; ; Quartus II 32-bit Version ; 12.0 Build 263 08/02/2012 SP 2 SJ Web Edition ; ; Revision Name ; firebee1 ; ; Top-level Entity Name ; firebee1 ; ; Family ; Cyclone III ; ; Device ; EP3C40F484C6 ; ; Timing Models ; Final ; ; Total logic elements ; 9,435 / 39,600 ( 24 % ) ; ; Total combinational functions ; 7,967 / 39,600 ( 20 % ) ; ; Dedicated logic registers ; 4,622 / 39,600 ( 12 % ) ; ; Total registers ; 4750 ; ; Total pins ; 295 / 332 ( 89 % ) ; ; Total virtual pins ; 0 ; ; Total memory bits ; 109,344 / 1,161,216 ( 9 % ) ; ; Embedded Multiplier 9-bit elements ; 6 / 252 ( 2 % ) ; ; Total PLLs ; 4 / 4 ( 100 % ) ; +------------------------------------+-----------------------------------------------+ +------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Fitter Settings ; +----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+ ; Option ; Setting ; Default Value ; +----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+ ; Device ; EP3C40F484C6 ; ; ; Nominal Core Supply Voltage ; 1.2V ; ; ; Minimum Core Junction Temperature ; 0 ; ; ; Maximum Core Junction Temperature ; 85 ; ; ; Fit Attempts to Skip ; 0 ; 0.0 ; ; Device I/O Standard ; 3.3-V LVTTL ; ; ; Perform Physical Synthesis for Combinational Logic for Fitting ; On ; Off ; ; Perform Physical Synthesis for Combinational Logic for Performance ; On ; Off ; ; Perform Register Duplication for Performance ; On ; Off ; ; Physical Synthesis Effort Level ; Fast ; Normal ; ; Use smart compilation ; Off ; Off ; ; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; ; Enable compact report table ; Off ; Off ; ; Auto Merge PLLs ; On ; On ; ; Router Timing Optimization Level ; Normal ; Normal ; ; Perform Clocking Topology Analysis During Routing ; Off ; Off ; ; Placement Effort Multiplier ; 1.0 ; 1.0 ; ; Router Effort Multiplier ; 1.0 ; 1.0 ; ; Optimize Hold Timing ; All Paths ; All Paths ; ; Optimize Multi-Corner Timing ; On ; On ; ; PowerPlay Power Optimization ; Normal compilation ; Normal compilation ; ; SSN Optimization ; Off ; Off ; ; Optimize Timing ; Normal compilation ; Normal compilation ; ; Optimize Timing for ECOs ; Off ; Off ; ; Regenerate full fit report during ECO compiles ; Off ; Off ; ; Optimize IOC Register Placement for Timing ; Normal ; Normal ; ; Limit to One Fitting Attempt ; Off ; Off ; ; Final Placement Optimizations ; Automatically ; Automatically ; ; Fitter Aggressive Routability Optimizations ; Automatically ; Automatically ; ; Fitter Initial Placement Seed ; 1 ; 1 ; ; PCI I/O ; Off ; Off ; ; Weak Pull-Up Resistor ; Off ; Off ; ; Enable Bus-Hold Circuitry ; Off ; Off ; ; Auto Packed Registers ; Auto ; Auto ; ; Auto Delay Chains ; On ; On ; ; Allow Single-ended Buffer for Differential-XSTL Input ; Off ; Off ; ; Treat Bidirectional Pin as Output Pin ; Off ; Off ; ; Perform Logic to Memory Mapping for Fitting ; Off ; Off ; ; Perform Register Retiming for Performance ; Off ; Off ; ; Perform Asynchronous Signal Pipelining ; Off ; Off ; ; Fitter Effort ; Auto Fit ; Auto Fit ; ; Logic Cell Insertion - Logic Duplication ; Auto ; Auto ; ; Auto Register Duplication ; Auto ; Auto ; ; Auto Global Clock ; On ; On ; ; Auto Global Register Control Signals ; On ; On ; ; Reserve all unused pins ; As input tri-stated with weak pull-up ; As input tri-stated with weak pull-up ; ; Synchronizer Identification ; Off ; Off ; ; Enable Beneficial Skew Optimization ; On ; On ; ; Optimize Design for Metastability ; On ; On ; ; Force Fitter to Avoid Periphery Placement Warnings ; Off ; Off ; ; RAM Bit Reservation (Cyclone III) ; Off ; Off ; ; Enable input tri-state on active configuration pins in user mode ; Off ; Off ; +----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+ Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. +-------------------------------------+ ; Parallel Compilation ; +----------------------------+--------+ ; Processors ; Number ; +----------------------------+--------+ ; Number detected on machine ; 4 ; ; Maximum allowed ; 1 ; +----------------------------+--------+ +------------------------------------------------------+ ; I/O Assignment Warnings ; +---------------+--------------------------------------+ ; Pin Name ; Reason ; +---------------+--------------------------------------+ ; LP_STR ; Missing drive strength ; ; nACSI_ACK ; Missing drive strength ; ; nACSI_RESET ; Missing drive strength ; ; nACSI_CS ; Missing drive strength ; ; ACSI_DIR ; Missing drive strength ; ; ACSI_A1 ; Missing drive strength ; ; nSCSI_ACK ; Missing drive strength ; ; nSCSI_ATN ; Missing drive strength ; ; SCSI_DIR ; Missing drive strength ; ; MIDI_OLR ; Missing drive strength ; ; MIDI_TLR ; Missing drive strength ; ; TxD ; Missing drive strength ; ; RTS ; Missing drive strength ; ; DTR ; Missing drive strength ; ; IDE_RES ; Missing drive strength ; ; nIDE_CS0 ; Missing drive strength ; ; nIDE_CS1 ; Missing drive strength ; ; nIDE_WR ; Missing drive strength ; ; nIDE_RD ; Missing drive strength ; ; nCF_CS0 ; Missing drive strength ; ; nCF_CS1 ; Missing drive strength ; ; nROM3 ; Missing drive strength ; ; nROM4 ; Missing drive strength ; ; nRP_UDS ; Missing drive strength ; ; nRP_LDS ; Missing drive strength ; ; nSDSEL ; Missing drive strength ; ; nWR_GATE ; Missing drive strength ; ; nWR ; Missing drive strength ; ; YM_QA ; Missing drive strength ; ; YM_QB ; Missing drive strength ; ; YM_QC ; Missing drive strength ; ; SD_CLK ; Missing drive strength ; ; DSA_D ; Missing drive strength ; ; nVWE ; Missing slew rate ; ; nVCAS ; Missing slew rate ; ; nVRAS ; Missing slew rate ; ; nVCS ; Missing slew rate ; ; TIN0 ; Missing drive strength ; ; nDREQ1 ; Missing drive strength ; ; LED_FPGA_OK ; Missing slew rate ; ; VCKE ; Missing slew rate ; ; nFB_TA ; Missing drive strength ; ; nDDR_CLK ; Missing slew rate ; ; DDR_CLK ; Missing slew rate ; ; VSYNC_PAD ; Missing slew rate ; ; HSYNC_PAD ; Missing slew rate ; ; nBLANK_PAD ; Missing slew rate ; ; PIXEL_CLK_PAD ; Missing slew rate ; ; nSYNC ; Missing slew rate ; ; nMOT_ON ; Missing drive strength ; ; nSTEP_DIR ; Missing drive strength ; ; nSTEP ; Missing drive strength ; ; LPDIR ; Missing drive strength ; ; BA[1] ; Missing slew rate ; ; BA[0] ; Missing slew rate ; ; nIRQ[7] ; Missing drive strength ; ; nIRQ[6] ; Missing drive strength ; ; nIRQ[5] ; Missing drive strength ; ; nIRQ[4] ; Missing drive strength and slew rate ; ; nIRQ[3] ; Missing drive strength and slew rate ; ; nIRQ[2] ; Missing drive strength and slew rate ; ; VA[12] ; Missing slew rate ; ; VA[11] ; Missing slew rate ; ; VA[10] ; Missing slew rate ; ; VA[9] ; Missing slew rate ; ; VA[8] ; Missing slew rate ; ; VA[7] ; Missing slew rate ; ; VA[6] ; Missing slew rate ; ; VA[5] ; Missing slew rate ; ; VA[4] ; Missing slew rate ; ; VA[3] ; Missing slew rate ; ; VA[2] ; Missing slew rate ; ; VA[1] ; Missing slew rate ; ; VA[0] ; Missing slew rate ; ; VB[7] ; Missing slew rate ; ; VB[6] ; Missing slew rate ; ; VB[5] ; Missing slew rate ; ; VB[4] ; Missing slew rate ; ; VB[3] ; Missing slew rate ; ; VB[2] ; Missing slew rate ; ; VB[1] ; Missing slew rate ; ; VB[0] ; Missing slew rate ; ; VDM[3] ; Missing slew rate ; ; VDM[2] ; Missing slew rate ; ; VDM[1] ; Missing slew rate ; ; VDM[0] ; Missing slew rate ; ; VG[7] ; Missing slew rate ; ; VG[6] ; Missing slew rate ; ; VG[5] ; Missing slew rate ; ; VG[4] ; Missing slew rate ; ; VG[3] ; Missing slew rate ; ; VG[2] ; Missing slew rate ; ; VG[1] ; Missing slew rate ; ; VG[0] ; Missing slew rate ; ; VR[7] ; Missing slew rate ; ; VR[6] ; Missing slew rate ; ; VR[5] ; Missing slew rate ; ; VR[4] ; Missing slew rate ; ; VR[3] ; Missing slew rate ; ; VR[2] ; Missing slew rate ; ; VR[1] ; Missing slew rate ; ; VR[0] ; Missing slew rate ; ; VD[31] ; Missing slew rate ; ; VD[30] ; Missing slew rate ; ; VD[29] ; Missing slew rate ; ; VD[28] ; Missing slew rate ; ; VD[27] ; Missing slew rate ; ; VD[26] ; Missing slew rate ; ; VD[25] ; Missing slew rate ; ; VD[24] ; Missing slew rate ; ; VD[23] ; Missing slew rate ; ; VD[22] ; Missing slew rate ; ; VD[21] ; Missing slew rate ; ; VD[20] ; Missing slew rate ; ; VD[19] ; Missing slew rate ; ; VD[18] ; Missing slew rate ; ; VD[17] ; Missing slew rate ; ; VD[16] ; Missing slew rate ; ; VD[15] ; Missing slew rate ; ; VD[14] ; Missing slew rate ; ; VD[13] ; Missing slew rate ; ; VD[12] ; Missing slew rate ; ; VD[11] ; Missing slew rate ; ; VD[10] ; Missing slew rate ; ; VD[9] ; Missing slew rate ; ; VD[8] ; Missing slew rate ; ; VD[7] ; Missing slew rate ; ; VD[6] ; Missing slew rate ; ; VD[5] ; Missing slew rate ; ; VD[4] ; Missing slew rate ; ; VD[3] ; Missing slew rate ; ; VD[2] ; Missing slew rate ; ; VD[1] ; Missing slew rate ; ; VD[0] ; Missing slew rate ; ; VDQS[3] ; Missing slew rate ; ; VDQS[2] ; Missing slew rate ; ; VDQS[1] ; Missing slew rate ; ; VDQS[0] ; Missing slew rate ; ; SCSI_PAR ; Missing drive strength ; ; nSCSI_SEL ; Missing drive strength ; ; nSCSI_BUSY ; Missing drive strength ; ; nSCSI_RST ; Missing drive strength ; ; SD_CD_DATA3 ; Missing drive strength ; ; SD_CMD_D1 ; Missing drive strength ; ; ACSI_D[7] ; Missing drive strength ; ; ACSI_D[6] ; Missing drive strength ; ; ACSI_D[5] ; Missing drive strength ; ; ACSI_D[4] ; Missing drive strength ; ; ACSI_D[3] ; Missing drive strength ; ; ACSI_D[2] ; Missing drive strength ; ; ACSI_D[1] ; Missing drive strength ; ; ACSI_D[0] ; Missing drive strength ; ; LP_D[7] ; Missing drive strength ; ; LP_D[6] ; Missing drive strength ; ; LP_D[5] ; Missing drive strength ; ; LP_D[4] ; Missing drive strength ; ; LP_D[3] ; Missing drive strength ; ; LP_D[2] ; Missing drive strength ; ; LP_D[1] ; Missing drive strength ; ; LP_D[0] ; Missing drive strength ; ; SCSI_D[7] ; Missing drive strength ; ; SCSI_D[6] ; Missing drive strength ; ; SCSI_D[5] ; Missing drive strength ; ; SCSI_D[4] ; Missing drive strength ; ; SCSI_D[3] ; Missing drive strength ; ; SCSI_D[2] ; Missing drive strength ; ; SCSI_D[1] ; Missing drive strength ; ; SCSI_D[0] ; Missing drive strength ; +---------------+--------------------------------------+ +------------------------------------------------------------------------------------------------------------------------------------------------+ ; Ignored Assignments ; +-----------------------------+----------------+--------------+----------------------------+------------------------+----------------------------+ ; Name ; Ignored Entity ; Ignored From ; Ignored To ; Ignored Value ; Ignored Source ; +-----------------------------+----------------+--------------+----------------------------+------------------------+----------------------------+ ; DDIO_INPUT_REGISTER ; altddio_bidir ; ; input_cell_H ; HIGH ; Compiler or HDL Assignment ; ; DDIO_INPUT_REGISTER ; altddio_bidir ; ; input_cell_L ; LOW ; Compiler or HDL Assignment ; ; Synchronizer Identification ; dcfifo_0hh1 ; ; rs_dgwp_reg ; FORCED_IF_ASYNCHRONOUS ; Compiler or HDL Assignment ; ; Synchronizer Identification ; dcfifo_3fh1 ; ; ws_dgrp_reg ; FORCED_IF_ASYNCHRONOUS ; Compiler or HDL Assignment ; ; Synchronizer Identification ; dcfifo_8fi1 ; ; rdemp_eq_comp_lsb_aeb ; FORCED_IF_ASYNCHRONOUS ; Compiler or HDL Assignment ; ; Synchronizer Identification ; dcfifo_8fi1 ; ; rdemp_eq_comp_msb_aeb ; FORCED_IF_ASYNCHRONOUS ; Compiler or HDL Assignment ; ; Synchronizer Identification ; dcfifo_8fi1 ; ; rs_dgwp_reg ; FORCED_IF_ASYNCHRONOUS ; Compiler or HDL Assignment ; ; Synchronizer Identification ; dcfifo_8fi1 ; ; wrfull_eq_comp_lsb_mux_reg ; FORCED_IF_ASYNCHRONOUS ; Compiler or HDL Assignment ; ; Synchronizer Identification ; dcfifo_8fi1 ; ; wrfull_eq_comp_msb_mux_reg ; FORCED_IF_ASYNCHRONOUS ; Compiler or HDL Assignment ; +-----------------------------+----------------+--------------+----------------------------+------------------------+----------------------------+ +----------------------------------------------+ ; Incremental Compilation Preservation Summary ; +---------------------+------------------------+ ; Type ; Value ; +---------------------+------------------------+ ; Placement (by node) ; ; ; -- Requested ; 0 / 13731 ( 0.00 % ) ; ; -- Achieved ; 0 / 13731 ( 0.00 % ) ; ; ; ; ; Routing (by net) ; ; ; -- Requested ; 0 / 0 ( 0.00 % ) ; ; -- Achieved ; 0 / 0 ( 0.00 % ) ; +---------------------+------------------------+ +----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Incremental Compilation Partition Settings ; +--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ ; Partition Name ; Partition Type ; Netlist Type Used ; Preservation Level Used ; Netlist Type Requested ; Preservation Level Requested ; Contents ; +--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ ; Top ; User-created ; Source File ; N/A ; Source File ; N/A ; ; ; hard_block:auto_generated_inst ; Auto-generated ; Source File ; N/A ; Source File ; N/A ; hard_block:auto_generated_inst ; +--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ +------------------------------------------------------------------------------------------------------------+ ; Incremental Compilation Placement Preservation ; +--------------------------------+---------+-------------------+-------------------------+-------------------+ ; Partition Name ; # Nodes ; # Preserved Nodes ; Preservation Level Used ; Netlist Type Used ; +--------------------------------+---------+-------------------+-------------------------+-------------------+ ; Top ; 13700 ; 0 ; N/A ; Source File ; ; hard_block:auto_generated_inst ; 31 ; 0 ; N/A ; Source File ; +--------------------------------+---------+-------------------+-------------------------+-------------------+ +--------------+ ; Pin-Out File ; +--------------+ The pin-out file can be found in /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/firebee1.pin. +----------------------------------------------------------------------------+ ; Fitter Resource Usage Summary ; +---------------------------------------------+------------------------------+ ; Resource ; Usage ; +---------------------------------------------+------------------------------+ ; Total logic elements ; 9,435 / 39,600 ( 24 % ) ; ; -- Combinational with no register ; 4813 ; ; -- Register only ; 1468 ; ; -- Combinational with a register ; 3154 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; ; -- 4 input functions ; 4737 ; ; -- 3 input functions ; 1912 ; ; -- <=2 input functions ; 1318 ; ; -- Register only ; 1468 ; ; ; ; ; Logic elements by mode ; ; ; -- normal mode ; 7133 ; ; -- arithmetic mode ; 834 ; ; ; ; ; Total registers* ; 4,750 / 41,185 ( 12 % ) ; ; -- Dedicated logic registers ; 4,622 / 39,600 ( 12 % ) ; ; -- I/O registers ; 128 / 1,585 ( 8 % ) ; ; ; ; ; Total LABs: partially or completely used ; 730 / 2,475 ( 29 % ) ; ; User inserted logic elements ; 0 ; ; Virtual pins ; 0 ; ; I/O pins ; 295 / 332 ( 89 % ) ; ; -- Clock pins ; 7 / 8 ( 88 % ) ; ; -- Dedicated input pins ; 0 / 9 ( 0 % ) ; ; ; ; ; Global signals ; 18 ; ; M9Ks ; 23 / 126 ( 18 % ) ; ; Total block memory bits ; 109,344 / 1,161,216 ( 9 % ) ; ; Total block memory implementation bits ; 211,968 / 1,161,216 ( 18 % ) ; ; Embedded Multiplier 9-bit elements ; 6 / 252 ( 2 % ) ; ; PLLs ; 4 / 4 ( 100 % ) ; ; Global clocks ; 18 / 20 ( 90 % ) ; ; JTAGs ; 0 / 1 ( 0 % ) ; ; CRC blocks ; 0 / 1 ( 0 % ) ; ; ASMI blocks ; 0 / 1 ( 0 % ) ; ; Impedance control blocks ; 0 / 4 ( 0 % ) ; ; Average interconnect usage (total/H/V) ; 21% / 19% / 24% ; ; Peak interconnect usage (total/H/V) ; 68% / 64% / 77% ; ; Maximum fan-out node ; MAIN_CLK~input ; ; Maximum fan-out ; 2265 ; ; Highest non-global fan-out signal ; MAIN_CLK~input ; ; Highest non-global fan-out ; 2265 ; ; Total fan-out ; 43990 ; ; Average fan-out ; 3.04 ; +---------------------------------------------+------------------------------+ * Register count does not include registers inside RAM blocks or DSP blocks. +------------------------------------------------------------------------------------------------------+ ; Fitter Partition Statistics ; +---------------------------------------------+-----------------------+--------------------------------+ ; Statistic ; Top ; hard_block:auto_generated_inst ; +---------------------------------------------+-----------------------+--------------------------------+ ; Difficulty Clustering Region ; Low ; Low ; ; ; ; ; ; Total logic elements ; 9435 / 39600 ( 24 % ) ; 0 / 39600 ( 0 % ) ; ; -- Combinational with no register ; 4813 ; 0 ; ; -- Register only ; 1468 ; 0 ; ; -- Combinational with a register ; 3154 ; 0 ; ; ; ; ; ; Logic element usage by number of LUT inputs ; ; ; ; -- 4 input functions ; 4737 ; 0 ; ; -- 3 input functions ; 1912 ; 0 ; ; -- <=2 input functions ; 1318 ; 0 ; ; -- Register only ; 1468 ; 0 ; ; ; ; ; ; Logic elements by mode ; ; ; ; -- normal mode ; 7133 ; 0 ; ; -- arithmetic mode ; 834 ; 0 ; ; ; ; ; ; Total registers ; 4750 ; 0 ; ; -- Dedicated logic registers ; 4622 / 39600 ( 12 % ) ; 0 / 39600 ( 0 % ) ; ; -- I/O registers ; 256 ; 0 ; ; ; ; ; ; Total LABs: partially or completely used ; 730 / 2475 ( 29 % ) ; 0 / 2475 ( 0 % ) ; ; ; ; ; ; Virtual pins ; 0 ; 0 ; ; I/O pins ; 295 ; 0 ; ; Embedded Multiplier 9-bit elements ; 6 / 252 ( 2 % ) ; 0 / 252 ( 0 % ) ; ; Total memory bits ; 109344 ; 0 ; ; Total RAM block bits ; 211968 ; 0 ; ; PLL ; 0 / 4 ( 0 % ) ; 4 / 4 ( 100 % ) ; ; M9K ; 23 / 126 ( 18 % ) ; 0 / 126 ( 0 % ) ; ; Clock control block ; 5 / 24 ( 20 % ) ; 13 / 24 ( 54 % ) ; ; Double Data Rate I/O output circuitry ; 64 / 520 ( 12 % ) ; 0 / 520 ( 0 % ) ; ; ; ; ; ; Connections ; ; ; ; -- Input Connections ; 1903 ; 8 ; ; -- Registered Input Connections ; 1562 ; 0 ; ; -- Output Connections ; 140 ; 1771 ; ; -- Registered Output Connections ; 0 ; 0 ; ; ; ; ; ; Internal Connections ; ; ; ; -- Total Connections ; 44423 ; 1804 ; ; -- Registered Connections ; 17983 ; 0 ; ; ; ; ; ; External Connections ; ; ; ; -- Top ; 264 ; 1779 ; ; -- hard_block:auto_generated_inst ; 1779 ; 0 ; ; ; ; ; ; Partition Interface ; ; ; ; -- Input Ports ; 51 ; 8 ; ; -- Output Ports ; 112 ; 15 ; ; -- Bidir Ports ; 132 ; 0 ; ; ; ; ; ; Registered Ports ; ; ; ; -- Registered Input Ports ; 0 ; 0 ; ; -- Registered Output Ports ; 0 ; 0 ; ; ; ; ; ; Port Connectivity ; ; ; ; -- Input Ports driven by GND ; 0 ; 0 ; ; -- Output Ports driven by GND ; 0 ; 0 ; ; -- Input Ports driven by VCC ; 0 ; 0 ; ; -- Output Ports driven by VCC ; 0 ; 0 ; ; -- Input Ports with no Source ; 0 ; 0 ; ; -- Output Ports with no Source ; 0 ; 0 ; ; -- Input Ports with no Fanout ; 0 ; 2 ; ; -- Output Ports with no Fanout ; 0 ; 0 ; +---------------------------------------------+-----------------------+--------------------------------+ +-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Input Pins ; +----------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+ ; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination Control Block ; Location assigned by ; +----------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+ ; AMKB_RX ; Y2 ; 2 ; 0 ; 10 ; 21 ; 6 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; ; CLK33M ; AB12 ; 4 ; 36 ; 0 ; 0 ; 16 ; 0 ; yes ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; ; CTS ; H14 ; 7 ; 61 ; 43 ; 7 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; ; DCD ; A19 ; 7 ; 56 ; 43 ; 21 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; ; DVI_INT ; A11 ; 8 ; 34 ; 43 ; 14 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; ; E0_INT ; G21 ; 6 ; 67 ; 22 ; 0 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; ; FB_ALE ; R7 ; 2 ; 0 ; 2 ; 0 ; 32 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; ; FB_SIZE0 ; U8 ; 3 ; 3 ; 0 ; 21 ; 25 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; ; FB_SIZE1 ; Y4 ; 3 ; 3 ; 0 ; 14 ; 25 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; ; HD_DD ; F16 ; 7 ; 65 ; 43 ; 21 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; ; IDE_INT ; G22 ; 6 ; 67 ; 22 ; 7 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; ; IDE_RDY ; Y1 ; 2 ; 0 ; 9 ; 0 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; ; LP_BUSY ; G7 ; 8 ; 3 ; 43 ; 28 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; ; MAIN_CLK ; G2 ; 1 ; 0 ; 21 ; 0 ; 2265 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; ; MIDI_IN ; E12 ; 7 ; 36 ; 43 ; 7 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; ; PIC_AMKB_RX ; L7 ; 2 ; 0 ; 18 ; 7 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; ; PIC_INT ; AA2 ; 2 ; 0 ; 7 ; 21 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; ; RI ; B19 ; 7 ; 56 ; 43 ; 14 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; ; RxD ; H15 ; 7 ; 61 ; 43 ; 0 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; ; SD_CARD_DEDECT ; M20 ; 5 ; 67 ; 19 ; 21 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; ; SD_DATA0 ; B16 ; 7 ; 50 ; 43 ; 14 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; ; SD_DATA1 ; A16 ; 7 ; 50 ; 43 ; 7 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; ; SD_DATA2 ; B17 ; 7 ; 50 ; 43 ; 0 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; ; SD_WP ; M19 ; 5 ; 67 ; 19 ; 14 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; ; TOUT0 ; T22 ; 5 ; 67 ; 22 ; 21 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; ; TRACK00 ; C19 ; 7 ; 61 ; 43 ; 28 ; 6 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; ; WP_CF_CARD ; T1 ; 2 ; 0 ; 21 ; 21 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; ; nACSI_DRQ ; K7 ; 1 ; 0 ; 30 ; 14 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; ; nACSI_INT ; J4 ; 1 ; 0 ; 29 ; 14 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; ; nDACK0 ; B12 ; 7 ; 34 ; 43 ; 7 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; ; nDACK1 ; A12 ; 7 ; 34 ; 43 ; 0 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; ; nDCHG ; C17 ; 7 ; 56 ; 43 ; 7 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; ; nFB_BURST ; T3 ; 2 ; 0 ; 7 ; 0 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; ; nFB_CS1 ; T8 ; 3 ; 14 ; 0 ; 28 ; 55 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; ; nFB_CS2 ; T9 ; 3 ; 14 ; 0 ; 21 ; 57 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; ; nFB_CS3 ; V6 ; 3 ; 1 ; 0 ; 28 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; ; nFB_OE ; R6 ; 2 ; 0 ; 3 ; 0 ; 94 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; ; nFB_WR ; T5 ; 2 ; 0 ; 4 ; 0 ; 248 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; ; nINDEX ; E16 ; 7 ; 65 ; 43 ; 28 ; 14 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; ; nMASTER ; T21 ; 5 ; 67 ; 22 ; 14 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; ; nPCI_INTA ; AA1 ; 2 ; 0 ; 6 ; 0 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; ; nPCI_INTB ; V4 ; 2 ; 0 ; 5 ; 0 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; ; nPCI_INTC ; V3 ; 2 ; 0 ; 5 ; 7 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; ; nPCI_INTD ; P6 ; 2 ; 0 ; 5 ; 14 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; ; nRD_DATA ; A20 ; 7 ; 59 ; 43 ; 7 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; ; nRSTO_MCF ; B11 ; 8 ; 34 ; 43 ; 21 ; 28 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; ; nSCSI_C_D ; H1 ; 1 ; 0 ; 28 ; 0 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; ; nSCSI_DRQ ; U1 ; 2 ; 0 ; 15 ; 21 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; ; nSCSI_I_O ; J3 ; 1 ; 0 ; 28 ; 7 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; ; nSCSI_MSG ; H2 ; 1 ; 0 ; 29 ; 21 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; ; nWP ; D19 ; 7 ; 59 ; 43 ; 0 ; 4 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; +----------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+ +----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Output Pins ; +---------------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ ; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Output Register ; Output Enable Register ; Power Up High ; Slew Rate ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Termination Control Block ; Output Buffer Pre-emphasis ; Voltage Output Differential ; Location assigned by ; Output Enable Source ; Output Enable Group ; +---------------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ ; ACSI_A1 ; M6 ; 2 ; 0 ; 20 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; ; ACSI_DIR ; L6 ; 2 ; 0 ; 20 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; ; AMKB_TX ; N1 ; 2 ; 0 ; 19 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 2mA ; Off ; -- ; no ; no ; User ; - ; - ; ; BA[0] ; W19 ; 5 ; 67 ; 5 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; - ; - ; ; BA[1] ; AA19 ; 4 ; 56 ; 0 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; - ; - ; ; CLK24M576 ; AB10 ; 3 ; 34 ; 0 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; ; CLK25M ; T4 ; 2 ; 0 ; 4 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; ; CLKUSB ; J1 ; 1 ; 0 ; 28 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; ; DDR_CLK ; AB17 ; 4 ; 54 ; 0 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; - ; - ; ; DSA_D ; F15 ; 7 ; 63 ; 43 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; ; DTR ; D15 ; 7 ; 54 ; 43 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; ; HSYNC_PAD ; K21 ; 6 ; 67 ; 27 ; 14 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; - ; - ; ; IDE_RES ; M5 ; 2 ; 0 ; 18 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; ; LED_FPGA_OK ; N19 ; 5 ; 67 ; 15 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 4mA ; Off ; -- ; no ; no ; User ; - ; - ; ; LPDIR ; E5 ; 8 ; 1 ; 43 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; ; LP_STR ; E6 ; 8 ; 1 ; 43 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; ; MIDI_OLR ; H5 ; 1 ; 0 ; 31 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; ; MIDI_TLR ; B2 ; 1 ; 0 ; 41 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; ; PIXEL_CLK_PAD ; F19 ; 6 ; 67 ; 37 ; 14 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; - ; - ; ; RTS ; B18 ; 7 ; 54 ; 43 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; ; SCSI_DIR ; J7 ; 1 ; 0 ; 30 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; ; SD_CLK ; C15 ; 7 ; 50 ; 43 ; 21 ; no ; no ; no ; 2 ; no ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; ; TIN0 ; R5 ; 2 ; 0 ; 4 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; ; TxD ; A18 ; 7 ; 54 ; 43 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; ; VA[0] ; W20 ; 5 ; 67 ; 3 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; - ; - ; ; VA[10] ; V21 ; 5 ; 67 ; 10 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; - ; - ; ; VA[11] ; U19 ; 5 ; 67 ; 7 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; - ; - ; ; VA[12] ; AA18 ; 4 ; 54 ; 0 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; - ; - ; ; VA[1] ; W22 ; 5 ; 67 ; 7 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; - ; - ; ; VA[2] ; W21 ; 5 ; 67 ; 8 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; - ; - ; ; VA[3] ; Y22 ; 5 ; 67 ; 6 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; - ; - ; ; VA[4] ; AA22 ; 5 ; 67 ; 2 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; - ; - ; ; VA[5] ; Y21 ; 5 ; 67 ; 7 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; - ; - ; ; VA[6] ; AA21 ; 5 ; 67 ; 2 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; - ; - ; ; VA[7] ; AA20 ; 4 ; 61 ; 0 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; - ; - ; ; VA[8] ; AB20 ; 4 ; 61 ; 0 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; - ; - ; ; VA[9] ; AB19 ; 4 ; 59 ; 0 ; 28 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; - ; - ; ; VB[0] ; G18 ; 6 ; 67 ; 37 ; 0 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; - ; - ; ; VB[1] ; H17 ; 6 ; 67 ; 38 ; 21 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; - ; - ; ; VB[2] ; C22 ; 6 ; 67 ; 38 ; 14 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; - ; - ; ; VB[3] ; C21 ; 6 ; 67 ; 38 ; 7 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; - ; - ; ; VB[4] ; B22 ; 6 ; 67 ; 39 ; 21 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; - ; - ; ; VB[5] ; B21 ; 6 ; 67 ; 39 ; 14 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; - ; - ; ; VB[6] ; C20 ; 6 ; 67 ; 39 ; 7 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; - ; - ; ; VB[7] ; D20 ; 6 ; 67 ; 40 ; 21 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; - ; - ; ; VCKE ; U15 ; 4 ; 50 ; 0 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; - ; - ; ; VDM[0] ; AA16 ; 4 ; 45 ; 0 ; 21 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; - ; - ; ; VDM[1] ; V16 ; 4 ; 61 ; 0 ; 7 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; - ; - ; ; VDM[2] ; U20 ; 5 ; 67 ; 7 ; 14 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; - ; - ; ; VDM[3] ; T17 ; 5 ; 67 ; 3 ; 21 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; - ; - ; ; VG[0] ; H19 ; 6 ; 67 ; 34 ; 14 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; - ; - ; ; VG[1] ; E22 ; 6 ; 67 ; 34 ; 7 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; - ; - ; ; VG[2] ; E21 ; 6 ; 67 ; 34 ; 0 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; - ; - ; ; VG[3] ; H18 ; 6 ; 67 ; 35 ; 0 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; - ; - ; ; VG[4] ; J17 ; 6 ; 67 ; 36 ; 21 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; - ; - ; ; VG[5] ; H16 ; 6 ; 67 ; 36 ; 14 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; - ; - ; ; VG[6] ; D22 ; 6 ; 67 ; 36 ; 7 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; - ; - ; ; VG[7] ; D21 ; 6 ; 67 ; 36 ; 0 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; - ; - ; ; VR[0] ; J22 ; 6 ; 67 ; 28 ; 21 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; - ; - ; ; VR[1] ; J21 ; 6 ; 67 ; 28 ; 14 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; - ; - ; ; VR[2] ; H22 ; 6 ; 67 ; 28 ; 7 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; - ; - ; ; VR[3] ; H21 ; 6 ; 67 ; 28 ; 0 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; - ; - ; ; VR[4] ; K17 ; 6 ; 67 ; 29 ; 0 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; - ; - ; ; VR[5] ; K18 ; 6 ; 67 ; 30 ; 21 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; - ; - ; ; VR[6] ; J18 ; 6 ; 67 ; 31 ; 21 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; - ; - ; ; VR[7] ; F22 ; 6 ; 67 ; 31 ; 7 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; - ; - ; ; VSYNC_PAD ; K19 ; 6 ; 67 ; 26 ; 21 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; - ; - ; ; YM_QA ; A17 ; 7 ; 52 ; 43 ; 28 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; ; YM_QB ; G13 ; 7 ; 52 ; 43 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; ; YM_QC ; E15 ; 7 ; 54 ; 43 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; ; nACSI_ACK ; M4 ; 2 ; 0 ; 19 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; ; nACSI_CS ; M2 ; 2 ; 0 ; 20 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; ; nACSI_RESET ; M1 ; 2 ; 0 ; 20 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; ; nBLANK_PAD ; G17 ; 6 ; 67 ; 41 ; 14 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; - ; - ; ; nCF_CS0 ; W2 ; 2 ; 0 ; 10 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; ; nCF_CS1 ; W1 ; 2 ; 0 ; 10 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; ; nDDR_CLK ; AA17 ; 4 ; 54 ; 0 ; 28 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; - ; - ; ; nDREQ1 ; E11 ; 7 ; 36 ; 43 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; ; nFB_TA ; T7 ; 2 ; 0 ; 2 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; ; nIDE_CS0 ; R2 ; 2 ; 0 ; 16 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; ; nIDE_CS1 ; R1 ; 2 ; 0 ; 16 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; ; nIDE_RD ; P1 ; 2 ; 0 ; 17 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; ; nIDE_WR ; P2 ; 2 ; 0 ; 17 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; ; nIRQ[2] ; F21 ; 6 ; 67 ; 31 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVCMOS ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; ; nIRQ[3] ; H20 ; 6 ; 67 ; 34 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVCMOS ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; ; nIRQ[4] ; F20 ; 6 ; 67 ; 37 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVCMOS ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; ; nIRQ[5] ; P5 ; 2 ; 0 ; 12 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; ; nIRQ[6] ; P7 ; 2 ; 0 ; 7 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; ; nIRQ[7] ; N7 ; 2 ; 0 ; 7 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; ; nMOT_ON ; G16 ; 7 ; 63 ; 43 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; ; nPD_VGA ; V1 ; 2 ; 0 ; 13 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; ; nROM3 ; P3 ; 2 ; 0 ; 15 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; ; nROM4 ; U2 ; 2 ; 0 ; 15 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; ; nRP_LDS ; N5 ; 2 ; 0 ; 16 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; ; nRP_UDS ; P4 ; 2 ; 0 ; 16 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; ; nSCSI_ACK ; N2 ; 2 ; 0 ; 19 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; ; nSCSI_ATN ; M3 ; 2 ; 0 ; 19 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; ; nSDSEL ; B20 ; 7 ; 59 ; 43 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; ; nSRBHE ; B4 ; 8 ; 7 ; 43 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; ; nSRBLE ; A4 ; 8 ; 9 ; 43 ; 28 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; ; nSRCS ; B8 ; 8 ; 25 ; 43 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; ; nSROE ; F11 ; 7 ; 36 ; 43 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; ; nSRWE ; F8 ; 8 ; 7 ; 43 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; ; nSTEP ; F14 ; 7 ; 63 ; 43 ; 28 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; ; nSTEP_DIR ; G15 ; 7 ; 63 ; 43 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; ; nSYNC ; F17 ; 6 ; 67 ; 41 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVCMOS ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; ; nVCAS ; AB18 ; 4 ; 52 ; 0 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; - ; - ; ; nVCS ; T18 ; 5 ; 67 ; 3 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; - ; - ; ; nVRAS ; W17 ; 4 ; 59 ; 0 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; - ; - ; ; nVWE ; Y17 ; 4 ; 61 ; 0 ; 28 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; - ; - ; ; nWR ; G14 ; 7 ; 54 ; 43 ; 28 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; ; nWR_GATE ; D17 ; 7 ; 61 ; 43 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; +---------------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ +------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Bidir Pins ; +-------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+-----------------+------------------------+---------------+-----------+-----------------+------------+----------+--------------+--------------+------------------+--------------------+---------------------------+----------------------+------+--------------------------------------------------------------------------------------------------+---------------------+ ; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Output Register ; Output Enable Register ; Power Up High ; Slew Rate ; PCI I/O Enabled ; Open Drain ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Output Termination ; Termination Control Block ; Location assigned by ; Load ; Output Enable Source ; Output Enable Group ; +-------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+-----------------+------------------------+---------------+-----------+-----------------+------------+----------+--------------+--------------+------------------+--------------------+---------------------------+----------------------+------+--------------------------------------------------------------------------------------------------+---------------------+ ; ACSI_D[0] ; B1 ; 1 ; 0 ; 40 ; 0 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; yes ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; ; ACSI_D[1] ; G5 ; 1 ; 0 ; 40 ; 7 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; yes ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; ; ACSI_D[2] ; E3 ; 1 ; 0 ; 39 ; 7 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; yes ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; ; ACSI_D[3] ; C2 ; 1 ; 0 ; 38 ; 14 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; yes ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; ; ACSI_D[4] ; C1 ; 1 ; 0 ; 38 ; 21 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; yes ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; ; ACSI_D[5] ; D2 ; 1 ; 0 ; 37 ; 0 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; yes ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; ; ACSI_D[6] ; H7 ; 1 ; 0 ; 37 ; 14 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; yes ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; ; ACSI_D[7] ; H6 ; 1 ; 0 ; 37 ; 21 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; yes ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; ; FB_AD[0] ; Y3 ; 3 ; 3 ; 0 ; 7 ; 22 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[13]~103 (inverted) ; - ; ; FB_AD[10] ; W7 ; 3 ; 14 ; 0 ; 14 ; 20 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[13]~103 (inverted) ; - ; ; FB_AD[11] ; Y7 ; 3 ; 14 ; 0 ; 7 ; 20 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[13]~103 (inverted) ; - ; ; FB_AD[12] ; U9 ; 3 ; 16 ; 0 ; 21 ; 22 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[13]~103 (inverted) ; - ; ; FB_AD[13] ; V8 ; 3 ; 16 ; 0 ; 14 ; 22 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[13]~103 (inverted) ; - ; ; FB_AD[14] ; W8 ; 3 ; 16 ; 0 ; 7 ; 21 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[13]~103 (inverted) ; - ; ; FB_AD[15] ; AA7 ; 3 ; 16 ; 0 ; 0 ; 20 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[13]~103 (inverted) ; - ; ; FB_AD[16] ; AB7 ; 3 ; 18 ; 0 ; 21 ; 143 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[16]~76 (inverted) ; - ; ; FB_AD[17] ; Y8 ; 3 ; 18 ; 0 ; 14 ; 145 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[16]~76 (inverted) ; - ; ; FB_AD[18] ; V9 ; 3 ; 20 ; 0 ; 21 ; 145 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[18]~162 (inverted) ; - ; ; FB_AD[19] ; V10 ; 3 ; 20 ; 0 ; 14 ; 143 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[18]~228 (inverted) ; - ; ; FB_AD[1] ; Y6 ; 3 ; 5 ; 0 ; 14 ; 21 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[13]~103 (inverted) ; - ; ; FB_AD[20] ; T10 ; 3 ; 18 ; 0 ; 7 ; 145 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[18]~162 (inverted) ; - ; ; FB_AD[21] ; U10 ; 3 ; 22 ; 0 ; 14 ; 144 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[18]~162 (inverted) ; - ; ; FB_AD[22] ; AA8 ; 3 ; 22 ; 0 ; 7 ; 141 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[18]~162 (inverted) ; - ; ; FB_AD[23] ; AB8 ; 3 ; 22 ; 0 ; 0 ; 138 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[18]~228 (inverted) ; - ; ; FB_AD[24] ; T11 ; 3 ; 18 ; 0 ; 0 ; 63 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[26]~195 (inverted) ; - ; ; FB_AD[25] ; AA9 ; 3 ; 27 ; 0 ; 7 ; 59 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[26]~195 (inverted) ; - ; ; FB_AD[26] ; AB9 ; 3 ; 27 ; 0 ; 0 ; 57 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[26]~178 (inverted) ; - ; ; FB_AD[27] ; U11 ; 3 ; 29 ; 0 ; 28 ; 48 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[31]~129 (inverted) ; - ; ; FB_AD[28] ; V11 ; 3 ; 34 ; 0 ; 28 ; 37 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[31]~129 (inverted) ; - ; ; FB_AD[29] ; W10 ; 3 ; 34 ; 0 ; 21 ; 33 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[31]~129 (inverted) ; - ; ; FB_AD[2] ; AA3 ; 3 ; 7 ; 0 ; 28 ; 21 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[13]~103 (inverted) ; - ; ; FB_AD[30] ; Y10 ; 3 ; 34 ; 0 ; 14 ; 35 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[31]~129 (inverted) ; - ; ; FB_AD[31] ; AA10 ; 3 ; 34 ; 0 ; 7 ; 35 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[31]~129 (inverted) ; - ; ; FB_AD[3] ; AB3 ; 3 ; 7 ; 0 ; 21 ; 21 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[13]~103 (inverted) ; - ; ; FB_AD[4] ; W6 ; 3 ; 7 ; 0 ; 14 ; 21 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[13]~103 (inverted) ; - ; ; FB_AD[5] ; V7 ; 3 ; 7 ; 0 ; 7 ; 21 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[13]~103 (inverted) ; - ; ; FB_AD[6] ; AA4 ; 3 ; 9 ; 0 ; 28 ; 20 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[13]~103 (inverted) ; - ; ; FB_AD[7] ; AB4 ; 3 ; 9 ; 0 ; 21 ; 19 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[13]~103 (inverted) ; - ; ; FB_AD[8] ; AA5 ; 3 ; 9 ; 0 ; 14 ; 21 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[13]~103 (inverted) ; - ; ; FB_AD[9] ; AB5 ; 3 ; 9 ; 0 ; 7 ; 21 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[13]~103 (inverted) ; - ; ; IO[0] ; A8 ; 8 ; 25 ; 43 ; 0 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; ; IO[10] ; B15 ; 7 ; 45 ; 43 ; 14 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; ; IO[11] ; C13 ; 7 ; 45 ; 43 ; 21 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; ; IO[12] ; D13 ; 7 ; 45 ; 43 ; 28 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; ; IO[13] ; E13 ; 7 ; 41 ; 43 ; 7 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; ; IO[14] ; A14 ; 7 ; 41 ; 43 ; 14 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; ; IO[15] ; B14 ; 7 ; 38 ; 43 ; 0 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; ; IO[16] ; A13 ; 7 ; 38 ; 43 ; 21 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; ; IO[17] ; B13 ; 7 ; 38 ; 43 ; 28 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; ; IO[1] ; A7 ; 8 ; 25 ; 43 ; 14 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; ; IO[2] ; B7 ; 8 ; 25 ; 43 ; 21 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; ; IO[3] ; A6 ; 8 ; 25 ; 43 ; 28 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; ; IO[4] ; B6 ; 8 ; 22 ; 43 ; 0 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; ; IO[5] ; E9 ; 8 ; 22 ; 43 ; 28 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; ; IO[6] ; C8 ; 8 ; 20 ; 43 ; 0 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; ; IO[7] ; C7 ; 8 ; 20 ; 43 ; 7 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; ; IO[8] ; G10 ; 8 ; 11 ; 43 ; 28 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; ; IO[9] ; A15 ; 7 ; 45 ; 43 ; 7 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; ; LP_D[0] ; F7 ; 8 ; 3 ; 43 ; 21 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_A[6] ; - ; ; LP_D[1] ; C4 ; 8 ; 3 ; 43 ; 0 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_A[6] ; - ; ; LP_D[2] ; C3 ; 8 ; 5 ; 43 ; 28 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_A[6] ; - ; ; LP_D[3] ; E7 ; 8 ; 5 ; 43 ; 21 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_A[6] ; - ; ; LP_D[4] ; D6 ; 8 ; 5 ; 43 ; 14 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_A[6] ; - ; ; LP_D[5] ; B3 ; 8 ; 5 ; 43 ; 7 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_A[6] ; - ; ; LP_D[6] ; A3 ; 8 ; 5 ; 43 ; 0 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_A[6] ; - ; ; LP_D[7] ; G8 ; 8 ; 7 ; 43 ; 21 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_A[6] ; - ; ; SCSI_D[0] ; J6 ; 1 ; 0 ; 36 ; 0 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; ; SCSI_D[1] ; E1 ; 1 ; 0 ; 36 ; 14 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; ; SCSI_D[2] ; F2 ; 1 ; 0 ; 35 ; 7 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; ; SCSI_D[3] ; F1 ; 1 ; 0 ; 35 ; 14 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; ; SCSI_D[4] ; G4 ; 1 ; 0 ; 41 ; 0 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; ; SCSI_D[5] ; G3 ; 1 ; 0 ; 41 ; 7 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; ; SCSI_D[6] ; L8 ; 1 ; 0 ; 31 ; 21 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; ; SCSI_D[7] ; K8 ; 1 ; 0 ; 30 ; 0 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; ; SCSI_PAR ; M7 ; 2 ; 0 ; 11 ; 0 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; ; SD_CD_DATA3 ; F13 ; 7 ; 45 ; 43 ; 0 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; yes ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; ; SD_CMD_D1 ; E14 ; 7 ; 48 ; 43 ; 7 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; yes ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; ; SRD[0] ; B5 ; 8 ; 11 ; 43 ; 14 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; DSP:Mathias_Alles|nSRWE~1 (inverted) ; - ; ; SRD[10] ; A9 ; 8 ; 32 ; 43 ; 28 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; DSP:Mathias_Alles|nSRWE~1 (inverted) ; - ; ; SRD[11] ; B10 ; 8 ; 32 ; 43 ; 21 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; DSP:Mathias_Alles|nSRWE~1 (inverted) ; - ; ; SRD[12] ; D10 ; 8 ; 32 ; 43 ; 0 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; DSP:Mathias_Alles|nSRWE~1 (inverted) ; - ; ; SRD[13] ; F10 ; 8 ; 9 ; 43 ; 0 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; DSP:Mathias_Alles|nSRWE~1 (inverted) ; - ; ; SRD[14] ; G9 ; 8 ; 1 ; 43 ; 28 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; DSP:Mathias_Alles|nSRWE~1 (inverted) ; - ; ; SRD[15] ; H10 ; 8 ; 18 ; 43 ; 0 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; DSP:Mathias_Alles|nSRWE~1 (inverted) ; - ; ; SRD[1] ; A5 ; 8 ; 14 ; 43 ; 14 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; DSP:Mathias_Alles|nSRWE~1 (inverted) ; - ; ; SRD[2] ; C6 ; 8 ; 9 ; 43 ; 7 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; DSP:Mathias_Alles|nSRWE~1 (inverted) ; - ; ; SRD[3] ; G11 ; 8 ; 27 ; 43 ; 0 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; DSP:Mathias_Alles|nSRWE~1 (inverted) ; - ; ; SRD[4] ; C10 ; 8 ; 29 ; 43 ; 21 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; DSP:Mathias_Alles|nSRWE~1 (inverted) ; - ; ; SRD[5] ; F9 ; 8 ; 1 ; 43 ; 7 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; DSP:Mathias_Alles|nSRWE~1 (inverted) ; - ; ; SRD[6] ; E10 ; 8 ; 32 ; 43 ; 7 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; DSP:Mathias_Alles|nSRWE~1 (inverted) ; - ; ; SRD[7] ; H11 ; 8 ; 20 ; 43 ; 28 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; DSP:Mathias_Alles|nSRWE~1 (inverted) ; - ; ; SRD[8] ; B9 ; 8 ; 29 ; 43 ; 0 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; DSP:Mathias_Alles|nSRWE~1 (inverted) ; - ; ; SRD[9] ; A10 ; 8 ; 32 ; 43 ; 14 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; DSP:Mathias_Alles|nSRWE~1 (inverted) ; - ; ; VDQS[0] ; AA15 ; 4 ; 43 ; 0 ; 14 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst88 (inverted) ; - ; ; VDQS[1] ; W15 ; 4 ; 52 ; 0 ; 21 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst88 (inverted) ; - ; ; VDQS[2] ; U22 ; 5 ; 67 ; 11 ; 7 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst88 (inverted) ; - ; ; VDQS[3] ; T16 ; 4 ; 63 ; 0 ; 7 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst88 (inverted) ; - ; ; VD[0] ; M22 ; 5 ; 67 ; 18 ; 7 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; ; VD[10] ; P17 ; 5 ; 67 ; 10 ; 14 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; ; VD[11] ; R21 ; 5 ; 67 ; 13 ; 0 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; ; VD[12] ; N17 ; 5 ; 67 ; 17 ; 21 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; ; VD[13] ; P20 ; 5 ; 67 ; 14 ; 21 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; ; VD[14] ; R22 ; 5 ; 67 ; 13 ; 7 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; ; VD[15] ; N20 ; 5 ; 67 ; 15 ; 7 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; ; VD[16] ; T12 ; 4 ; 45 ; 0 ; 7 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; ; VD[17] ; Y13 ; 4 ; 43 ; 0 ; 21 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; ; VD[18] ; AA13 ; 4 ; 38 ; 0 ; 28 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; ; VD[19] ; V14 ; 4 ; 50 ; 0 ; 21 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; ; VD[1] ; M21 ; 5 ; 67 ; 18 ; 0 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; ; VD[20] ; U13 ; 4 ; 50 ; 0 ; 28 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; ; VD[21] ; V15 ; 4 ; 50 ; 0 ; 0 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; ; VD[22] ; W14 ; 4 ; 48 ; 0 ; 21 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; ; VD[23] ; AB16 ; 4 ; 45 ; 0 ; 14 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; ; VD[24] ; AB15 ; 4 ; 43 ; 0 ; 7 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; ; VD[25] ; AA14 ; 4 ; 38 ; 0 ; 14 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; ; VD[26] ; AB14 ; 4 ; 38 ; 0 ; 7 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; ; VD[27] ; V13 ; 4 ; 48 ; 0 ; 28 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; ; VD[28] ; W13 ; 4 ; 43 ; 0 ; 28 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; ; VD[29] ; AB13 ; 4 ; 38 ; 0 ; 21 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; ; VD[2] ; P22 ; 5 ; 67 ; 14 ; 7 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; ; VD[30] ; V12 ; 4 ; 41 ; 0 ; 28 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; ; VD[31] ; U12 ; 4 ; 43 ; 0 ; 0 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; ; VD[3] ; R20 ; 5 ; 67 ; 11 ; 21 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; ; VD[4] ; P21 ; 5 ; 67 ; 14 ; 0 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; ; VD[5] ; R17 ; 5 ; 67 ; 10 ; 21 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; ; VD[6] ; R19 ; 5 ; 67 ; 12 ; 14 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; ; VD[7] ; U21 ; 5 ; 67 ; 11 ; 0 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; ; VD[8] ; V22 ; 5 ; 67 ; 10 ; 7 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; ; VD[9] ; R18 ; 5 ; 67 ; 12 ; 21 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; ; nSCSI_BUSY ; N8 ; 2 ; 0 ; 11 ; 14 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; ; nSCSI_RST ; N6 ; 2 ; 0 ; 12 ; 21 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; ; nSCSI_SEL ; M8 ; 2 ; 0 ; 11 ; 7 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; +-------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+-----------------+------------------------+---------------+-----------+-----------------+------------+----------+--------------+--------------+------------------+--------------------+---------------------------+----------------------+------+--------------------------------------------------------------------------------------------------+---------------------+ +--------------------------------------------------------------------------------------------------------------------------------------------+ ; Dual Purpose and Dedicated Pins ; +----------+------------------------------------------+--------------------------------+-------------------------+---------------------------+ ; Location ; Pin Name ; Reserved As ; User Signal Name ; Pin Type ; +----------+------------------------------------------+--------------------------------+-------------------------+---------------------------+ ; D1 ; DIFFIO_L8n, DATA1, ASDO ; As input tri-stated ; ~ALTERA_ASDO_DATA1~ ; Dual Purpose Pin ; ; E2 ; DIFFIO_L10p, FLASH_nCE, nCSO ; As input tri-stated ; ~ALTERA_FLASH_nCE_nCSO~ ; Dual Purpose Pin ; ; K6 ; nSTATUS ; - ; - ; Dedicated Programming Pin ; ; K2 ; DCLK ; As input tri-stated ; ~ALTERA_DCLK~ ; Dual Purpose Pin ; ; K1 ; DATA0 ; As input tri-stated ; ~ALTERA_DATA0~ ; Dual Purpose Pin ; ; K5 ; nCONFIG ; - ; - ; Dedicated Programming Pin ; ; L3 ; nCE ; - ; - ; Dedicated Programming Pin ; ; N22 ; DIFFIO_R32n, DEV_OE ; Reserved as secondary function ; ~ALTERA_DEV_OE~ ; Dual Purpose Pin ; ; N21 ; DIFFIO_R32p, DEV_CLRn ; Reserved as secondary function ; ~ALTERA_DEV_CLRn~ ; Dual Purpose Pin ; ; M18 ; CONF_DONE ; - ; - ; Dedicated Programming Pin ; ; M17 ; MSEL0 ; - ; - ; Dedicated Programming Pin ; ; L18 ; MSEL1 ; - ; - ; Dedicated Programming Pin ; ; L17 ; MSEL2 ; - ; - ; Dedicated Programming Pin ; ; K20 ; MSEL3 ; - ; - ; Dedicated Programming Pin ; ; K22 ; DIFFIO_R24n, nCEO ; Use as programming pin ; ~ALTERA_nCEO~ ; Dual Purpose Pin ; ; K21 ; DIFFIO_R24p, CLKUSR ; Use as regular IO ; HSYNC_PAD ; Dual Purpose Pin ; ; E22 ; DIFFIO_R12n, nWE ; Use as regular IO ; VG[1] ; Dual Purpose Pin ; ; E21 ; DIFFIO_R12p, nOE ; Use as regular IO ; VG[2] ; Dual Purpose Pin ; ; F20 ; DIFFIO_R8n, nAVD ; Use as regular IO ; nIRQ[4] ; Dual Purpose Pin ; ; F19 ; DIFFIO_R8p ; Use as regular IO ; PIXEL_CLK_PAD ; Dual Purpose Pin ; ; G18 ; DIFFIO_R7n, PADD23 ; Use as regular IO ; VB[0] ; Dual Purpose Pin ; ; B22 ; DIFFIO_R5n, PADD22 ; Use as regular IO ; VB[4] ; Dual Purpose Pin ; ; B21 ; DIFFIO_R5p, PADD21 ; Use as regular IO ; VB[5] ; Dual Purpose Pin ; ; C20 ; DIFFIO_R4n, PADD20, DQS2R/CQ3R,CDPCLK5 ; Use as regular IO ; VB[6] ; Dual Purpose Pin ; ; B18 ; DIFFIO_T45p, PADD0 ; Use as regular IO ; RTS ; Dual Purpose Pin ; ; A17 ; DIFFIO_T41n, PADD1 ; Use as regular IO ; YM_QA ; Dual Purpose Pin ; ; B17 ; DIFFIO_T41p, PADD2 ; Use as regular IO ; SD_DATA2 ; Dual Purpose Pin ; ; E14 ; DIFFIO_T38n, PADD3 ; Use as regular IO ; SD_CMD_D1 ; Dual Purpose Pin ; ; F13 ; DIFFIO_T37p, PADD4, DQS2T/CQ3T,DPCLK8 ; Use as regular IO ; SD_CD_DATA3 ; Dual Purpose Pin ; ; A15 ; DIFFIO_T36n, PADD5 ; Use as regular IO ; IO[9] ; Dual Purpose Pin ; ; B15 ; DIFFIO_T36p, PADD6 ; Use as regular IO ; IO[10] ; Dual Purpose Pin ; ; C13 ; DIFFIO_T35n, PADD7 ; Use as regular IO ; IO[11] ; Dual Purpose Pin ; ; D13 ; DIFFIO_T35p, PADD8 ; Use as regular IO ; IO[12] ; Dual Purpose Pin ; ; A14 ; DIFFIO_T31n, PADD9 ; Use as regular IO ; IO[14] ; Dual Purpose Pin ; ; B14 ; DIFFIO_T31p, PADD10 ; Use as regular IO ; IO[15] ; Dual Purpose Pin ; ; A13 ; DIFFIO_T29n, PADD11 ; Use as regular IO ; IO[16] ; Dual Purpose Pin ; ; B13 ; DIFFIO_T29p, PADD12, DQS4T/CQ5T,DPCLK9 ; Use as regular IO ; IO[17] ; Dual Purpose Pin ; ; E11 ; DIFFIO_T27n, PADD13 ; Use as regular IO ; nDREQ1 ; Dual Purpose Pin ; ; F11 ; DIFFIO_T27p, PADD14 ; Use as regular IO ; nSROE ; Dual Purpose Pin ; ; B10 ; DIFFIO_T25p, PADD15 ; Use as regular IO ; SRD[11] ; Dual Purpose Pin ; ; A9 ; DIFFIO_T24n, PADD16 ; Use as regular IO ; SRD[10] ; Dual Purpose Pin ; ; B9 ; DIFFIO_T24p, PADD17, DQS5T/CQ5T#,DPCLK10 ; Use as regular IO ; SRD[8] ; Dual Purpose Pin ; ; A8 ; DIFFIO_T20n, DATA2 ; Use as regular IO ; IO[0] ; Dual Purpose Pin ; ; B8 ; DIFFIO_T20p, DATA3 ; Use as regular IO ; nSRCS ; Dual Purpose Pin ; ; A7 ; DIFFIO_T19n, PADD18 ; Use as regular IO ; IO[1] ; Dual Purpose Pin ; ; B7 ; DIFFIO_T19p, DATA4 ; Use as regular IO ; IO[2] ; Dual Purpose Pin ; ; A6 ; DIFFIO_T18n, PADD19 ; Use as regular IO ; IO[3] ; Dual Purpose Pin ; ; B6 ; DIFFIO_T18p, DATA15 ; Use as regular IO ; IO[4] ; Dual Purpose Pin ; ; C8 ; DIFFIO_T16n, DATA14, DQS3T/CQ3T#,DPCLK11 ; Use as regular IO ; IO[6] ; Dual Purpose Pin ; ; C7 ; DIFFIO_T16p, DATA13 ; Use as regular IO ; IO[7] ; Dual Purpose Pin ; ; A5 ; DIFFIO_T11p, DATA5 ; Use as regular IO ; SRD[1] ; Dual Purpose Pin ; ; F10 ; DIFFIO_T8p, DATA6 ; Use as regular IO ; SRD[13] ; Dual Purpose Pin ; ; C6 ; DIFFIO_T7n, DATA7 ; Use as regular IO ; SRD[2] ; Dual Purpose Pin ; ; B4 ; DIFFIO_T6p, DATA8 ; Use as regular IO ; nSRBHE ; Dual Purpose Pin ; ; F8 ; DIFFIO_T5n, DATA9 ; Use as regular IO ; nSRWE ; Dual Purpose Pin ; ; A3 ; DIFFIO_T4n, DATA10 ; Use as regular IO ; LP_D[6] ; Dual Purpose Pin ; ; B3 ; DIFFIO_T4p, DATA11 ; Use as regular IO ; LP_D[5] ; Dual Purpose Pin ; ; C4 ; DIFFIO_T3p, DATA12, DQS1T/CQ1T#,CDPCLK7 ; Use as regular IO ; LP_D[1] ; Dual Purpose Pin ; +----------+------------------------------------------+--------------------------------+-------------------------+---------------------------+ +-------------------------------------------------------------+ ; I/O Bank Usage ; +----------+-------------------+---------------+--------------+ ; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ; +----------+-------------------+---------------+--------------+ ; 1 ; 30 / 36 ( 83 % ) ; 3.3V ; -- ; ; 2 ; 44 / 46 ( 96 % ) ; 3.3V ; -- ; ; 3 ; 38 / 42 ( 90 % ) ; 3.3V ; -- ; ; 4 ; 33 / 43 ( 77 % ) ; 2.5V ; -- ; ; 5 ; 37 / 42 ( 88 % ) ; 2.5V ; -- ; ; 6 ; 35 / 37 ( 95 % ) ; 3.0V ; -- ; ; 7 ; 43 / 43 ( 100 % ) ; 3.3V ; -- ; ; 8 ; 42 / 43 ( 98 % ) ; 3.3V ; -- ; +----------+-------------------+---------------+--------------+ +--------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; All Package Pins ; +----------+------------+----------+--------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ ; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; I/O Type ; User Assignment ; Bus Hold ; Weak Pull Up ; +----------+------------+----------+--------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ ; A1 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; A2 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; ; -- ; -- ; ; A3 ; 534 ; 8 ; LP_D[6] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; A4 ; 529 ; 8 ; nSRBLE ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; A5 ; 518 ; 8 ; SRD[1] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; A6 ; 501 ; 8 ; IO[3] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; A7 ; 499 ; 8 ; IO[1] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; A8 ; 497 ; 8 ; IO[0] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; A9 ; 487 ; 8 ; SRD[10] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; A10 ; 485 ; 8 ; SRD[9] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; A11 ; 481 ; 8 ; DVI_INT ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; A12 ; 479 ; 7 ; nDACK1 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; A13 ; 473 ; 7 ; IO[16] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; A14 ; 469 ; 7 ; IO[14] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; A15 ; 458 ; 7 ; IO[9] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; A16 ; 448 ; 7 ; SD_DATA1 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; A17 ; 446 ; 7 ; YM_QA ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; A18 ; 437 ; 7 ; TxD ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; A19 ; 435 ; 7 ; DCD ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; A20 ; 430 ; 7 ; nRD_DATA ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; A21 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; ; -- ; -- ; ; A22 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; AA1 ; 125 ; 2 ; nPCI_INTA ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; AA2 ; 124 ; 2 ; PIC_INT ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; AA3 ; 154 ; 3 ; FB_AD[2] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; AA4 ; 158 ; 3 ; FB_AD[6] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; AA5 ; 160 ; 3 ; FB_AD[8] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; AA6 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; ; AA7 ; 173 ; 3 ; FB_AD[15] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; AA8 ; 183 ; 3 ; FB_AD[22] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; AA9 ; 189 ; 3 ; FB_AD[25] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; AA10 ; 202 ; 3 ; FB_AD[31] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; AA11 ; 204 ; 3 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; ; AA12 ; 206 ; 4 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; ; AA13 ; 208 ; 4 ; VD[18] ; bidir ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; ; AA14 ; 210 ; 4 ; VD[25] ; bidir ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; ; AA15 ; 220 ; 4 ; VDQS[0] ; bidir ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; ; AA16 ; 224 ; 4 ; VDM[0] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; ; AA17 ; 243 ; 4 ; nDDR_CLK ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; ; AA18 ; 245 ; 4 ; VA[12] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; ; AA19 ; 252 ; 4 ; BA[1] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; ; AA20 ; 259 ; 4 ; VA[7] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; ; AA21 ; 274 ; 5 ; VA[6] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; ; AA22 ; 273 ; 5 ; VA[4] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; ; AB1 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; AB2 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; ; AB3 ; 155 ; 3 ; FB_AD[3] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; AB4 ; 159 ; 3 ; FB_AD[7] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; AB5 ; 161 ; 3 ; FB_AD[9] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; AB6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; AB7 ; 174 ; 3 ; FB_AD[16] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; AB8 ; 184 ; 3 ; FB_AD[23] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; AB9 ; 190 ; 3 ; FB_AD[26] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; AB10 ; 203 ; 3 ; CLK24M576 ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; AB11 ; 205 ; 3 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; ; AB12 ; 207 ; 4 ; CLK33M ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; AB13 ; 209 ; 4 ; VD[29] ; bidir ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; ; AB14 ; 211 ; 4 ; VD[26] ; bidir ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; ; AB15 ; 221 ; 4 ; VD[24] ; bidir ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; ; AB16 ; 225 ; 4 ; VD[23] ; bidir ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; ; AB17 ; 244 ; 4 ; DDR_CLK ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; ; AB18 ; 242 ; 4 ; nVCAS ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; ; AB19 ; 253 ; 4 ; VA[9] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; ; AB20 ; 260 ; 4 ; VA[8] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; ; AB21 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; ; AB22 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; B1 ; 4 ; 1 ; ACSI_D[0] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; B2 ; 3 ; 1 ; MIDI_TLR ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; B3 ; 535 ; 8 ; LP_D[5] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; B4 ; 530 ; 8 ; nSRBHE ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; B5 ; 523 ; 8 ; SRD[0] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; B6 ; 502 ; 8 ; IO[4] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; B7 ; 500 ; 8 ; IO[2] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; B8 ; 498 ; 8 ; nSRCS ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; B9 ; 488 ; 8 ; SRD[8] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; B10 ; 486 ; 8 ; SRD[11] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; B11 ; 482 ; 8 ; nRSTO_MCF ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; B12 ; 480 ; 7 ; nDACK0 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; B13 ; 474 ; 7 ; IO[17] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; B14 ; 470 ; 7 ; IO[15] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; B15 ; 459 ; 7 ; IO[10] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; B16 ; 449 ; 7 ; SD_DATA0 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; B17 ; 447 ; 7 ; SD_DATA2 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; B18 ; 438 ; 7 ; RTS ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; B19 ; 434 ; 7 ; RI ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; B20 ; 431 ; 7 ; nSDSEL ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; B21 ; 404 ; 6 ; VB[5] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; B22 ; 403 ; 6 ; VB[4] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; C1 ; 15 ; 1 ; ACSI_D[4] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; C2 ; 14 ; 1 ; ACSI_D[3] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; C3 ; 538 ; 8 ; LP_D[2] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; C4 ; 539 ; 8 ; LP_D[1] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; C5 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; C6 ; 526 ; 8 ; SRD[2] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; C7 ; 508 ; 8 ; IO[7] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; C8 ; 507 ; 8 ; IO[6] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; C9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; C10 ; 491 ; 8 ; SRD[4] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; C11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; C12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; C13 ; 460 ; 7 ; IO[11] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; C14 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; C15 ; 450 ; 7 ; SD_CLK ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; C16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; C17 ; 433 ; 7 ; nDCHG ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; C18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; C19 ; 428 ; 7 ; TRACK00 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; C20 ; 405 ; 6 ; VB[6] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; C21 ; 401 ; 6 ; VB[3] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; C22 ; 400 ; 6 ; VB[2] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; D1 ; 17 ; 1 ; ~ALTERA_ASDO_DATA1~ / RESERVED_INPUT ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; ; D2 ; 16 ; 1 ; ACSI_D[5] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; D3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; D4 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; ; D5 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; ; -- ; -- ; ; D6 ; 536 ; 8 ; LP_D[4] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; D7 ; 527 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; ; D8 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; D9 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; ; -- ; -- ; ; D10 ; 483 ; 8 ; SRD[12] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; D11 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; ; -- ; -- ; ; D12 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; ; -- ; -- ; ; D13 ; 461 ; 7 ; IO[12] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; D14 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; ; -- ; -- ; ; D15 ; 439 ; 7 ; DTR ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; D16 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; ; -- ; -- ; ; D17 ; 426 ; 7 ; nWR_GATE ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; D18 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; ; -- ; -- ; ; D19 ; 429 ; 7 ; nWP ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; D20 ; 407 ; 6 ; VB[7] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; D21 ; 395 ; 6 ; VG[7] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; D22 ; 394 ; 6 ; VG[6] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; E1 ; 22 ; 1 ; SCSI_D[1] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; E2 ; 21 ; 1 ; ~ALTERA_FLASH_nCE_nCSO~ / RESERVED_INPUT ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; ; E3 ; 9 ; 1 ; ACSI_D[2] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; E4 ; 8 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; ; E5 ; 546 ; 8 ; LPDIR ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; E6 ; 545 ; 8 ; LP_STR ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; E7 ; 537 ; 8 ; LP_D[3] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; E8 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; ; -- ; -- ; ; E9 ; 506 ; 8 ; IO[5] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; E10 ; 484 ; 8 ; SRD[6] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; E11 ; 477 ; 7 ; nDREQ1 ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; E12 ; 476 ; 7 ; MIDI_IN ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; E13 ; 468 ; 7 ; IO[13] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; E14 ; 453 ; 7 ; SD_CMD_D1 ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; E15 ; 440 ; 7 ; YM_QC ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; E16 ; 418 ; 7 ; nINDEX ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; E17 ; ; ; VCCD_PLL2 ; power ; ; 1.2V ; -- ; ; -- ; -- ; ; E18 ; ; ; GNDA2 ; gnd ; ; ; -- ; ; -- ; -- ; ; E19 ; ; 6 ; VCCIO6 ; power ; ; 3.0V ; -- ; ; -- ; -- ; ; E20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; E21 ; 388 ; 6 ; VG[2] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; E22 ; 387 ; 6 ; VG[1] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; F1 ; 26 ; 1 ; SCSI_D[3] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; F2 ; 25 ; 1 ; SCSI_D[2] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; F3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; F4 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; ; F5 ; ; ; GNDA3 ; gnd ; ; ; -- ; ; -- ; -- ; ; F6 ; ; ; VCCD_PLL3 ; power ; ; 1.2V ; -- ; ; -- ; -- ; ; F7 ; 542 ; 8 ; LP_D[0] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; F8 ; 531 ; 8 ; nSRWE ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; F9 ; 544 ; 8 ; SRD[5] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; F10 ; 525 ; 8 ; SRD[13] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; F11 ; 478 ; 7 ; nSROE ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; F12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; F13 ; 457 ; 7 ; SD_CD_DATA3 ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; F14 ; 423 ; 7 ; nSTEP ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; F15 ; 419 ; 7 ; DSA_D ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; F16 ; 417 ; 7 ; HD_DD ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; F17 ; 410 ; 6 ; nSYNC ; output ; 3.0-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; ; F18 ; ; -- ; VCCA2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; ; F19 ; 397 ; 6 ; PIXEL_CLK_PAD ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; F20 ; 396 ; 6 ; nIRQ[4] ; output ; 3.0-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; ; F21 ; 376 ; 6 ; nIRQ[2] ; output ; 3.0-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; ; F22 ; 375 ; 6 ; VR[7] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; G1 ; 67 ; 1 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; ; G2 ; 66 ; 1 ; MAIN_CLK ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; G3 ; 1 ; 1 ; SCSI_D[5] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; G4 ; 0 ; 1 ; SCSI_D[4] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; G5 ; 5 ; 1 ; ACSI_D[1] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; G6 ; ; -- ; VCCA3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; ; G7 ; 543 ; 8 ; LP_BUSY ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; G8 ; 532 ; 8 ; LP_D[7] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; G9 ; 547 ; 8 ; SRD[14] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; G10 ; 524 ; 8 ; IO[8] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; G11 ; 492 ; 8 ; SRD[3] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; G12 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; ; G13 ; 444 ; 7 ; YM_QB ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; G14 ; 441 ; 7 ; nWR ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; G15 ; 422 ; 7 ; nSTEP_DIR ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; G16 ; 420 ; 7 ; nMOT_ON ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; G17 ; 411 ; 6 ; nBLANK_PAD ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; G18 ; 398 ; 6 ; VB[0] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; G19 ; ; 6 ; VCCIO6 ; power ; ; 3.0V ; -- ; ; -- ; -- ; ; G20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; G21 ; 345 ; 6 ; E0_INT ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; G22 ; 344 ; 6 ; IDE_INT ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; H1 ; 52 ; 1 ; nSCSI_C_D ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; H2 ; 51 ; 1 ; nSCSI_MSG ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; H3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; H4 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; ; H5 ; 42 ; 1 ; MIDI_OLR ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; H6 ; 19 ; 1 ; ACSI_D[7] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; H7 ; 18 ; 1 ; ACSI_D[6] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; H8 ; 29 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; ; H9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; ; H10 ; 512 ; 8 ; SRD[15] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; H11 ; 511 ; 8 ; SRD[7] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; H12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; H13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; H14 ; 425 ; 7 ; CTS ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; H15 ; 424 ; 7 ; RxD ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; H16 ; 393 ; 6 ; VG[5] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; H17 ; 399 ; 6 ; VB[1] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; H18 ; 391 ; 6 ; VG[3] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; H19 ; 386 ; 6 ; VG[0] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; H20 ; 385 ; 6 ; nIRQ[3] ; output ; 3.0-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; ; H21 ; 365 ; 6 ; VR[3] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; H22 ; 364 ; 6 ; VR[2] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; J1 ; 55 ; 1 ; CLKUSB ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; J2 ; 54 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; ; J3 ; 53 ; 1 ; nSCSI_I_O ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; J4 ; 50 ; 1 ; nACSI_INT ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; J5 ; 38 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; ; J6 ; 20 ; 1 ; SCSI_D[0] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; J7 ; 45 ; 1 ; SCSI_DIR ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; J8 ; 30 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; ; J9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; J10 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; ; J11 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; ; J12 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; ; J13 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; ; J14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; ; J15 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; J16 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; ; J17 ; 392 ; 6 ; VG[4] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; J18 ; 374 ; 6 ; VR[6] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; J19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; J20 ; ; 6 ; VCCIO6 ; power ; ; 3.0V ; -- ; ; -- ; -- ; ; J21 ; 363 ; 6 ; VR[1] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; J22 ; 362 ; 6 ; VR[0] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; K1 ; 59 ; 1 ; ~ALTERA_DATA0~ / RESERVED_INPUT ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; ; K2 ; 58 ; 1 ; ~ALTERA_DCLK~ / RESERVED_INPUT ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; ; K3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; K4 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; ; K5 ; 60 ; 1 ; ^nCONFIG ; ; ; ; -- ; ; -- ; -- ; ; K6 ; 41 ; 1 ; ^nSTATUS ; ; ; ; -- ; ; -- ; -- ; ; K7 ; 46 ; 1 ; nACSI_DRQ ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; K8 ; 44 ; 1 ; SCSI_D[7] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; K9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; ; K10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; K11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; K12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; K13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; K14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; ; K15 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; ; K16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; K17 ; 369 ; 6 ; VR[4] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; K18 ; 370 ; 6 ; VR[5] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; K19 ; 357 ; 6 ; VSYNC_PAD ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; K20 ; 350 ; 6 ; ^MSEL3 ; ; ; ; -- ; ; -- ; -- ; ; K21 ; 361 ; 6 ; HSYNC_PAD ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; K22 ; 360 ; 6 ; ~ALTERA_nCEO~ / RESERVED_OUTPUT_OPEN_DRAIN ; output ; 3.0-V LVTTL ; ; Row I/O ; N ; no ; Off ; ; L1 ; 63 ; 1 ; #TMS ; input ; ; ; -- ; ; -- ; -- ; ; L2 ; 62 ; 1 ; #TCK ; input ; ; ; -- ; ; -- ; -- ; ; L3 ; 65 ; 1 ; ^nCE ; ; ; ; -- ; ; -- ; -- ; ; L4 ; 64 ; 1 ; #TDO ; output ; ; ; -- ; ; -- ; -- ; ; L5 ; 61 ; 1 ; #TDI ; input ; ; ; -- ; ; -- ; -- ; ; L6 ; 70 ; 2 ; ACSI_DIR ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; L7 ; 79 ; 2 ; PIC_AMKB_RX ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; L8 ; 43 ; 1 ; SCSI_D[6] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; L9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; ; L10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; L11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; L12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; L13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; L14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; ; L15 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; L16 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; ; L17 ; 349 ; 6 ; ^MSEL2 ; ; ; ; -- ; ; -- ; -- ; ; L18 ; 348 ; 6 ; ^MSEL1 ; ; ; ; -- ; ; -- ; -- ; ; L19 ; ; 6 ; VCCIO6 ; power ; ; 3.0V ; -- ; ; -- ; -- ; ; L20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; L21 ; 354 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; ; L22 ; 353 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; ; M1 ; 73 ; 2 ; nACSI_RESET ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; M2 ; 72 ; 2 ; nACSI_CS ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; M3 ; 75 ; 2 ; nSCSI_ATN ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; M4 ; 74 ; 2 ; nACSI_ACK ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; M5 ; 80 ; 2 ; IDE_RES ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; M6 ; 71 ; 2 ; ACSI_A1 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; M7 ; 105 ; 2 ; SCSI_PAR ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; M8 ; 106 ; 2 ; nSCSI_SEL ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; M9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; ; M10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; M11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; M12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; M13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; M14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; ; M15 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; ; M16 ; 337 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; ; M17 ; 347 ; 6 ; ^MSEL0 ; ; ; ; -- ; ; -- ; -- ; ; M18 ; 346 ; 6 ; ^CONF_DONE ; ; ; ; -- ; ; -- ; -- ; ; M19 ; 336 ; 5 ; SD_WP ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; M20 ; 335 ; 5 ; SD_CARD_DEDECT ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; M21 ; 334 ; 5 ; VD[1] ; bidir ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; ; M22 ; 333 ; 5 ; VD[0] ; bidir ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; ; N1 ; 77 ; 2 ; AMKB_TX ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; ; N2 ; 76 ; 2 ; nSCSI_ACK ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; N3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; N4 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; ; N5 ; 87 ; 2 ; nRP_LDS ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; N6 ; 104 ; 2 ; nSCSI_RST ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; N7 ; 122 ; 2 ; nIRQ[7] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; N8 ; 107 ; 2 ; nSCSI_BUSY ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; N9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; ; N10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; N11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; N12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; N13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; N14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; ; N15 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; N16 ; 314 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; ; N17 ; 329 ; 5 ; VD[12] ; bidir ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; ; N18 ; 330 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; ; N19 ; 324 ; 5 ; LED_FPGA_OK ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; ; N20 ; 323 ; 5 ; VD[15] ; bidir ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; ; N21 ; 332 ; 5 ; ~ALTERA_DEV_CLRn~ / RESERVED_INPUT ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ; ; N22 ; 331 ; 5 ; ~ALTERA_DEV_OE~ / RESERVED_INPUT ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ; ; P1 ; 84 ; 2 ; nIDE_RD ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; P2 ; 83 ; 2 ; nIDE_WR ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; P3 ; 89 ; 2 ; nROM3 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; P4 ; 88 ; 2 ; nRP_UDS ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; P5 ; 103 ; 2 ; nIRQ[5] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; P6 ; 131 ; 2 ; nPCI_INTD ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; P7 ; 123 ; 2 ; nIRQ[6] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; P8 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; P9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; ; P10 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; ; P11 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; ; P12 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; ; P13 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; ; P14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; ; P15 ; 298 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; ; P16 ; 299 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; ; P17 ; 302 ; 5 ; VD[10] ; bidir ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; ; P18 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; ; P19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; P20 ; 317 ; 5 ; VD[13] ; bidir ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; ; P21 ; 320 ; 5 ; VD[4] ; bidir ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; ; P22 ; 319 ; 5 ; VD[2] ; bidir ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; ; R1 ; 86 ; 2 ; nIDE_CS1 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; R2 ; 85 ; 2 ; nIDE_CS0 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; R3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; R4 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; ; R5 ; 135 ; 2 ; TIN0 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; R6 ; 136 ; 2 ; nFB_OE ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; R7 ; 137 ; 2 ; FB_ALE ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; R8 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; ; R9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; R10 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; ; R11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; R12 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; ; R13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; R14 ; 268 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; ; R15 ; 269 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; ; R16 ; 267 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; ; R17 ; 301 ; 5 ; VD[5] ; bidir ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; ; R18 ; 309 ; 5 ; VD[9] ; bidir ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; ; R19 ; 310 ; 5 ; VD[6] ; bidir ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; ; R20 ; 305 ; 5 ; VD[3] ; bidir ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; ; R21 ; 316 ; 5 ; VD[11] ; bidir ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; ; R22 ; 315 ; 5 ; VD[14] ; bidir ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; ; T1 ; 69 ; 2 ; WP_CF_CARD ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; T2 ; 68 ; 2 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; ; T3 ; 121 ; 2 ; nFB_BURST ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; T4 ; 134 ; 2 ; CLK25M ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; T5 ; 133 ; 2 ; nFB_WR ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; T6 ; ; -- ; VCCA1 ; power ; ; 2.5V ; -- ; ; -- ; -- ; ; T7 ; 138 ; 2 ; nFB_TA ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; T8 ; 166 ; 3 ; nFB_CS1 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; T9 ; 167 ; 3 ; nFB_CS2 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; T10 ; 176 ; 3 ; FB_AD[20] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; T11 ; 177 ; 3 ; FB_AD[24] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; T12 ; 226 ; 4 ; VD[16] ; bidir ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; ; T13 ; 227 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; ; T14 ; 240 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; ; T15 ; 241 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; ; T16 ; 266 ; 4 ; VDQS[3] ; bidir ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; ; T17 ; 277 ; 5 ; VDM[3] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; ; T18 ; 278 ; 5 ; nVCS ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; ; T19 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; ; T20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; T21 ; 343 ; 5 ; nMASTER ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; T22 ; 342 ; 5 ; TOUT0 ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; U1 ; 92 ; 2 ; nSCSI_DRQ ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; U2 ; 91 ; 2 ; nROM4 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; U3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; U4 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; ; U5 ; ; ; GNDA1 ; gnd ; ; ; -- ; ; -- ; -- ; ; U6 ; ; ; VCCD_PLL1 ; power ; ; 1.2V ; -- ; ; -- ; -- ; ; U7 ; 145 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; ; U8 ; 146 ; 3 ; FB_SIZE0 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; U9 ; 170 ; 3 ; FB_AD[12] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; U10 ; 182 ; 3 ; FB_AD[21] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; U11 ; 191 ; 3 ; FB_AD[27] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; U12 ; 222 ; 4 ; VD[31] ; bidir ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; ; U13 ; 233 ; 4 ; VD[20] ; bidir ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; ; U14 ; 235 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; ; U15 ; 236 ; 4 ; VCKE ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; ; U16 ; 262 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; ; U17 ; 263 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; ; U18 ; ; -- ; VCCA4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; ; U19 ; 291 ; 5 ; VA[11] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; ; U20 ; 290 ; 5 ; VDM[2] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; ; U21 ; 308 ; 5 ; VD[7] ; bidir ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; ; U22 ; 307 ; 5 ; VDQS[2] ; bidir ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; ; V1 ; 98 ; 2 ; nPD_VGA ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; V2 ; 97 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; ; V3 ; 130 ; 2 ; nPCI_INTC ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; V4 ; 129 ; 2 ; nPCI_INTB ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; V5 ; 142 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; ; V6 ; 141 ; 3 ; nFB_CS3 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; V7 ; 157 ; 3 ; FB_AD[5] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; V8 ; 171 ; 3 ; FB_AD[13] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; V9 ; 178 ; 3 ; FB_AD[18] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; V10 ; 179 ; 3 ; FB_AD[19] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; V11 ; 199 ; 3 ; FB_AD[28] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; V12 ; 213 ; 4 ; VD[30] ; bidir ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; ; V13 ; 228 ; 4 ; VD[27] ; bidir ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; ; V14 ; 234 ; 4 ; VD[19] ; bidir ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; ; V15 ; 237 ; 4 ; VD[21] ; bidir ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; ; V16 ; 261 ; 4 ; VDM[1] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; ; V17 ; ; ; VCCD_PLL4 ; power ; ; 1.2V ; -- ; ; -- ; -- ; ; V18 ; ; ; GNDA4 ; gnd ; ; ; -- ; ; -- ; -- ; ; V19 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; ; V20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; V21 ; 304 ; 5 ; VA[10] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; ; V22 ; 303 ; 5 ; VD[8] ; bidir ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; ; W1 ; 111 ; 2 ; nCF_CS1 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; W2 ; 110 ; 2 ; nCF_CS0 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; W3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; W4 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; ; W5 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; ; W6 ; 156 ; 3 ; FB_AD[4] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; W7 ; 168 ; 3 ; FB_AD[10] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; W8 ; 172 ; 3 ; FB_AD[14] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; W9 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; ; W10 ; 200 ; 3 ; FB_AD[29] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; W11 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; ; W12 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; ; W13 ; 218 ; 4 ; VD[28] ; bidir ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; ; W14 ; 229 ; 4 ; VD[22] ; bidir ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; ; W15 ; 239 ; 4 ; VDQS[1] ; bidir ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; ; W16 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; ; W17 ; 257 ; 4 ; nVRAS ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; ; W18 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; ; W19 ; 285 ; 5 ; BA[0] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; ; W20 ; 280 ; 5 ; VA[0] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; ; W21 ; 293 ; 5 ; VA[2] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; ; W22 ; 292 ; 5 ; VA[1] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; ; Y1 ; 113 ; 2 ; IDE_RDY ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; Y2 ; 112 ; 2 ; AMKB_RX ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; Y3 ; 148 ; 3 ; FB_AD[0] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; Y4 ; 147 ; 3 ; FB_SIZE1 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; Y5 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; Y6 ; 152 ; 3 ; FB_AD[1] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; Y7 ; 169 ; 3 ; FB_AD[11] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; Y8 ; 175 ; 3 ; FB_AD[17] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; Y9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; Y10 ; 201 ; 3 ; FB_AD[30] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; Y11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; Y12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; Y13 ; 219 ; 4 ; VD[17] ; bidir ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; ; Y14 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; ; Y15 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; Y16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; Y17 ; 258 ; 4 ; nVWE ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; ; Y18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; Y19 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; ; Y20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; Y21 ; 289 ; 5 ; VA[5] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; ; Y22 ; 288 ; 5 ; VA[3] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; +----------+------------+----------+--------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ Note: Pin directions (input, output or bidir) are based on device operating in user mode. +-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; PLL Summary ; +-------------------------------+----------------------------------------------------------------------+------------------------------------------------------------------------+------------------------------------------------------------------------+--------------------------------------------------------------------------+ ; Name ; altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|pll1 ; altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|pll1 ; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|pll1 ; altpll4:inst22|altpll:altpll_component|altpll_r4n2:auto_generated|pll1 ; +-------------------------------+----------------------------------------------------------------------+------------------------------------------------------------------------+------------------------------------------------------------------------+--------------------------------------------------------------------------+ ; SDC pin name ; inst|altpll_component|auto_generated|pll1 ; inst13|altpll_component|auto_generated|pll1 ; inst12|altpll_component|auto_generated|pll1 ; inst22|altpll_component|auto_generated|pll1 ; ; PLL mode ; Source Synchronous ; Source Synchronous ; Source Synchronous ; Normal ; ; Compensate clock ; clock0 ; clock1 ; clock0 ; clock0 ; ; Compensated input/output pins ; -- ; nRD_DATA ; MAIN_CLK ; -- ; ; Switchover type ; -- ; -- ; -- ; -- ; ; Input frequency 0 ; 33.0 MHz ; 33.0 MHz ; 33.0 MHz ; 48.0 MHz ; ; Input frequency 1 ; -- ; -- ; -- ; -- ; ; Nominal PFD frequency ; 5.5 MHz ; 11.0 MHz ; 33.0 MHz ; 48.0 MHz ; ; Nominal VCO frequency ; 368.5 MHz ; 1199.0 MHz ; 396.0 MHz ; 576.0 MHz ; ; VCO post scale K counter ; 2 ; -- ; 2 ; 2 ; ; VCO frequency control ; Auto ; Auto ; Auto ; Auto ; ; VCO phase shift step ; 339 ps ; 104 ps ; 315 ps ; 217 ps ; ; VCO multiply ; -- ; -- ; -- ; -- ; ; VCO divide ; -- ; -- ; -- ; -- ; ; Freq min lock ; 32.4 MHz ; 16.8 MHz ; 25.0 MHz ; 25.0 MHz ; ; Freq max lock ; 58.23 MHz ; 35.79 MHz ; 54.18 MHz ; 54.18 MHz ; ; M VCO Tap ; 0 ; 0 ; 0 ; 0 ; ; M Initial ; 1 ; 1 ; 1 ; 1 ; ; M value ; 67 ; 109 ; 12 ; 12 ; ; N value ; 6 ; 3 ; 1 ; 1 ; ; Charge pump current ; setting 1 ; setting 1 ; setting 1 ; setting 1 ; ; Loop filter resistance ; setting 16 ; setting 19 ; setting 27 ; setting 27 ; ; Loop filter capacitance ; setting 0 ; setting 0 ; setting 0 ; setting 0 ; ; Bandwidth ; 340 kHz to 540 kHz ; 450 kHz to 560 kHz ; 680 kHz to 980 kHz ; 680 kHz to 980 kHz ; ; Bandwidth type ; Medium ; Medium ; Medium ; Medium ; ; Real time reconfigurable ; Off ; Off ; Off ; On ; ; Scan chain MIF file ; -- ; -- ; -- ; altpll4.mif ; ; Preserve PLL counter order ; Off ; Off ; Off ; Off ; ; PLL location ; PLL_3 ; PLL_4 ; PLL_1 ; PLL_2 ; ; Inclk0 signal ; CLK33M ; CLK33M ; MAIN_CLK ; altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[3] ; ; Inclk1 signal ; -- ; -- ; -- ; -- ; ; Inclk0 signal type ; Global Clock ; Dedicated Pin ; Dedicated Pin ; Global Clock ; ; Inclk1 signal type ; -- ; -- ; -- ; -- ; +-------------------------------+----------------------------------------------------------------------+------------------------------------------------------------------------+------------------------------------------------------------------------+--------------------------------------------------------------------------+ +------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; PLL Usage ; +-------------------------------------------------------------------------------------+--------------+------+------+------------------+----------------+------------------+------------+---------+---------------+--------------+---------------+---------+---------+----------------------------------------------------+ ; Name ; Output Clock ; Mult ; Div ; Output Frequency ; Phase Shift ; Phase Shift Step ; Duty Cycle ; Counter ; Counter Value ; High / Low ; Cascade Input ; Initial ; VCO Tap ; SDC Pin Name ; +-------------------------------------------------------------------------------------+--------------+------+------+------------------+----------------+------------------+------------+---------+---------------+--------------+---------------+---------+---------+----------------------------------------------------+ ; altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|clk[0] ; clock0 ; 1 ; 66 ; 0.5 MHz ; 0 (0 ps) ; 0.67 (339 ps) ; 50/50 ; C1 ; 67 ; 34/33 Odd ; C0 ; 1 ; 0 ; inst|altpll_component|auto_generated|pll1|clk[0] ; ; altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|clk[1] ; clock1 ; 67 ; 900 ; 2.46 MHz ; 0 (0 ps) ; 0.30 (339 ps) ; 50/50 ; C2 ; 150 ; 75/75 Even ; -- ; 1 ; 0 ; inst|altpll_component|auto_generated|pll1|clk[1] ; ; altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|clk[2] ; clock2 ; 67 ; 90 ; 24.57 MHz ; 0 (0 ps) ; 3.00 (339 ps) ; 50/50 ; C3 ; 15 ; 8/7 Odd ; -- ; 1 ; 0 ; inst|altpll_component|auto_generated|pll1|clk[2] ; ; altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|clk[0]~cascade_in ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; C0 ; 11 ; 5/6 Odd ; -- ; 1 ; 0 ; ; ; altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[0] ; clock0 ; 109 ; 1800 ; 2.0 MHz ; 0 (0 ps) ; 0.15 (104 ps) ; 50/50 ; C1 ; 300 ; 150/150 Even ; C0 ; 1 ; 0 ; inst13|altpll_component|auto_generated|pll1|clk[0] ; ; altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[1] ; clock1 ; 109 ; 225 ; 15.99 MHz ; 0 (0 ps) ; 0.60 (104 ps) ; 50/50 ; C2 ; 75 ; 38/37 Odd ; -- ; 1 ; 0 ; inst13|altpll_component|auto_generated|pll1|clk[1] ; ; altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[2] ; clock2 ; 109 ; 144 ; 24.98 MHz ; 0 (0 ps) ; 0.94 (104 ps) ; 50/50 ; C3 ; 48 ; 24/24 Even ; -- ; 1 ; 0 ; inst13|altpll_component|auto_generated|pll1|clk[2] ; ; altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[3] ; clock3 ; 109 ; 75 ; 47.96 MHz ; 0 (0 ps) ; 1.80 (104 ps) ; 50/50 ; C4 ; 25 ; 13/12 Odd ; -- ; 1 ; 0 ; inst13|altpll_component|auto_generated|pll1|clk[3] ; ; altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[0]~cascade_in ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; C0 ; 2 ; 1/1 Even ; -- ; 1 ; 0 ; ; ; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[0] ; clock0 ; 4 ; 1 ; 132.0 MHz ; 240 (5051 ps) ; 15.00 (315 ps) ; 50/50 ; C2 ; 3 ; 2/1 Odd ; -- ; 3 ; 0 ; inst12|altpll_component|auto_generated|pll1|clk[0] ; ; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[1] ; clock1 ; 4 ; 1 ; 132.0 MHz ; 0 (0 ps) ; 15.00 (315 ps) ; 50/50 ; C4 ; 3 ; 2/1 Odd ; -- ; 1 ; 0 ; inst12|altpll_component|auto_generated|pll1|clk[1] ; ; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[2] ; clock2 ; 4 ; 1 ; 132.0 MHz ; 180 (3788 ps) ; 15.00 (315 ps) ; 50/50 ; C3 ; 3 ; 2/1 Odd ; -- ; 2 ; 4 ; inst12|altpll_component|auto_generated|pll1|clk[2] ; ; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[3] ; clock3 ; 4 ; 1 ; 132.0 MHz ; 105 (2210 ps) ; 15.00 (315 ps) ; 50/50 ; C1 ; 3 ; 2/1 Odd ; -- ; 1 ; 7 ; inst12|altpll_component|auto_generated|pll1|clk[3] ; ; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[4] ; clock4 ; 2 ; 1 ; 66.0 MHz ; 270 (11364 ps) ; 7.50 (315 ps) ; 50/50 ; C0 ; 6 ; 3/3 Even ; -- ; 5 ; 4 ; inst12|altpll_component|auto_generated|pll1|clk[4] ; ; altpll4:inst22|altpll:altpll_component|altpll_r4n2:auto_generated|clk[0] ; clock0 ; 2 ; 1 ; 96.0 MHz ; 0 (0 ps) ; 7.50 (217 ps) ; 50/50 ; C0 ; 6 ; 3/3 Even ; -- ; 1 ; 0 ; inst22|altpll_component|auto_generated|pll1|clk[0] ; +-------------------------------------------------------------------------------------+--------------+------+------+------------------+----------------+------------------+------------+---------+---------------+--------------+---------------+---------+---------+----------------------------------------------------+ +----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Fitter Resource Utilization by Entity ; +-----------------------------------------------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------+ ; Compilation Hierarchy Node ; Logic Cells ; Dedicated Logic Registers ; I/O Registers ; Memory Bits ; M9Ks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Full Hierarchy Name ; Library Name ; +-----------------------------------------------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------+ ; |firebee1 ; 9435 (8) ; 4622 (0) ; 128 (128) ; 109344 ; 23 ; 6 ; 0 ; 3 ; 295 ; 0 ; 4813 (8) ; 1468 (0) ; 3154 (2) ; |firebee1 ; ; ; |DSP:Mathias_Alles| ; 5 (5) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 0 (0) ; 2 (2) ; |firebee1|DSP:Mathias_Alles ; ; ; |FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden| ; 3987 (631) ; 1633 (114) ; 0 (0) ; 16384 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2281 (451) ; 302 (8) ; 1404 (164) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden ; ; ; |WF1772IP_TOP_SOC:I_FDC| ; 913 (18) ; 406 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 497 (10) ; 33 (0) ; 383 (14) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC ; ; ; |WF1772IP_AM_DETECTOR:I_AM_DETECTOR| ; 40 (40) ; 27 (27) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 13 (13) ; 1 (1) ; 26 (26) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_AM_DETECTOR:I_AM_DETECTOR ; ; ; |WF1772IP_CONTROL:I_CONTROL| ; 484 (484) ; 197 (197) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 285 (285) ; 13 (13) ; 186 (186) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL ; ; ; |WF1772IP_CRC_LOGIC:I_CRC_LOGIC| ; 37 (37) ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 21 (21) ; 3 (3) ; 13 (13) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CRC_LOGIC:I_CRC_LOGIC ; ; ; |WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL| ; 105 (105) ; 38 (38) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 67 (67) ; 1 (1) ; 37 (37) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL ; ; ; |WF1772IP_REGISTERS:I_REGISTERS| ; 107 (107) ; 48 (48) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 58 (58) ; 9 (9) ; 40 (40) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS ; ; ; |WF1772IP_TRANSCEIVER:I_TRANSCEIVER| ; 123 (123) ; 80 (80) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 43 (43) ; 6 (6) ; 74 (74) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER ; ; ; |WF2149IP_TOP_SOC:I_SOUND| ; 535 (102) ; 210 (29) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 324 (73) ; 52 (22) ; 159 (14) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND ; ; ; |WF2149IP_WAVE:I_PSG_WAVE| ; 435 (435) ; 181 (181) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 251 (251) ; 30 (30) ; 154 (154) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE ; ; ; |WF5380_TOP_SOC:I_SCSI| ; 1 (0) ; 1 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 1 (0) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI ; ; ; |WF5380_CONTROL:I_CONTROL| ; 1 (1) ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 1 (1) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL ; ; ; |WF6850IP_TOP_SOC:I_ACIA_KEYBOARD| ; 214 (2) ; 97 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 112 (2) ; 9 (0) ; 93 (0) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD ; ; ; |WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS| ; 27 (27) ; 11 (11) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 15 (15) ; 5 (5) ; 7 (7) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS ; ; ; |WF6850IP_RECEIVE:I_UART_RECEIVE| ; 99 (99) ; 47 (47) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 48 (48) ; 2 (2) ; 49 (49) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE ; ; ; |WF6850IP_TRANSMIT:I_UART_TRANSMIT| ; 86 (86) ; 39 (39) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 47 (47) ; 2 (2) ; 37 (37) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT ; ; ; |WF6850IP_TOP_SOC:I_ACIA_MIDI| ; 212 (1) ; 97 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 107 (1) ; 8 (0) ; 97 (0) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI ; ; ; |WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS| ; 29 (29) ; 11 (11) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 16 (16) ; 2 (2) ; 11 (11) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS ; ; ; |WF6850IP_RECEIVE:I_UART_RECEIVE| ; 101 (101) ; 47 (47) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 52 (52) ; 5 (5) ; 44 (44) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE ; ; ; |WF6850IP_TRANSMIT:I_UART_TRANSMIT| ; 85 (85) ; 39 (39) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 38 (38) ; 1 (1) ; 46 (46) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT ; ; ; |WF68901IP_TOP_SOC:I_MFP| ; 1206 (114) ; 460 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 740 (111) ; 87 (0) ; 379 (57) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP ; ; ; |WF68901IP_GPIO:I_GPIO| ; 43 (43) ; 24 (24) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 19 (19) ; 6 (6) ; 18 (18) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO ; ; ; |WF68901IP_INTERRUPTS:I_INTERRUPTS| ; 305 (305) ; 128 (128) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 175 (175) ; 30 (30) ; 100 (100) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS ; ; ; |WF68901IP_TIMERS:I_TIMERS| ; 432 (432) ; 166 (166) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 264 (264) ; 23 (23) ; 145 (145) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS ; ; ; |WF68901IP_USART_TOP:I_USART| ; 316 (2) ; 140 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 171 (2) ; 28 (0) ; 117 (1) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART ; ; ; |WF68901IP_USART_CTRL:I_USART_CTRL| ; 78 (78) ; 49 (49) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 29 (29) ; 24 (24) ; 25 (25) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL ; ; ; |WF68901IP_USART_RX:I_USART_RECEIVE| ; 159 (159) ; 56 (56) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 95 (95) ; 3 (3) ; 61 (61) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE ; ; ; |WF68901IP_USART_TX:I_USART_TRANSMIT| ; 87 (87) ; 35 (35) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 45 (45) ; 1 (1) ; 41 (41) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT ; ; ; |dcfifo0:RDF| ; 146 (0) ; 124 (0) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 21 (0) ; 51 (0) ; 74 (0) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF ; ; ; |dcfifo_mixed_widths:dcfifo_mixed_widths_component| ; 146 (0) ; 124 (0) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 21 (0) ; 51 (0) ; 74 (0) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component ; ; ; |dcfifo_0hh1:auto_generated| ; 146 (55) ; 124 (42) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 21 (5) ; 51 (23) ; 74 (11) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated ; ; ; |a_gray2bin_lfb:wrptr_g_gray2bin| ; 7 (7) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 5 (5) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_gray2bin_lfb:wrptr_g_gray2bin ; ; ; |a_gray2bin_lfb:ws_dgrp_gray2bin| ; 8 (8) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 6 (6) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_gray2bin_lfb:ws_dgrp_gray2bin ; ; ; |a_graycounter_fic:wrptr_g1p| ; 17 (17) ; 13 (13) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (4) ; 0 (0) ; 13 (13) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_graycounter_fic:wrptr_g1p ; ; ; |a_graycounter_k47:rdptr_g1p| ; 18 (18) ; 13 (13) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 1 (1) ; 15 (15) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_graycounter_k47:rdptr_g1p ; ; ; |alt_synch_pipe_ikd:rs_dgwp| ; 18 (0) ; 18 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 10 (0) ; 8 (0) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|alt_synch_pipe_ikd:rs_dgwp ; ; ; |dffpipe_hd9:dffpipe12| ; 18 (18) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 10 (10) ; 8 (8) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|alt_synch_pipe_ikd:rs_dgwp|dffpipe_hd9:dffpipe12 ; ; ; |alt_synch_pipe_jkd:ws_dgrp| ; 18 (0) ; 18 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 16 (0) ; 2 (0) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|alt_synch_pipe_jkd:ws_dgrp ; ; ; |dffpipe_id9:dffpipe17| ; 18 (18) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 16 (16) ; 2 (2) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|alt_synch_pipe_jkd:ws_dgrp|dffpipe_id9:dffpipe17 ; ; ; |altsyncram_bi31:fifo_ram| ; 0 (0) ; 0 (0) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|altsyncram_bi31:fifo_ram ; ; ; |cmpr_156:rdempty_eq_comp1_msb| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 1 (1) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|cmpr_156:rdempty_eq_comp1_msb ; ; ; |cmpr_156:wrfull_eq_comp1_msb| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 1 (1) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|cmpr_156:wrfull_eq_comp1_msb ; ; ; |cntr_t2e:cntr_b| ; 3 (3) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 2 (2) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|cntr_t2e:cntr_b ; ; ; |dffpipe_gd9:ws_brp| ; 8 (8) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 8 (8) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|dffpipe_gd9:ws_brp ; ; ; |dffpipe_pe9:ws_bwp| ; 10 (10) ; 10 (10) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 9 (9) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|dffpipe_pe9:ws_bwp ; ; ; |mux_a18:rdemp_eq_comp_lsb_mux| ; 7 (7) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 6 (6) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|mux_a18:rdemp_eq_comp_lsb_mux ; ; ; |mux_a18:rdemp_eq_comp_msb_mux| ; 5 (5) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 0 (0) ; 2 (2) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|mux_a18:rdemp_eq_comp_msb_mux ; ; ; |mux_a18:wrfull_eq_comp_lsb_mux| ; 7 (7) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 6 (6) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|mux_a18:wrfull_eq_comp_lsb_mux ; ; ; |mux_a18:wrfull_eq_comp_msb_mux| ; 5 (5) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 5 (5) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|mux_a18:wrfull_eq_comp_msb_mux ; ; ; |dcfifo1:WRF| ; 155 (0) ; 124 (0) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 29 (0) ; 54 (0) ; 72 (0) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF ; ; ; |dcfifo_mixed_widths:dcfifo_mixed_widths_component| ; 155 (0) ; 124 (0) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 29 (0) ; 54 (0) ; 72 (0) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component ; ; ; |dcfifo_3fh1:auto_generated| ; 155 (59) ; 124 (42) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 29 (9) ; 54 (24) ; 72 (11) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated ; ; ; |a_gray2bin_lfb:rdptr_g_gray2bin| ; 8 (8) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 6 (6) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_gray2bin_lfb:rdptr_g_gray2bin ; ; ; |a_gray2bin_lfb:rs_dgwp_gray2bin| ; 8 (8) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 0 (0) ; 5 (5) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_gray2bin_lfb:rs_dgwp_gray2bin ; ; ; |a_graycounter_gic:wrptr_g1p| ; 17 (17) ; 13 (13) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (4) ; 1 (1) ; 12 (12) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_graycounter_gic:wrptr_g1p ; ; ; |a_graycounter_j47:rdptr_g1p| ; 19 (19) ; 13 (13) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 5 (5) ; 0 (0) ; 14 (14) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_graycounter_j47:rdptr_g1p ; ; ; |alt_synch_pipe_kkd:rs_dgwp| ; 18 (0) ; 18 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 16 (0) ; 2 (0) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|alt_synch_pipe_kkd:rs_dgwp ; ; ; |dffpipe_jd9:dffpipe12| ; 18 (18) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 16 (16) ; 2 (2) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|alt_synch_pipe_kkd:rs_dgwp|dffpipe_jd9:dffpipe12 ; ; ; |alt_synch_pipe_lkd:ws_dgrp| ; 18 (0) ; 18 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 13 (0) ; 5 (0) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|alt_synch_pipe_lkd:ws_dgrp ; ; ; |dffpipe_kd9:dffpipe15| ; 18 (18) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 13 (13) ; 5 (5) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|alt_synch_pipe_lkd:ws_dgrp|dffpipe_kd9:dffpipe15 ; ; ; |altsyncram_ci31:fifo_ram| ; 0 (0) ; 0 (0) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram ; ; ; |cmpr_156:rdempty_eq_comp1_msb| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 1 (1) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|cmpr_156:rdempty_eq_comp1_msb ; ; ; |cntr_t2e:cntr_b| ; 4 (4) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 3 (3) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|cntr_t2e:cntr_b ; ; ; |dffpipe_gd9:rs_bwp| ; 8 (8) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 8 (8) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|dffpipe_gd9:rs_bwp ; ; ; |dffpipe_pe9:rs_brp| ; 10 (10) ; 10 (10) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 10 (10) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|dffpipe_pe9:rs_brp ; ; ; |mux_a18:rdemp_eq_comp_lsb_mux| ; 7 (7) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 7 (7) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|mux_a18:rdemp_eq_comp_lsb_mux ; ; ; |mux_a18:rdemp_eq_comp_msb_mux| ; 5 (5) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 0 (0) ; 2 (2) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|mux_a18:rdemp_eq_comp_msb_mux ; ; ; |mux_a18:wrfull_eq_comp_lsb_mux| ; 7 (7) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 6 (6) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|mux_a18:wrfull_eq_comp_lsb_mux ; ; ; |mux_a18:wrfull_eq_comp_msb_mux| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 5 (5) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|mux_a18:wrfull_eq_comp_msb_mux ; ; ; |Video:Fredi_Aschwanden| ; 4048 (14) ; 2182 (4) ; 0 (0) ; 92816 ; 20 ; 6 ; 0 ; 3 ; 0 ; 0 ; 1856 (10) ; 876 (4) ; 1316 (0) ; |firebee1|Video:Fredi_Aschwanden ; ; ; |DDR_CTR:DDR_CTR| ; 371 (346) ; 158 (158) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 209 (184) ; 27 (27) ; 135 (128) ; |firebee1|Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR ; ; ; |lpm_bustri_BYT:$00002| ; 3 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|lpm_bustri_BYT:$00002 ; ; ; |lpm_bustri:lpm_bustri_component| ; 3 (3) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|lpm_bustri_BYT:$00002|lpm_bustri:lpm_bustri_component ; ; ; |lpm_bustri_BYT:$00004| ; 29 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 22 (0) ; 0 (0) ; 7 (0) ; |firebee1|Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|lpm_bustri_BYT:$00004 ; ; ; |lpm_bustri:lpm_bustri_component| ; 29 (29) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 22 (22) ; 0 (0) ; 7 (7) ; |firebee1|Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|lpm_bustri_BYT:$00004|lpm_bustri:lpm_bustri_component ; ; ; |VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR| ; 1476 (1296) ; 529 (529) ; 0 (0) ; 0 ; 0 ; 6 ; 0 ; 3 ; 0 ; 0 ; 939 (759) ; 211 (211) ; 326 (252) ; |firebee1|Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR ; ; ; |lpm_bustri_WORD:$00000| ; 198 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 147 (0) ; 0 (0) ; 51 (0) ; |firebee1|Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_bustri_WORD:$00000 ; ; ; |lpm_bustri:lpm_bustri_component| ; 198 (198) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 147 (147) ; 0 (0) ; 51 (51) ; |firebee1|Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_bustri_WORD:$00000|lpm_bustri:lpm_bustri_component ; ; ; |lpm_bustri_WORD:$00002| ; 56 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 33 (0) ; 0 (0) ; 23 (0) ; |firebee1|Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_bustri_WORD:$00002 ; ; ; |lpm_bustri:lpm_bustri_component| ; 56 (56) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 33 (33) ; 0 (0) ; 23 (23) ; |firebee1|Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_bustri_WORD:$00002|lpm_bustri:lpm_bustri_component ; ; ; |lpm_mult:op_12| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_12 ; ; ; |mult_aat:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_12|mult_aat:auto_generated ; ; ; |lpm_mult:op_14| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_14 ; ; ; |mult_cat:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_14|mult_cat:auto_generated ; ; ; |lpm_mult:op_6| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_6 ; ; ; |mult_aat:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_6|mult_aat:auto_generated ; ; ; |altddio_bidir0:inst1| ; 96 (0) ; 96 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 96 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altddio_bidir0:inst1 ; ; ; |altddio_bidir:altddio_bidir_component| ; 96 (0) ; 96 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 96 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component ; ; ; |ddio_bidir_3jl:auto_generated| ; 96 (96) ; 96 (96) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 96 (96) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated ; ; ; |altddio_out0:inst2| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altddio_out0:inst2 ; ; ; |altddio_out:altddio_out_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altddio_out0:inst2|altddio_out:altddio_out_component ; ; ; |ddio_out_are:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altddio_out0:inst2|altddio_out:altddio_out_component|ddio_out_are:auto_generated ; ; ; |altddio_out2:inst5| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altddio_out2:inst5 ; ; ; |altddio_out:altddio_out_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altddio_out2:inst5|altddio_out:altddio_out_component ; ; ; |ddio_out_o2f:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altddio_out2:inst5|altddio_out:altddio_out_component|ddio_out_o2f:auto_generated ; ; ; |altdpram0:ST_CLUT_BLUE| ; 0 (0) ; 0 (0) ; 0 (0) ; 48 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram0:ST_CLUT_BLUE ; ; ; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 48 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram0:ST_CLUT_BLUE|altsyncram:altsyncram_component ; ; ; |altsyncram_rb92:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 48 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram0:ST_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated ; ; ; |altdpram0:ST_CLUT_GREEN| ; 0 (0) ; 0 (0) ; 0 (0) ; 48 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram0:ST_CLUT_GREEN ; ; ; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 48 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram0:ST_CLUT_GREEN|altsyncram:altsyncram_component ; ; ; |altsyncram_rb92:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 48 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram0:ST_CLUT_GREEN|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated ; ; ; |altdpram0:ST_CLUT_RED| ; 0 (0) ; 0 (0) ; 0 (0) ; 48 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram0:ST_CLUT_RED ; ; ; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 48 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram0:ST_CLUT_RED|altsyncram:altsyncram_component ; ; ; |altsyncram_rb92:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 48 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram0:ST_CLUT_RED|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated ; ; ; |altdpram1:FALCON_CLUT_BLUE| ; 0 (0) ; 0 (0) ; 0 (0) ; 1536 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_BLUE ; ; ; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 1536 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_BLUE|altsyncram:altsyncram_component ; ; ; |altsyncram_lf92:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 1536 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated ; ; ; |altdpram1:FALCON_CLUT_GREEN| ; 0 (0) ; 0 (0) ; 0 (0) ; 1536 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_GREEN ; ; ; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 1536 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_GREEN|altsyncram:altsyncram_component ; ; ; |altsyncram_lf92:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 1536 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_GREEN|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated ; ; ; |altdpram1:FALCON_CLUT_RED| ; 0 (0) ; 0 (0) ; 0 (0) ; 1536 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_RED ; ; ; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 1536 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_RED|altsyncram:altsyncram_component ; ; ; |altsyncram_lf92:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 1536 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_RED|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated ; ; ; |altdpram2:ACP_CLUT_RAM54| ; 0 (0) ; 0 (0) ; 0 (0) ; 2048 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM54 ; ; ; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 2048 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM54|altsyncram:altsyncram_component ; ; ; |altsyncram_pf92:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 2048 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM54|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated ; ; ; |altdpram2:ACP_CLUT_RAM55| ; 0 (0) ; 0 (0) ; 0 (0) ; 2048 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55 ; ; ; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 2048 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55|altsyncram:altsyncram_component ; ; ; |altsyncram_pf92:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 2048 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated ; ; ; |altdpram2:ACP_CLUT_RAM| ; 0 (0) ; 0 (0) ; 0 (0) ; 2048 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM ; ; ; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 2048 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM|altsyncram:altsyncram_component ; ; ; |altsyncram_pf92:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 2048 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated ; ; ; |lpm_bustri3:inst66| ; 2 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_bustri3:inst66 ; ; ; |lpm_bustri:lpm_bustri_component| ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_bustri3:inst66|lpm_bustri:lpm_bustri_component ; ; ; |lpm_bustri_BYT:inst57| ; 2 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_bustri_BYT:inst57 ; ; ; |lpm_bustri:lpm_bustri_component| ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_bustri_BYT:inst57|lpm_bustri:lpm_bustri_component ; ; ; |lpm_bustri_BYT:inst58| ; 1 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_bustri_BYT:inst58 ; ; ; |lpm_bustri:lpm_bustri_component| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_bustri_BYT:inst58|lpm_bustri:lpm_bustri_component ; ; ; |lpm_bustri_LONG:inst119| ; 9 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 9 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_bustri_LONG:inst119 ; ; ; |lpm_bustri:lpm_bustri_component| ; 9 (9) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 9 (9) ; |firebee1|Video:Fredi_Aschwanden|lpm_bustri_LONG:inst119|lpm_bustri:lpm_bustri_component ; ; ; |lpm_ff0:inst13| ; 32 (0) ; 32 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 32 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst13 ; ; ; |lpm_ff:lpm_ff_component| ; 32 (32) ; 32 (32) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 32 (32) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component ; ; ; |lpm_ff0:inst14| ; 32 (0) ; 32 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 32 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst14 ; ; ; |lpm_ff:lpm_ff_component| ; 32 (32) ; 32 (32) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 32 (32) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component ; ; ; |lpm_ff0:inst15| ; 32 (0) ; 32 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 32 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst15 ; ; ; |lpm_ff:lpm_ff_component| ; 32 (32) ; 32 (32) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 32 (32) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component ; ; ; |lpm_ff0:inst16| ; 32 (0) ; 32 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 32 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst16 ; ; ; |lpm_ff:lpm_ff_component| ; 32 (32) ; 32 (32) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 32 (32) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component ; ; ; |lpm_ff0:inst17| ; 32 (0) ; 32 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 17 (0) ; 15 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst17 ; ; ; |lpm_ff:lpm_ff_component| ; 32 (32) ; 32 (32) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 17 (17) ; 15 (15) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst17|lpm_ff:lpm_ff_component ; ; ; |lpm_ff0:inst18| ; 32 (0) ; 32 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 15 (0) ; 17 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst18 ; ; ; |lpm_ff:lpm_ff_component| ; 32 (32) ; 32 (32) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 15 (15) ; 17 (17) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst18|lpm_ff:lpm_ff_component ; ; ; |lpm_ff0:inst19| ; 32 (0) ; 32 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 32 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst19 ; ; ; |lpm_ff:lpm_ff_component| ; 32 (32) ; 32 (32) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 32 (32) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst19|lpm_ff:lpm_ff_component ; ; ; |lpm_ff1:inst12| ; 32 (0) ; 32 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 26 (0) ; 6 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff1:inst12 ; ; ; |lpm_ff:lpm_ff_component| ; 32 (32) ; 32 (32) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 26 (26) ; 6 (6) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff1:inst12|lpm_ff:lpm_ff_component ; ; ; |lpm_ff1:inst20| ; 32 (0) ; 32 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 25 (0) ; 7 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff1:inst20 ; ; ; |lpm_ff:lpm_ff_component| ; 32 (32) ; 32 (32) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 25 (25) ; 7 (7) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff1:inst20|lpm_ff:lpm_ff_component ; ; ; |lpm_ff1:inst3| ; 32 (0) ; 32 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 29 (0) ; 3 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff1:inst3 ; ; ; |lpm_ff:lpm_ff_component| ; 32 (32) ; 32 (32) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 29 (29) ; 3 (3) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff1:inst3|lpm_ff:lpm_ff_component ; ; ; |lpm_ff1:inst4| ; 32 (0) ; 32 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 26 (0) ; 6 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff1:inst4 ; ; ; |lpm_ff:lpm_ff_component| ; 32 (32) ; 32 (32) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 26 (26) ; 6 (6) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff1:inst4|lpm_ff:lpm_ff_component ; ; ; |lpm_ff1:inst9| ; 24 (0) ; 24 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 11 (0) ; 13 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff1:inst9 ; ; ; |lpm_ff:lpm_ff_component| ; 24 (24) ; 24 (24) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 11 (11) ; 13 (13) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff1:inst9|lpm_ff:lpm_ff_component ; ; ; |lpm_ff3:inst46| ; 18 (0) ; 18 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 18 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff3:inst46 ; ; ; |lpm_ff:lpm_ff_component| ; 18 (18) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 18 (18) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff3:inst46|lpm_ff:lpm_ff_component ; ; ; |lpm_ff3:inst47| ; 18 (0) ; 18 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 17 (0) ; 1 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff3:inst47 ; ; ; |lpm_ff:lpm_ff_component| ; 18 (18) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 17 (17) ; 1 (1) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff3:inst47|lpm_ff:lpm_ff_component ; ; ; |lpm_ff3:inst49| ; 9 (0) ; 9 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 9 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff3:inst49 ; ; ; |lpm_ff:lpm_ff_component| ; 9 (9) ; 9 (9) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 9 (9) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff3:inst49|lpm_ff:lpm_ff_component ; ; ; |lpm_ff3:inst52| ; 9 (0) ; 9 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 9 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff3:inst52 ; ; ; |lpm_ff:lpm_ff_component| ; 9 (9) ; 9 (9) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 9 (9) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff3:inst52|lpm_ff:lpm_ff_component ; ; ; |lpm_ff4:inst10| ; 16 (0) ; 16 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 12 (0) ; 4 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff4:inst10 ; ; ; |lpm_ff:lpm_ff_component| ; 16 (16) ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 12 (12) ; 4 (4) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff4:inst10|lpm_ff:lpm_ff_component ; ; ; |lpm_ff5:inst11| ; 8 (0) ; 8 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 8 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff5:inst11 ; ; ; |lpm_ff:lpm_ff_component| ; 8 (8) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 8 (8) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff5:inst11|lpm_ff:lpm_ff_component ; ; ; |lpm_ff5:inst97| ; 5 (0) ; 5 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 5 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff5:inst97 ; ; ; |lpm_ff:lpm_ff_component| ; 5 (5) ; 5 (5) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 5 (5) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff5:inst97|lpm_ff:lpm_ff_component ; ; ; |lpm_ff6:inst71| ; 128 (0) ; 128 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 46 (0) ; 82 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff6:inst71 ; ; ; |lpm_ff:lpm_ff_component| ; 128 (128) ; 128 (128) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 46 (46) ; 82 (82) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff6:inst71|lpm_ff:lpm_ff_component ; ; ; |lpm_ff6:inst94| ; 128 (0) ; 128 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 37 (0) ; 91 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff6:inst94 ; ; ; |lpm_ff:lpm_ff_component| ; 128 (128) ; 128 (128) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 37 (37) ; 91 (91) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff6:inst94|lpm_ff:lpm_ff_component ; ; ; |lpm_fifoDZ:inst63| ; 22 (0) ; 21 (0) ; 0 (0) ; 16384 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (0) ; 0 (0) ; 21 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_fifoDZ:inst63 ; ; ; |scfifo:scfifo_component| ; 22 (0) ; 21 (0) ; 0 (0) ; 16384 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (0) ; 0 (0) ; 21 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component ; ; ; |scfifo_hk21:auto_generated| ; 22 (0) ; 21 (0) ; 0 (0) ; 16384 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (0) ; 0 (0) ; 21 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_hk21:auto_generated ; ; ; |a_dpfifo_oq21:dpfifo| ; 22 (9) ; 21 (8) ; 0 (0) ; 16384 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 21 (8) ; |firebee1|Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_hk21:auto_generated|a_dpfifo_oq21:dpfifo ; ; ; |altsyncram_gj81:FIFOram| ; 0 (0) ; 0 (0) ; 0 (0) ; 16384 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_hk21:auto_generated|a_dpfifo_oq21:dpfifo|altsyncram_gj81:FIFOram ; ; ; |cntr_omb:rd_ptr_msb| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |firebee1|Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_hk21:auto_generated|a_dpfifo_oq21:dpfifo|cntr_omb:rd_ptr_msb ; ; ; |cntr_pmb:wr_ptr| ; 7 (7) ; 7 (7) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 7 (7) ; |firebee1|Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_hk21:auto_generated|a_dpfifo_oq21:dpfifo|cntr_pmb:wr_ptr ; ; ; |lpm_fifo_dc0:inst| ; 121 (0) ; 108 (0) ; 0 (0) ; 65536 ; 8 ; 0 ; 0 ; 0 ; 0 ; 0 ; 13 (0) ; 51 (0) ; 57 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst ; ; ; |dcfifo:dcfifo_component| ; 121 (0) ; 108 (0) ; 0 (0) ; 65536 ; 8 ; 0 ; 0 ; 0 ; 0 ; 0 ; 13 (0) ; 51 (0) ; 57 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component ; ; ; |dcfifo_8fi1:auto_generated| ; 121 (40) ; 108 (30) ; 0 (0) ; 65536 ; 8 ; 0 ; 0 ; 0 ; 0 ; 0 ; 13 (2) ; 51 (25) ; 57 (11) ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated ; ; ; |a_gray2bin_tgb:wrptr_g_gray2bin| ; 9 (9) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 9 (9) ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_gray2bin_tgb:wrptr_g_gray2bin ; ; ; |a_gray2bin_tgb:ws_dgrp_gray2bin| ; 9 (9) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 9 (9) ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_gray2bin_tgb:ws_dgrp_gray2bin ; ; ; |a_graycounter_ojc:wrptr_g1p| ; 19 (19) ; 14 (14) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 5 (5) ; 0 (0) ; 14 (14) ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_ojc:wrptr_g1p ; ; ; |a_graycounter_s57:rdptr_g1p| ; 20 (20) ; 14 (14) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 1 (1) ; 13 (13) ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_s57:rdptr_g1p ; ; ; |alt_synch_pipe_sld:ws_dgrp| ; 30 (0) ; 30 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 24 (0) ; 6 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|alt_synch_pipe_sld:ws_dgrp ; ; ; |dffpipe_re9:dffpipe19| ; 30 (30) ; 30 (30) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 24 (24) ; 6 (6) ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|alt_synch_pipe_sld:ws_dgrp|dffpipe_re9:dffpipe19 ; ; ; |altsyncram_tl31:fifo_ram| ; 0 (0) ; 0 (0) ; 0 (0) ; 65536 ; 8 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|altsyncram_tl31:fifo_ram ; ; ; |dffpipe_3dc:wraclr| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 1 (1) ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|dffpipe_3dc:wraclr ; ; ; |dffpipe_oe9:ws_brp| ; 9 (9) ; 9 (9) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 9 (9) ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|dffpipe_oe9:ws_brp ; ; ; |dffpipe_oe9:ws_bwp| ; 9 (9) ; 9 (9) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 9 (9) ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|dffpipe_oe9:ws_bwp ; ; ; |lpm_latch0:inst27| ; 32 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 32 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_latch0:inst27 ; ; ; |lpm_latch:lpm_latch_component| ; 32 (32) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 32 (32) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component ; ; ; |lpm_mux0:inst21| ; 120 (0) ; 96 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 24 (0) ; 71 (0) ; 25 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_mux0:inst21 ; ; ; |lpm_mux:lpm_mux_component| ; 120 (0) ; 96 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 24 (0) ; 71 (0) ; 25 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_mux0:inst21|lpm_mux:lpm_mux_component ; ; ; |mux_gpe:auto_generated| ; 120 (120) ; 96 (96) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 24 (24) ; 71 (71) ; 25 (25) ; |firebee1|Video:Fredi_Aschwanden|lpm_mux0:inst21|lpm_mux:lpm_mux_component|mux_gpe:auto_generated ; ; ; |lpm_mux1:inst24| ; 113 (0) ; 81 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 32 (0) ; 33 (0) ; 48 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_mux1:inst24 ; ; ; |lpm_mux:lpm_mux_component| ; 113 (0) ; 81 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 32 (0) ; 33 (0) ; 48 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_mux1:inst24|lpm_mux:lpm_mux_component ; ; ; |mux_npe:auto_generated| ; 113 (113) ; 81 (81) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 32 (32) ; 33 (33) ; 48 (48) ; |firebee1|Video:Fredi_Aschwanden|lpm_mux1:inst24|lpm_mux:lpm_mux_component|mux_npe:auto_generated ; ; ; |lpm_mux2:inst25| ; 81 (0) ; 41 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 40 (0) ; 1 (0) ; 40 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_mux2:inst25 ; ; ; |lpm_mux:lpm_mux_component| ; 81 (0) ; 41 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 40 (0) ; 1 (0) ; 40 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_mux2:inst25|lpm_mux:lpm_mux_component ; ; ; |mux_mpe:auto_generated| ; 81 (81) ; 41 (41) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 40 (40) ; 1 (1) ; 40 (40) ; |firebee1|Video:Fredi_Aschwanden|lpm_mux2:inst25|lpm_mux:lpm_mux_component|mux_mpe:auto_generated ; ; ; |lpm_mux3:inst102| ; 1 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 1 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_mux3:inst102 ; ; ; |lpm_mux:lpm_mux_component| ; 1 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 1 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_mux3:inst102|lpm_mux:lpm_mux_component ; ; ; |mux_96e:auto_generated| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 1 (1) ; |firebee1|Video:Fredi_Aschwanden|lpm_mux3:inst102|lpm_mux:lpm_mux_component|mux_96e:auto_generated ; ; ; |lpm_mux4:inst81| ; 7 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 7 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_mux4:inst81 ; ; ; |lpm_mux:lpm_mux_component| ; 7 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 7 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_mux4:inst81|lpm_mux:lpm_mux_component ; ; ; |mux_f6e:auto_generated| ; 7 (7) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 7 (7) ; |firebee1|Video:Fredi_Aschwanden|lpm_mux4:inst81|lpm_mux:lpm_mux_component|mux_f6e:auto_generated ; ; ; |lpm_mux5:inst22| ; 64 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 64 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_mux5:inst22 ; ; ; |lpm_mux:lpm_mux_component| ; 64 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 64 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_mux5:inst22|lpm_mux:lpm_mux_component ; ; ; |mux_58e:auto_generated| ; 64 (64) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 64 (64) ; |firebee1|Video:Fredi_Aschwanden|lpm_mux5:inst22|lpm_mux:lpm_mux_component|mux_58e:auto_generated ; ; ; |lpm_mux6:inst7| ; 91 (0) ; 67 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (0) ; 1 (0) ; 86 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_mux6:inst7 ; ; ; |lpm_mux:lpm_mux_component| ; 91 (0) ; 67 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (0) ; 1 (0) ; 86 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_mux6:inst7|lpm_mux:lpm_mux_component ; ; ; |mux_kpe:auto_generated| ; 91 (91) ; 67 (67) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (4) ; 1 (1) ; 86 (86) ; |firebee1|Video:Fredi_Aschwanden|lpm_mux6:inst7|lpm_mux:lpm_mux_component|mux_kpe:auto_generated ; ; ; |lpm_muxDZ:inst62| ; 128 (0) ; 128 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 128 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_muxDZ:inst62 ; ; ; |lpm_mux:lpm_mux_component| ; 128 (0) ; 128 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 128 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_muxDZ:inst62|lpm_mux:lpm_mux_component ; ; ; |mux_dcf:auto_generated| ; 128 (128) ; 128 (128) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 128 (128) ; |firebee1|Video:Fredi_Aschwanden|lpm_muxDZ:inst62|lpm_mux:lpm_mux_component|mux_dcf:auto_generated ; ; ; |lpm_muxVDM:inst100| ; 736 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 537 (0) ; 0 (0) ; 199 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_muxVDM:inst100 ; ; ; |lpm_mux:lpm_mux_component| ; 736 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 537 (0) ; 0 (0) ; 199 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_muxVDM:inst100|lpm_mux:lpm_mux_component ; ; ; |mux_bbe:auto_generated| ; 736 (736) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 537 (537) ; 0 (0) ; 199 (199) ; |firebee1|Video:Fredi_Aschwanden|lpm_muxVDM:inst100|lpm_mux:lpm_mux_component|mux_bbe:auto_generated ; ; ; |lpm_shiftreg0:sr0| ; 16 (0) ; 16 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (0) ; 15 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr0 ; ; ; |lpm_shiftreg:lpm_shiftreg_component| ; 16 (16) ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 15 (15) ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr0|lpm_shiftreg:lpm_shiftreg_component ; ; ; |lpm_shiftreg0:sr1| ; 16 (0) ; 16 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (0) ; 15 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr1 ; ; ; |lpm_shiftreg:lpm_shiftreg_component| ; 16 (16) ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 15 (15) ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr1|lpm_shiftreg:lpm_shiftreg_component ; ; ; |lpm_shiftreg0:sr2| ; 16 (0) ; 16 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (0) ; 15 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr2 ; ; ; |lpm_shiftreg:lpm_shiftreg_component| ; 16 (16) ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 15 (15) ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr2|lpm_shiftreg:lpm_shiftreg_component ; ; ; |lpm_shiftreg0:sr3| ; 16 (0) ; 16 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (0) ; 15 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr3 ; ; ; |lpm_shiftreg:lpm_shiftreg_component| ; 16 (16) ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 15 (15) ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr3|lpm_shiftreg:lpm_shiftreg_component ; ; ; |lpm_shiftreg0:sr4| ; 16 (0) ; 16 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (0) ; 15 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr4 ; ; ; |lpm_shiftreg:lpm_shiftreg_component| ; 16 (16) ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 15 (15) ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr4|lpm_shiftreg:lpm_shiftreg_component ; ; ; |lpm_shiftreg0:sr5| ; 16 (0) ; 16 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (0) ; 15 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr5 ; ; ; |lpm_shiftreg:lpm_shiftreg_component| ; 16 (16) ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 15 (15) ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr5|lpm_shiftreg:lpm_shiftreg_component ; ; ; |lpm_shiftreg0:sr6| ; 16 (0) ; 16 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 16 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr6 ; ; ; |lpm_shiftreg:lpm_shiftreg_component| ; 16 (16) ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 16 (16) ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr6|lpm_shiftreg:lpm_shiftreg_component ; ; ; |lpm_shiftreg0:sr7| ; 16 (0) ; 16 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 16 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr7 ; ; ; |lpm_shiftreg:lpm_shiftreg_component| ; 16 (16) ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 16 (16) ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr7|lpm_shiftreg:lpm_shiftreg_component ; ; ; |lpm_shiftreg4:inst26| ; 5 (0) ; 5 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 5 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg4:inst26 ; ; ; |lpm_shiftreg:lpm_shiftreg_component| ; 5 (5) ; 5 (5) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 5 (5) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg4:inst26|lpm_shiftreg:lpm_shiftreg_component ; ; ; |lpm_shiftreg6:inst92| ; 5 (0) ; 5 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 4 (0) ; 1 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg6:inst92 ; ; ; |lpm_shiftreg:lpm_shiftreg_component| ; 5 (5) ; 5 (5) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 4 (4) ; 1 (1) ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg6:inst92|lpm_shiftreg:lpm_shiftreg_component ; ; ; |mux41:inst40| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|mux41:inst40 ; ; ; |mux41:inst41| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|mux41:inst41 ; ; ; |mux41:inst42| ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|mux41:inst42 ; ; ; |mux41:inst43| ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|mux41:inst43 ; ; ; |mux41:inst44| ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|mux41:inst44 ; ; ; |mux41:inst45| ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|mux41:inst45 ; ; ; |altddio_out3:inst5| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altddio_out3:inst5 ; ; ; |altddio_out:altddio_out_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altddio_out3:inst5|altddio_out:altddio_out_component ; ; ; |ddio_out_31f:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altddio_out3:inst5|altddio_out:altddio_out_component|ddio_out_31f:auto_generated ; ; ; |altddio_out3:inst6| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altddio_out3:inst6 ; ; ; |altddio_out:altddio_out_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altddio_out3:inst6|altddio_out:altddio_out_component ; ; ; |ddio_out_31f:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altddio_out3:inst6|altddio_out:altddio_out_component|ddio_out_31f:auto_generated ; ; ; |altddio_out3:inst8| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altddio_out3:inst8 ; ; ; |altddio_out:altddio_out_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altddio_out3:inst8|altddio_out:altddio_out_component ; ; ; |ddio_out_31f:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altddio_out3:inst8|altddio_out:altddio_out_component|ddio_out_31f:auto_generated ; ; ; |altddio_out3:inst9| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altddio_out3:inst9 ; ; ; |altddio_out:altddio_out_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altddio_out3:inst9|altddio_out:altddio_out_component ; ; ; |ddio_out_31f:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altddio_out3:inst9|altddio_out:altddio_out_component|ddio_out_31f:auto_generated ; ; ; |altpll1:inst| ; 1 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (0) ; 0 (0) ; 0 (0) ; |firebee1|altpll1:inst ; ; ; |altpll:altpll_component| ; 1 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (0) ; 0 (0) ; 0 (0) ; |firebee1|altpll1:inst|altpll:altpll_component ; ; ; |altpll_8tp2:auto_generated| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 0 (0) ; |firebee1|altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated ; ; ; |altpll2:inst12| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altpll2:inst12 ; ; ; |altpll:altpll_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altpll2:inst12|altpll:altpll_component ; ; ; |altpll_1r33:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated ; ; ; |altpll3:inst13| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altpll3:inst13 ; ; ; |altpll:altpll_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altpll3:inst13|altpll:altpll_component ; ; ; |altpll_jvs2:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated ; ; ; |altpll4:inst22| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altpll4:inst22 ; ; ; |altpll:altpll_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altpll4:inst22|altpll:altpll_component ; ; ; |altpll_r4n2:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altpll4:inst22|altpll:altpll_component|altpll_r4n2:auto_generated ; ; ; |altpll_reconfig1:inst7| ; 330 (0) ; 128 (0) ; 0 (0) ; 144 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 202 (0) ; 18 (0) ; 110 (0) ; |firebee1|altpll_reconfig1:inst7 ; ; ; |altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component| ; 330 (233) ; 128 (80) ; 0 (0) ; 144 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 202 (153) ; 18 (18) ; 110 (61) ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component ; ; ; |altsyncram:altsyncram4| ; 0 (0) ; 0 (0) ; 0 (0) ; 144 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|altsyncram:altsyncram4 ; ; ; |altsyncram_46r:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 144 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|altsyncram:altsyncram4|altsyncram_46r:auto_generated ; ; ; |lpm_compare:cmpr7| ; 3 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (0) ; 0 (0) ; 1 (0) ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_compare:cmpr7 ; ; ; |cmpr_tnd:auto_generated| ; 3 (3) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 1 (1) ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_compare:cmpr7|cmpr_tnd:auto_generated ; ; ; |lpm_counter:cntr12| ; 10 (0) ; 8 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (0) ; 0 (0) ; 8 (0) ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr12 ; ; ; |cntr_30l:auto_generated| ; 10 (10) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 8 (8) ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr12|cntr_30l:auto_generated ; ; ; |lpm_counter:cntr13| ; 7 (0) ; 6 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (0) ; 0 (0) ; 6 (0) ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr13 ; ; ; |cntr_qij:auto_generated| ; 7 (7) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 6 (6) ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr13|cntr_qij:auto_generated ; ; ; |lpm_counter:cntr14| ; 5 (0) ; 5 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 5 (0) ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr14 ; ; ; |cntr_pij:auto_generated| ; 5 (5) ; 5 (5) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 5 (5) ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr14|cntr_pij:auto_generated ; ; ; |lpm_counter:cntr15| ; 18 (0) ; 8 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 10 (0) ; 0 (0) ; 8 (0) ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr15 ; ; ; |cntr_30l:auto_generated| ; 18 (18) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 10 (10) ; 0 (0) ; 8 (8) ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr15|cntr_30l:auto_generated ; ; ; |lpm_counter:cntr1| ; 41 (0) ; 8 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 33 (0) ; 0 (0) ; 8 (0) ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr1 ; ; ; |cntr_30l:auto_generated| ; 41 (41) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 33 (33) ; 0 (0) ; 8 (8) ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr1|cntr_30l:auto_generated ; ; ; |lpm_counter:cntr2| ; 9 (0) ; 8 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (0) ; 0 (0) ; 8 (0) ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr2 ; ; ; |cntr_9cj:auto_generated| ; 9 (9) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 8 (8) ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr2|cntr_9cj:auto_generated ; ; ; |lpm_counter:cntr3| ; 5 (0) ; 5 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 5 (0) ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr3 ; ; ; |cntr_pij:auto_generated| ; 5 (5) ; 5 (5) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 5 (5) ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr3|cntr_pij:auto_generated ; ; ; |interrupt_handler:nobody| ; 1103 (1079) ; 633 (633) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 462 (438) ; 269 (269) ; 372 (316) ; |firebee1|interrupt_handler:nobody ; ; ; |lpm_bustri_BYT:$00000| ; 18 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 12 (0) ; |firebee1|interrupt_handler:nobody|lpm_bustri_BYT:$00000 ; ; ; |lpm_bustri:lpm_bustri_component| ; 18 (18) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 12 (12) ; |firebee1|interrupt_handler:nobody|lpm_bustri_BYT:$00000|lpm_bustri:lpm_bustri_component ; ; ; |lpm_bustri_BYT:$00002| ; 23 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 10 (0) ; 0 (0) ; 13 (0) ; |firebee1|interrupt_handler:nobody|lpm_bustri_BYT:$00002 ; ; ; |lpm_bustri:lpm_bustri_component| ; 23 (23) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 10 (10) ; 0 (0) ; 13 (13) ; |firebee1|interrupt_handler:nobody|lpm_bustri_BYT:$00002|lpm_bustri:lpm_bustri_component ; ; ; |lpm_bustri_BYT:$00004| ; 16 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 16 (0) ; |firebee1|interrupt_handler:nobody|lpm_bustri_BYT:$00004 ; ; ; |lpm_bustri:lpm_bustri_component| ; 16 (16) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 16 (16) ; |firebee1|interrupt_handler:nobody|lpm_bustri_BYT:$00004|lpm_bustri:lpm_bustri_component ; ; ; |lpm_bustri_BYT:$00006| ; 23 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 8 (0) ; 0 (0) ; 15 (0) ; |firebee1|interrupt_handler:nobody|lpm_bustri_BYT:$00006 ; ; ; |lpm_bustri:lpm_bustri_component| ; 23 (23) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 8 (8) ; 0 (0) ; 15 (15) ; |firebee1|interrupt_handler:nobody|lpm_bustri_BYT:$00006|lpm_bustri:lpm_bustri_component ; ; ; |lpm_counter0:inst18| ; 18 (0) ; 18 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 18 (0) ; |firebee1|lpm_counter0:inst18 ; ; ; |lpm_counter:lpm_counter_component| ; 18 (0) ; 18 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 18 (0) ; |firebee1|lpm_counter0:inst18|lpm_counter:lpm_counter_component ; ; ; |cntr_mph:auto_generated| ; 18 (18) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 18 (18) ; |firebee1|lpm_counter0:inst18|lpm_counter:lpm_counter_component|cntr_mph:auto_generated ; ; ; |lpm_ff0:inst1| ; 28 (0) ; 28 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 3 (0) ; 25 (0) ; |firebee1|lpm_ff0:inst1 ; ; ; |lpm_ff:lpm_ff_component| ; 28 (28) ; 28 (28) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 3 (3) ; 25 (25) ; |firebee1|lpm_ff0:inst1|lpm_ff:lpm_ff_component ; ; +-----------------------------------------------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------+ Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. +-----------------------------------------------------------------------------------------------------+ ; Delay Chain Summary ; +----------------+----------+---------------+---------------+-----------------------+----------+------+ ; Name ; Pin Type ; Pad to Core 0 ; Pad to Core 1 ; Pad to Input Register ; TCO ; TCOE ; +----------------+----------+---------------+---------------+-----------------------+----------+------+ ; CLK24M576 ; Output ; -- ; -- ; -- ; -- ; -- ; ; LP_STR ; Output ; -- ; -- ; -- ; -- ; -- ; ; nFB_BURST ; Input ; -- ; -- ; -- ; -- ; -- ; ; nACSI_DRQ ; Input ; -- ; -- ; -- ; -- ; -- ; ; nACSI_INT ; Input ; -- ; -- ; -- ; -- ; -- ; ; nSCSI_DRQ ; Input ; -- ; -- ; -- ; -- ; -- ; ; nSCSI_MSG ; Input ; -- ; -- ; -- ; -- ; -- ; ; nDCHG ; Input ; -- ; -- ; -- ; -- ; -- ; ; SD_DATA0 ; Input ; -- ; -- ; -- ; -- ; -- ; ; SD_DATA1 ; Input ; -- ; -- ; -- ; -- ; -- ; ; SD_DATA2 ; Input ; -- ; -- ; -- ; -- ; -- ; ; SD_CARD_DEDECT ; Input ; -- ; -- ; -- ; -- ; -- ; ; SD_WP ; Input ; -- ; -- ; -- ; -- ; -- ; ; nDACK0 ; Input ; -- ; -- ; -- ; -- ; -- ; ; WP_CF_CARD ; Input ; -- ; -- ; -- ; -- ; -- ; ; nSCSI_C_D ; Input ; -- ; -- ; -- ; -- ; -- ; ; nSCSI_I_O ; Input ; -- ; -- ; -- ; -- ; -- ; ; nFB_CS3 ; Input ; -- ; -- ; -- ; -- ; -- ; ; CLK25M ; Output ; -- ; -- ; -- ; -- ; -- ; ; nACSI_ACK ; Output ; -- ; -- ; -- ; -- ; -- ; ; nACSI_RESET ; Output ; -- ; -- ; -- ; -- ; -- ; ; nACSI_CS ; Output ; -- ; -- ; -- ; -- ; -- ; ; ACSI_DIR ; Output ; -- ; -- ; -- ; -- ; -- ; ; ACSI_A1 ; Output ; -- ; -- ; -- ; -- ; -- ; ; nSCSI_ACK ; Output ; -- ; -- ; -- ; -- ; -- ; ; nSCSI_ATN ; Output ; -- ; -- ; -- ; -- ; -- ; ; SCSI_DIR ; Output ; -- ; -- ; -- ; -- ; -- ; ; MIDI_OLR ; Output ; -- ; -- ; -- ; -- ; -- ; ; MIDI_TLR ; Output ; -- ; -- ; -- ; -- ; -- ; ; TxD ; Output ; -- ; -- ; -- ; -- ; -- ; ; RTS ; Output ; -- ; -- ; -- ; -- ; -- ; ; DTR ; Output ; -- ; -- ; -- ; -- ; -- ; ; AMKB_TX ; Output ; -- ; -- ; -- ; -- ; -- ; ; IDE_RES ; Output ; -- ; -- ; -- ; -- ; -- ; ; nIDE_CS0 ; Output ; -- ; -- ; -- ; -- ; -- ; ; nIDE_CS1 ; Output ; -- ; -- ; -- ; -- ; -- ; ; nIDE_WR ; Output ; -- ; -- ; -- ; -- ; -- ; ; nIDE_RD ; Output ; -- ; -- ; -- ; -- ; -- ; ; nCF_CS0 ; Output ; -- ; -- ; -- ; -- ; -- ; ; nCF_CS1 ; Output ; -- ; -- ; -- ; -- ; -- ; ; nROM3 ; Output ; -- ; -- ; -- ; -- ; -- ; ; nROM4 ; Output ; -- ; -- ; -- ; -- ; -- ; ; nRP_UDS ; Output ; -- ; -- ; -- ; -- ; -- ; ; nRP_LDS ; Output ; -- ; -- ; -- ; -- ; -- ; ; nSDSEL ; Output ; -- ; -- ; -- ; -- ; -- ; ; nWR_GATE ; Output ; -- ; -- ; -- ; -- ; -- ; ; nWR ; Output ; -- ; -- ; -- ; -- ; -- ; ; YM_QA ; Output ; -- ; -- ; -- ; -- ; -- ; ; YM_QB ; Output ; -- ; -- ; -- ; -- ; -- ; ; YM_QC ; Output ; -- ; -- ; -- ; -- ; -- ; ; SD_CLK ; Output ; -- ; -- ; -- ; -- ; -- ; ; DSA_D ; Output ; -- ; -- ; -- ; -- ; -- ; ; nVWE ; Output ; -- ; -- ; -- ; -- ; -- ; ; nVCAS ; Output ; -- ; -- ; -- ; -- ; -- ; ; nVRAS ; Output ; -- ; -- ; -- ; -- ; -- ; ; nVCS ; Output ; -- ; -- ; -- ; -- ; -- ; ; nPD_VGA ; Output ; -- ; -- ; -- ; -- ; -- ; ; TIN0 ; Output ; -- ; -- ; -- ; -- ; -- ; ; nSRCS ; Output ; -- ; -- ; -- ; -- ; -- ; ; nSRBLE ; Output ; -- ; -- ; -- ; -- ; -- ; ; nSRBHE ; Output ; -- ; -- ; -- ; -- ; -- ; ; nSRWE ; Output ; -- ; -- ; -- ; -- ; -- ; ; nDREQ1 ; Output ; -- ; -- ; -- ; -- ; -- ; ; LED_FPGA_OK ; Output ; -- ; -- ; -- ; -- ; -- ; ; nSROE ; Output ; -- ; -- ; -- ; -- ; -- ; ; VCKE ; Output ; -- ; -- ; -- ; -- ; -- ; ; nFB_TA ; Output ; -- ; -- ; -- ; -- ; -- ; ; nDDR_CLK ; Output ; -- ; -- ; -- ; -- ; -- ; ; DDR_CLK ; Output ; -- ; -- ; -- ; -- ; -- ; ; VSYNC_PAD ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; ; HSYNC_PAD ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; ; nBLANK_PAD ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; ; PIXEL_CLK_PAD ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; ; nSYNC ; Output ; -- ; -- ; -- ; -- ; -- ; ; nMOT_ON ; Output ; -- ; -- ; -- ; -- ; -- ; ; nSTEP_DIR ; Output ; -- ; -- ; -- ; -- ; -- ; ; nSTEP ; Output ; -- ; -- ; -- ; -- ; -- ; ; CLKUSB ; Output ; -- ; -- ; -- ; -- ; -- ; ; LPDIR ; Output ; -- ; -- ; -- ; -- ; -- ; ; BA[1] ; Output ; -- ; -- ; -- ; -- ; -- ; ; BA[0] ; Output ; -- ; -- ; -- ; -- ; -- ; ; nIRQ[7] ; Output ; -- ; -- ; -- ; -- ; -- ; ; nIRQ[6] ; Output ; -- ; -- ; -- ; -- ; -- ; ; nIRQ[5] ; Output ; -- ; -- ; -- ; -- ; -- ; ; nIRQ[4] ; Output ; -- ; -- ; -- ; -- ; -- ; ; nIRQ[3] ; Output ; -- ; -- ; -- ; -- ; -- ; ; nIRQ[2] ; Output ; -- ; -- ; -- ; -- ; -- ; ; VA[12] ; Output ; -- ; -- ; -- ; -- ; -- ; ; VA[11] ; Output ; -- ; -- ; -- ; -- ; -- ; ; VA[10] ; Output ; -- ; -- ; -- ; -- ; -- ; ; VA[9] ; Output ; -- ; -- ; -- ; -- ; -- ; ; VA[8] ; Output ; -- ; -- ; -- ; -- ; -- ; ; VA[7] ; Output ; -- ; -- ; -- ; -- ; -- ; ; VA[6] ; Output ; -- ; -- ; -- ; -- ; -- ; ; VA[5] ; Output ; -- ; -- ; -- ; -- ; -- ; ; VA[4] ; Output ; -- ; -- ; -- ; -- ; -- ; ; VA[3] ; Output ; -- ; -- ; -- ; -- ; -- ; ; VA[2] ; Output ; -- ; -- ; -- ; -- ; -- ; ; VA[1] ; Output ; -- ; -- ; -- ; -- ; -- ; ; VA[0] ; Output ; -- ; -- ; -- ; -- ; -- ; ; VB[7] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; ; VB[6] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; ; VB[5] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; ; VB[4] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; ; VB[3] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; ; VB[2] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; ; VB[1] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; ; VB[0] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; ; VDM[3] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; ; VDM[2] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; ; VDM[1] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; ; VDM[0] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; ; VG[7] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; ; VG[6] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; ; VG[5] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; ; VG[4] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; ; VG[3] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; ; VG[2] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; ; VG[1] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; ; VG[0] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; ; VR[7] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; ; VR[6] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; ; VR[5] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; ; VR[4] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; ; VR[3] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; ; VR[2] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; ; VR[1] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; ; VR[0] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; ; TOUT0 ; Input ; -- ; -- ; -- ; -- ; -- ; ; nMASTER ; Input ; -- ; -- ; -- ; -- ; -- ; ; FB_AD[31] ; Bidir ; -- ; (6) 1314 ps ; -- ; -- ; -- ; ; FB_AD[30] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; ; FB_AD[29] ; Bidir ; (6) 1314 ps ; (6) 1314 ps ; -- ; -- ; -- ; ; FB_AD[28] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; ; FB_AD[27] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; ; FB_AD[26] ; Bidir ; -- ; (6) 1314 ps ; -- ; -- ; -- ; ; FB_AD[25] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; ; FB_AD[24] ; Bidir ; -- ; (6) 1314 ps ; -- ; -- ; -- ; ; FB_AD[23] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; ; FB_AD[22] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; ; FB_AD[21] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; ; FB_AD[20] ; Bidir ; -- ; (6) 1314 ps ; -- ; -- ; -- ; ; FB_AD[19] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; ; FB_AD[18] ; Bidir ; -- ; (6) 1314 ps ; -- ; -- ; -- ; ; FB_AD[17] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; ; FB_AD[16] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; ; FB_AD[15] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; ; FB_AD[14] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; ; FB_AD[13] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; ; FB_AD[12] ; Bidir ; -- ; (6) 1314 ps ; -- ; -- ; -- ; ; FB_AD[11] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; ; FB_AD[10] ; Bidir ; -- ; (6) 1314 ps ; -- ; -- ; -- ; ; FB_AD[9] ; Bidir ; -- ; (6) 1314 ps ; -- ; -- ; -- ; ; FB_AD[8] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; ; FB_AD[7] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; ; FB_AD[6] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; ; FB_AD[5] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; ; FB_AD[4] ; Bidir ; -- ; (6) 1314 ps ; -- ; -- ; -- ; ; FB_AD[3] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; ; FB_AD[2] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; ; FB_AD[1] ; Bidir ; -- ; (6) 1314 ps ; -- ; -- ; -- ; ; FB_AD[0] ; Bidir ; -- ; (6) 1314 ps ; -- ; -- ; -- ; ; VD[31] ; Bidir ; (6) 1314 ps ; -- ; -- ; (0) 0 ps ; -- ; ; VD[30] ; Bidir ; (6) 1314 ps ; -- ; -- ; (0) 0 ps ; -- ; ; VD[29] ; Bidir ; (6) 1314 ps ; -- ; -- ; (0) 0 ps ; -- ; ; VD[28] ; Bidir ; (6) 1314 ps ; -- ; -- ; (0) 0 ps ; -- ; ; VD[27] ; Bidir ; (6) 1314 ps ; -- ; -- ; (0) 0 ps ; -- ; ; VD[26] ; Bidir ; (6) 1314 ps ; -- ; -- ; (0) 0 ps ; -- ; ; VD[25] ; Bidir ; -- ; (6) 1314 ps ; -- ; (0) 0 ps ; -- ; ; VD[24] ; Bidir ; (6) 1314 ps ; -- ; -- ; (0) 0 ps ; -- ; ; VD[23] ; Bidir ; (6) 1314 ps ; -- ; -- ; (0) 0 ps ; -- ; ; VD[22] ; Bidir ; (6) 1314 ps ; -- ; -- ; (0) 0 ps ; -- ; ; VD[21] ; Bidir ; -- ; (6) 1314 ps ; -- ; (0) 0 ps ; -- ; ; VD[20] ; Bidir ; (6) 1314 ps ; -- ; -- ; (0) 0 ps ; -- ; ; VD[19] ; Bidir ; (6) 1314 ps ; -- ; -- ; (0) 0 ps ; -- ; ; VD[18] ; Bidir ; (6) 1314 ps ; -- ; -- ; (0) 0 ps ; -- ; ; VD[17] ; Bidir ; (6) 1314 ps ; -- ; -- ; (0) 0 ps ; -- ; ; VD[16] ; Bidir ; -- ; (6) 1314 ps ; -- ; (0) 0 ps ; -- ; ; VD[15] ; Bidir ; (6) 1314 ps ; -- ; -- ; (0) 0 ps ; -- ; ; VD[14] ; Bidir ; (6) 1314 ps ; -- ; -- ; (0) 0 ps ; -- ; ; VD[13] ; Bidir ; (6) 1314 ps ; -- ; -- ; (0) 0 ps ; -- ; ; VD[12] ; Bidir ; (6) 1314 ps ; -- ; -- ; (0) 0 ps ; -- ; ; VD[11] ; Bidir ; (6) 1314 ps ; -- ; -- ; (0) 0 ps ; -- ; ; VD[10] ; Bidir ; (6) 1314 ps ; -- ; -- ; (0) 0 ps ; -- ; ; VD[9] ; Bidir ; (6) 1314 ps ; -- ; -- ; (0) 0 ps ; -- ; ; VD[8] ; Bidir ; -- ; (6) 1314 ps ; -- ; (0) 0 ps ; -- ; ; VD[7] ; Bidir ; (6) 1314 ps ; -- ; -- ; (0) 0 ps ; -- ; ; VD[6] ; Bidir ; (6) 1314 ps ; -- ; -- ; (0) 0 ps ; -- ; ; VD[5] ; Bidir ; -- ; (6) 1314 ps ; -- ; (0) 0 ps ; -- ; ; VD[4] ; Bidir ; (6) 1314 ps ; -- ; -- ; (0) 0 ps ; -- ; ; VD[3] ; Bidir ; (6) 1314 ps ; -- ; -- ; (0) 0 ps ; -- ; ; VD[2] ; Bidir ; (6) 1314 ps ; -- ; -- ; (0) 0 ps ; -- ; ; VD[1] ; Bidir ; (6) 1314 ps ; -- ; -- ; (0) 0 ps ; -- ; ; VD[0] ; Bidir ; (6) 1314 ps ; -- ; -- ; (0) 0 ps ; -- ; ; VDQS[3] ; Bidir ; -- ; -- ; -- ; -- ; -- ; ; VDQS[2] ; Bidir ; -- ; -- ; -- ; -- ; -- ; ; VDQS[1] ; Bidir ; -- ; -- ; -- ; -- ; -- ; ; VDQS[0] ; Bidir ; -- ; -- ; -- ; -- ; -- ; ; IO[17] ; Bidir ; -- ; -- ; -- ; -- ; -- ; ; IO[16] ; Bidir ; -- ; -- ; -- ; -- ; -- ; ; IO[15] ; Bidir ; -- ; -- ; -- ; -- ; -- ; ; IO[14] ; Bidir ; -- ; -- ; -- ; -- ; -- ; ; IO[13] ; Bidir ; -- ; -- ; -- ; -- ; -- ; ; IO[12] ; Bidir ; -- ; -- ; -- ; -- ; -- ; ; IO[11] ; Bidir ; -- ; -- ; -- ; -- ; -- ; ; IO[10] ; Bidir ; -- ; -- ; -- ; -- ; -- ; ; IO[9] ; Bidir ; -- ; -- ; -- ; -- ; -- ; ; IO[8] ; Bidir ; -- ; -- ; -- ; -- ; -- ; ; IO[7] ; Bidir ; -- ; -- ; -- ; -- ; -- ; ; IO[6] ; Bidir ; -- ; -- ; -- ; -- ; -- ; ; IO[5] ; Bidir ; -- ; -- ; -- ; -- ; -- ; ; IO[4] ; Bidir ; -- ; -- ; -- ; -- ; -- ; ; IO[3] ; Bidir ; -- ; -- ; -- ; -- ; -- ; ; IO[2] ; Bidir ; -- ; -- ; -- ; -- ; -- ; ; IO[1] ; Bidir ; -- ; -- ; -- ; -- ; -- ; ; IO[0] ; Bidir ; -- ; -- ; -- ; -- ; -- ; ; SRD[15] ; Bidir ; -- ; (6) 1314 ps ; -- ; -- ; -- ; ; SRD[14] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; ; SRD[13] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; ; SRD[12] ; Bidir ; -- ; (6) 1314 ps ; -- ; -- ; -- ; ; SRD[11] ; Bidir ; -- ; (6) 1314 ps ; -- ; -- ; -- ; ; SRD[10] ; Bidir ; -- ; (6) 1314 ps ; -- ; -- ; -- ; ; SRD[9] ; Bidir ; -- ; (6) 1314 ps ; -- ; -- ; -- ; ; SRD[8] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; ; SRD[7] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; ; SRD[6] ; Bidir ; -- ; (6) 1314 ps ; -- ; -- ; -- ; ; SRD[5] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; ; SRD[4] ; Bidir ; -- ; (6) 1314 ps ; -- ; -- ; -- ; ; SRD[3] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; ; SRD[2] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; ; SRD[1] ; Bidir ; -- ; (6) 1314 ps ; -- ; -- ; -- ; ; SRD[0] ; Bidir ; -- ; (6) 1314 ps ; -- ; -- ; -- ; ; SCSI_PAR ; Bidir ; -- ; -- ; -- ; -- ; -- ; ; nSCSI_SEL ; Bidir ; -- ; -- ; -- ; -- ; -- ; ; nSCSI_BUSY ; Bidir ; -- ; -- ; -- ; -- ; -- ; ; nSCSI_RST ; Bidir ; -- ; -- ; -- ; -- ; -- ; ; SD_CD_DATA3 ; Bidir ; -- ; -- ; -- ; -- ; -- ; ; SD_CMD_D1 ; Bidir ; -- ; -- ; -- ; -- ; -- ; ; ACSI_D[7] ; Bidir ; -- ; -- ; -- ; -- ; -- ; ; ACSI_D[6] ; Bidir ; -- ; -- ; -- ; -- ; -- ; ; ACSI_D[5] ; Bidir ; -- ; -- ; -- ; -- ; -- ; ; ACSI_D[4] ; Bidir ; -- ; -- ; -- ; -- ; -- ; ; ACSI_D[3] ; Bidir ; -- ; -- ; -- ; -- ; -- ; ; ACSI_D[2] ; Bidir ; -- ; -- ; -- ; -- ; -- ; ; ACSI_D[1] ; Bidir ; -- ; -- ; -- ; -- ; -- ; ; ACSI_D[0] ; Bidir ; -- ; -- ; -- ; -- ; -- ; ; LP_D[7] ; Bidir ; -- ; (6) 1314 ps ; -- ; -- ; -- ; ; LP_D[6] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; ; LP_D[5] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; ; LP_D[4] ; Bidir ; -- ; (6) 1314 ps ; -- ; -- ; -- ; ; LP_D[3] ; Bidir ; -- ; (6) 1314 ps ; -- ; -- ; -- ; ; LP_D[2] ; Bidir ; -- ; (6) 1314 ps ; -- ; -- ; -- ; ; LP_D[1] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; ; LP_D[0] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; ; SCSI_D[7] ; Bidir ; -- ; -- ; -- ; -- ; -- ; ; SCSI_D[6] ; Bidir ; -- ; -- ; -- ; -- ; -- ; ; SCSI_D[5] ; Bidir ; -- ; -- ; -- ; -- ; -- ; ; SCSI_D[4] ; Bidir ; -- ; -- ; -- ; -- ; -- ; ; SCSI_D[3] ; Bidir ; -- ; -- ; -- ; -- ; -- ; ; SCSI_D[2] ; Bidir ; -- ; -- ; -- ; -- ; -- ; ; SCSI_D[1] ; Bidir ; -- ; -- ; -- ; -- ; -- ; ; SCSI_D[0] ; Bidir ; -- ; -- ; -- ; -- ; -- ; ; nRSTO_MCF ; Input ; (0) 0 ps ; -- ; -- ; -- ; -- ; ; nFB_WR ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; ; nFB_CS1 ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; ; FB_SIZE1 ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ; ; FB_SIZE0 ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; ; FB_ALE ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; ; nFB_CS2 ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; ; MAIN_CLK ; Input ; (0) 0 ps ; -- ; -- ; -- ; -- ; ; nDACK1 ; Input ; (0) 0 ps ; -- ; -- ; -- ; -- ; ; nFB_OE ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ; ; IDE_RDY ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; ; CLK33M ; Input ; (0) 0 ps ; -- ; -- ; -- ; -- ; ; HD_DD ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ; ; nINDEX ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; ; RxD ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ; ; nWP ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; ; LP_BUSY ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ; ; DCD ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; ; CTS ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ; ; TRACK00 ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; ; IDE_INT ; Input ; (0) 0 ps ; -- ; -- ; -- ; -- ; ; RI ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ; ; nPCI_INTD ; Input ; (6) 1314 ps ; (0) 0 ps ; -- ; -- ; -- ; ; nPCI_INTC ; Input ; (6) 1314 ps ; (0) 0 ps ; -- ; -- ; -- ; ; nPCI_INTB ; Input ; (6) 1314 ps ; (0) 0 ps ; -- ; -- ; -- ; ; nPCI_INTA ; Input ; (0) 0 ps ; (6) 1314 ps ; -- ; -- ; -- ; ; DVI_INT ; Input ; (0) 0 ps ; -- ; -- ; -- ; -- ; ; E0_INT ; Input ; (0) 0 ps ; -- ; -- ; -- ; -- ; ; PIC_INT ; Input ; (6) 1314 ps ; (1) 382 ps ; -- ; -- ; -- ; ; MIDI_IN ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; ; PIC_AMKB_RX ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; ; nRD_DATA ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; ; AMKB_RX ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ; +----------------+----------+---------------+---------------+-----------------------+----------+------+ +------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Pad To Core Delay Chain Fanout ; +------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+---------+ ; Source Pin / Fanout ; Pad To Core Index ; Setting ; +------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+---------+ ; nFB_BURST ; ; ; ; nACSI_DRQ ; ; ; ; nACSI_INT ; ; ; ; nSCSI_DRQ ; ; ; ; nSCSI_MSG ; ; ; ; nDCHG ; ; ; ; SD_DATA0 ; ; ; ; SD_DATA1 ; ; ; ; SD_DATA2 ; ; ; ; SD_CARD_DEDECT ; ; ; ; SD_WP ; ; ; ; nDACK0 ; ; ; ; WP_CF_CARD ; ; ; ; nSCSI_C_D ; ; ; ; nSCSI_I_O ; ; ; ; nFB_CS3 ; ; ; ; TOUT0 ; ; ; ; nMASTER ; ; ; ; FB_AD[31] ; ; ; ; - SRD[15]~output ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_A[7] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~5 ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|DDR_SEL ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_A[7] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_B[7] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_C[7] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|ADDRESSLATCH~0 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[31]~32 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_FREQ[15] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_FREQ[7] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[31] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[31] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[31] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[31] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[15] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[15] ; 1 ; 6 ; ; - interrupt_handler:nobody|INT_CTR[31] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|CTRL_REG[7] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_TOP[7] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[15] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_B[7] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG~15 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG~15 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~1 ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[31] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[31] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG~2 ; 1 ; 6 ; ; - interrupt_handler:nobody|INT_ENA[31]~feeder ; 1 ; 6 ; ; - interrupt_handler:nobody|ACP_CONF[31]~feeder ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[31]~feeder ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[31]~feeder ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[31]~feeder ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_RED|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ram_block1a0 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 1 ; 6 ; ; FB_AD[30] ; ; ; ; - SRD[14]~output ; 0 ; 6 ; ; - interrupt_handler:nobody|ACP_CONF[30] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~5 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|DDR_SEL ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_A[6] ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_ENA[30] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_A[6] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_B[6] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_C[6] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|ADDRESSLATCH~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[30]~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_FREQ[6] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[30] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[30] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[30] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[30] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[30] ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_CTR[30] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|CTRL_REG[6] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_TOP[6] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[14] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_B[6] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG~8 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG~20 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG~20 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[30] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[30] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[14]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[14]~feeder ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_FREQ[14]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[30]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[30]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_RED|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ram_block1a0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 0 ; 6 ; ; FB_AD[29] ; ; ; ; - SRD[13]~output ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|CTRL_REG[5] ; 1 ; 6 ; ; - interrupt_handler:nobody|INT_ENA[29] ; 1 ; 6 ; ; - interrupt_handler:nobody|ACP_CONF[29] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_A[5] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_B[5] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_C[5] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|ADDRESSLATCH~0 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[29]~2 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_FREQ[5] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[29] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[29] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[29] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[29] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[13] ; 1 ; 6 ; ; - interrupt_handler:nobody|INT_CTR[29] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_TOP[5] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[13] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_B[5] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG~7 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG~21 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG~21 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~1 ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[29] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[29] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[13]~feeder ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_FREQ[13]~feeder ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_A[5]~feeder ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[29]~feeder ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[29]~feeder ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[29]~feeder ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_RED|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ram_block1a0 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 1 ; 6 ; ; FB_AD[28] ; ; ; ; - SRD[12]~output ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|CTRL_REG[4] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LEVEL_B[4] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LEVEL_C[4] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_A[4] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|NOISE_FREQ[4] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_B[4] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_C[4] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|ADDRESSLATCH~1 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[28]~3 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG~5 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_FREQ[12] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_FREQ[4] ; 0 ; 6 ; ; - interrupt_handler:nobody|ACP_CONF[28] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_TOP[4] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[12] ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_CTR[28] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[28] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[28] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[28] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[28] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[12] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[12] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[28] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_B[4] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG~19 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG~19 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~1 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[28] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[28] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[28] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[28]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_ENA[28]~feeder ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LEVEL_A[4]~feeder ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_A[4]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_RED|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ram_block1a0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 0 ; 6 ; ; FB_AD[27] ; ; ; ; - SRD[11]~output ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|CTRL_REG[3] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LEVEL_A[3] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LEVEL_B[3] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LEVEL_C[3] ; 0 ; 6 ; ; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[27] ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_ENA[27] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|ADR_I[3] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_A[11] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_A[3] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|NOISE_FREQ[3] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_SHAPE[3] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_B[11] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_B[3] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_C[11] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_C[3] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[27]~4 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG~6 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_FREQ[11] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_FREQ[3] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[27] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[27] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[27] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDB[11] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBB[11] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HSS[11] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HHT[11] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[11] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[27] ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_CTR[27] ; 0 ; 6 ; ; - interrupt_handler:nobody|ACP_CONF[27] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_TOP[3] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[11] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_B[3] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG~18 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG~18 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~1 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[27] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[27] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[27] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBE[11]~feeder ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_A[3]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[27]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[11]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDE[11]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[27]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_RED|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ram_block1a0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 0 ; 6 ; ; FB_AD[26] ; ; ; ; - Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_RED|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ram_block1a0 ; 1 ; 6 ; ; - SRD[10]~output ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LEVEL_A[2] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LEVEL_B[2] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LEVEL_C[2] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA[12]~53 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|ADR_I[2] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_A[10] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_A[2] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|NOISE_FREQ[2] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_SHAPE[2] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_B[10] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_B[2] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_C[10] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_C[2] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[26]~5 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG~4 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_FREQ[10] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_FREQ[2] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_X_D[2] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[26] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[26] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[26] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDB[10] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBE[10] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDE[10] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HHT[10] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDB[10] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBB[10] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[10] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[10] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[26] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE[10] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VSS[10] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VFT[10] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_TOP[2] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[10] ; 1 ; 6 ; ; - interrupt_handler:nobody|INT_CTR[26] ; 1 ; 6 ; ; - interrupt_handler:nobody|ACP_CONF[26] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG~17 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG~17 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~3 ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[26] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[26] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[26]~feeder ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBB[10]~feeder ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBE[10]~feeder ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[26]~feeder ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDE[10]~feeder ; 1 ; 6 ; ; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[26]~feeder ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HSS[10]~feeder ; 1 ; 6 ; ; - interrupt_handler:nobody|INT_ENA[26]~feeder ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_B[2]~feeder ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|CTRL_REG[2]~feeder ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[26]~feeder ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|altdpram0:ST_CLUT_RED|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated|ram_block1a0 ; 1 ; 6 ; ; FB_AD[25] ; ; ; ; - SRD[9]~output ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LEVEL_A[1] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|CTRL_REG[1] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LEVEL_C[1] ; 0 ; 6 ; ; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[25] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA[11]~55 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|ADR_I[1] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_A[9] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_A[1] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|NOISE_FREQ[1] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_SHAPE[1] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_B[9] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_B[1] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_C[9] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_C[1] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[25]~6 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG~3 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_FREQ[9] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_FREQ[1] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WDC_BSL[1] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[25] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[25] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[25] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDB[9] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBE[9] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBB[9] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDE[9] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HHT[9] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDB[9] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBE[9] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBB[9] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDE[9] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE[9] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VSS[9] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VFT[9] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[9] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ST_SHIFT_MODE[1] ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_CTR[25] ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_ENA[25] ; 0 ; 6 ; ; - interrupt_handler:nobody|ACP_CONF[25] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_TOP[1] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[9] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG~13 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG~13 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~3 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[25] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[25] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[25]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[25]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_X_D[1]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[25]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[9]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HSS[9]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[25]~feeder ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_A[1]~feeder ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LEVEL_B[1]~feeder ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_B[1]~feeder ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altdpram0:ST_CLUT_RED|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated|ram_block1a0 ; 0 ; 6 ; ; FB_AD[24] ; ; ; ; - Video:Fredi_Aschwanden|altdpram0:ST_CLUT_RED|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated|ram_block1a0 ; 1 ; 6 ; ; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[7]~22 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 1 ; 6 ; ; - SRD[8]~output ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|CTRL_REG[0] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LEVEL_A[0] ; 1 ; 6 ; ; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[24] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA[10]~56 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WDC_BSL[0] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_A[8] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_A[0] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_SHAPE[0] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_B[8] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_B[0] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_C[8] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_C[0] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[24]~7 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG~11 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG~0 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG~11 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_FREQ[8] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_FREQ[0] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_X_D[0] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[24] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[24] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDB[8] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBE[8] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBB[8] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HSS[8] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HHT[8] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDB[8] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBE[8] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBB[8] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDE[8] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[8] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VSS[8] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VFT[8] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VCT[8] ; 1 ; 6 ; ; - interrupt_handler:nobody|INT_CTR[24] ; 1 ; 6 ; ; - interrupt_handler:nobody|INT_ENA[24] ; 1 ; 6 ; ; - interrupt_handler:nobody|ACP_CONF[24] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_TOP[0] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_B[0] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~3 ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[24] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[24] ; 1 ; 6 ; ; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[9]~28 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[8]~feeder ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[24]~feeder ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[24]~feeder ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[24]~feeder ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[24]~feeder ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDE[8]~feeder ; 1 ; 6 ; ; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[16]~feeder ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[24]~feeder ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[8]~feeder ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ST_SHIFT_MODE[0]~feeder ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE[8]~feeder ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_A[0]~feeder ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LEVEL_B[0]~feeder ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|ADR_I[0]~feeder ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|NOISE_FREQ[0]~feeder ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LEVEL_C[0]~feeder ; 1 ; 6 ; ; FB_AD[23] ; ; ; ; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[6]~20 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_D[7] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_C[7] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|TRACK_REG[7]~8 ; 0 ; 6 ; ; - SRD[7]~output ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRA[7] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA[9]~59 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[7] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|SCR[7] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|VR[7] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERB[7] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRB~4 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERA[7] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRA~10 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[23]~8 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[16]~15 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UCR[7] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_L_D[7] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|COMMAND_REG~13 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|ISRA~1 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|ISRB~7 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|AER[7] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_H_D[7] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBB[7] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDE[7] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[23] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VCT[7] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE[7] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[7] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[7] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VSS[7] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VFT[7] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[23] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[23] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[23] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[23] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDB[7] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBE[7] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBB[7] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDE[7] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HHT[7] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDB[7] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_M_D[7] ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_CTR[23] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][1] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][3] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][5] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][7] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][6] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][9] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][8] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][11] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][14] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][12] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][16] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][18] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][17] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][20] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][19] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][22] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][24] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][23] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][26] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][25] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][27] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][28] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][29] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][32] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][31] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][30] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][34] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][33] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][36] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][35] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][38] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][37] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][40] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][39] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][42] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][41] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][44] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][43] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][46] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][45] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][48] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][47] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][50] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][49] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][52] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][51] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][54] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][53] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][56] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][55] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][58] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][57] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][60] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][59] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][61] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][63] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][62] ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_ENA[23] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_HIGH[7] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|GPDR[7] ; 0 ; 6 ; ; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_compare:cmpr7|cmpr_tnd:auto_generated|aneb_result_wire[0]~0 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][0]~123 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][2]~124 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][4]~126 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MID[7]~6 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW[7]~4 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UDR[7]~15 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[23] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[23] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[23] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[23] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TDDR[7] ; 0 ; 6 ; ; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[10]~4 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_B~22 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDR[7] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_A~22 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VR_FRQ[7] ; 0 ; 6 ; ; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[23]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[23]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBE[7]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HSS[7]~feeder ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TADR[7]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][21]~feeder ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRB[7]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][15]~feeder ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TBDR[7]~feeder ; 0 ; 6 ; ; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[15]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|ACP_CONF[23]~feeder ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|DDR[7]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][10]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ram_block1a0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_GREEN|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ram_block1a0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 0 ; 6 ; ; FB_AD[22] ; ; ; ; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[5]~18 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_D[6] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_C[6] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|DATA_REG[6]~8 ; 0 ; 6 ; ; - SRD[6]~output ; 0 ; 6 ; ; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[22] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA[8]~61 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[6] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|SCR[6] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERB[6] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRB~2 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERA[6] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRA~8 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[22]~9 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[15]~16 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_L_D[6] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|COMMAND_REG~12 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|ISRB~8 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|ISRA~8 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|AER[6] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_H_D[6] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBB[6] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDE[6] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VCT[6] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE[6] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|SYS_CTR[6] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[6] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[6] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VFT[6] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VSS[6] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[22] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[22] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[22] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDB[6] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBE[6] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBB[6] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDE[6] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HSS[6] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HHT[6] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDB[6] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBE[6] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_M_D[6] ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_CTR[22] ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_ENA[22] ; 0 ; 6 ; ; - interrupt_handler:nobody|ACP_CONF[22] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[6][1] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[6][5] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[6][9] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[6][8] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[6][11] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[6][14] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[6][16] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[6][18] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[6][17] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[6][20] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[6][19] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[6][22] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[6][21] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[6][24] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[6][23] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[6][26] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[6][25] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[6][27] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[6][28] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[6][30] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[6][29] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[6][32] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[6][31] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[6][34] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[6][33] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[6][36] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[6][35] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[6][38] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[6][37] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[6][40] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[6][39] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[6][42] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[6][41] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[6][44] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[6][43] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[6][46] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[6][45] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[6][50] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[6][49] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[6][52] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[6][51] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[6][54] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[6][56] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[6][55] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[6][58] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[6][60] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[6][59] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[6][61] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[6][63] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_HIGH[6] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|DDR[6] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|GPDR[6] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDCR[5] ; 0 ; 6 ; ; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_compare:cmpr7|cmpr_tnd:auto_generated|aneb_result_wire[0]~0 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[6][0]~129 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[6][2]~130 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[6][4]~132 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MID[6]~7 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW[6]~5 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UDR[6]~17 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[22] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[22] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TDDR[6] ; 0 ; 6 ; ; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[11]~8 ; 0 ; 6 ; ; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[14] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TBDR[6] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_B~19 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDR[6] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TADR[6] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_A~19 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[22]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VR_FRQ[6]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[22]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[22]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[22]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[22]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[6][48]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[6][62]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[6][3]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[6][13]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[6][15]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[6][12]~feeder ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|VR[6]~feeder ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRA[6]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[6][7]~feeder ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRB[6]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[6][53]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[6][47]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[6][6]~feeder ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UCR[6]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[6][57]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altdpram0:ST_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated|ram_block1a0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ram_block1a0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_GREEN|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ram_block1a0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 0 ; 6 ; ; FB_AD[21] ; ; ; ; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[4]~16 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_D[5] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_C[5] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|DATA_REG[5]~9 ; 0 ; 6 ; ; - SRD[5]~output ; 0 ; 6 ; ; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[21] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRB[5] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRA[5] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA[7]~63 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UCR[5] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|SCR[5] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_M_D[5] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERB[5] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRB~6 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERA[5] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRA~12 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[21]~10 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[14]~17 ; 0 ; 6 ; ; - interrupt_handler:nobody|RTC_ADR[5] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|COMMAND_REG~12 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|ISRA~2 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|ISRB~5 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|AER[5] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_H_D[5] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBB[5] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDE[5] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[21] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE[5] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|SYS_CTR[5] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[5] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[5] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VFT[5] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VSS[5] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[21] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[21] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[21] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[21] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDB[5] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBE[5] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HSS[5] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDB[5] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBE[5] ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_CTR[21] ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_ENA[21] ; 0 ; 6 ; ; - interrupt_handler:nobody|ACP_CONF[21] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][38] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][37] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][36] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][39] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][41] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][42] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][40] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][33] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][34] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][32] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][35] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][46] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][45] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][47] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][26] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][18] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][25] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][21] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][17] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][20] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][24] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][16] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][28] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][27] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][19] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][31] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][10] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][9] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][8] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][5] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][1] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][3] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][13] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][14] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][12] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][15] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][53] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][57] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][49] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][58] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][54] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][50] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][56] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][52] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][48] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][60] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][55] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][59] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][51] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][63] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_HIGH[5] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[5] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|DDR[5] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|GPDR[5] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDCR[4] ; 0 ; 6 ; ; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_compare:cmpr7|cmpr_tnd:auto_generated|aneb_result_wire[0]~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MID[5]~3 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][4]~134 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][2]~135 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][0]~136 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW[5]~6 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UDR[5]~20 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[21] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[21] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TDDR[5] ; 0 ; 6 ; ; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[12]~11 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TBDR[5] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_B~25 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDR[5] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_A~25 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[21]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[21]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][6]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][44]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][43]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][7]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][30]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][22]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][29]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][62]~feeder ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|VR[5]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][11]~feeder ; 0 ; 6 ; ; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[13]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][23]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[5][61]~feeder ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|TSR[5]~feeder ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TADR[5]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VCT[5]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VR_FRQ[5]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[21]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_L_D[5]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HHT[5]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDE[5]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBB[5]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altdpram0:ST_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated|ram_block1a0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ram_block1a0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_GREEN|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ram_block1a0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 0 ; 6 ; ; FB_AD[20] ; ; ; ; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[3]~14 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_D[4] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_C[4] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|DATA_REG[4]~10 ; 1 ; 6 ; ; - SRD[4]~output ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRB[4] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA[6]~65 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|SCR[4] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_M_D[4] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[4] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|VR[4] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERA[4] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRA~14 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERB[4] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRB~16 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[20]~11 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[13]~18 ; 1 ; 6 ; ; - interrupt_handler:nobody|RTC_ADR[4] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|COMMAND_REG~12 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|ISRA~3 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|ISRB~6 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|AER[4] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_H_D[4] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBB[4] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDE[4] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[20] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[20] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VCT[4] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|SYS_CTR[4] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[4] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[4] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VFT[4] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VSS[4] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[20] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[20] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[20] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[20] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDB[4] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBE[4] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBB[4] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDE[4] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HHT[4] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDB[4] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBE[4] ; 1 ; 6 ; ; - interrupt_handler:nobody|INT_CTR[20] ; 1 ; 6 ; ; - interrupt_handler:nobody|INT_ENA[20] ; 1 ; 6 ; ; - interrupt_handler:nobody|ACP_CONF[20] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][22] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][26] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][18] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][30] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][25] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][21] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][17] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][20] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][24] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][16] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][28] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][27] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][38] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][37] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][36] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][39] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][41] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][42] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][40] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][43] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][33] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][34] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][46] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][44] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][47] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][10] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][9] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][8] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][11] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][5] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][6] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][7] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][1] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][3] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][13] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][14] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][12] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][15] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][57] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][61] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][58] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][54] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][56] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][52] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][55] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][59] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][51] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][63] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_HIGH[4] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|DDR[4] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|GPDR[4] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TACR[4] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TBCR[4] ; 1 ; 6 ; ; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_compare:cmpr7|cmpr_tnd:auto_generated|aneb_result_wire[0]~0 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MID[4]~4 ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][4]~138 ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][2]~139 ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][0]~140 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW[4]~7 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UDR[4]~23 ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[20] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[20] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[20] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TDDR[4] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_B~29 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDR[4] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_A~29 ; 1 ; 6 ; ; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[12] ; 1 ; 6 ; ; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[13]~26 ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VR_FRQ[4] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[20]~feeder ; 1 ; 6 ; ; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[20]~feeder ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_L_D[4]~feeder ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TADR[4]~feeder ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][50]~feeder ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][49]~feeder ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][19]~feeder ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][23]~feeder ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDCR[3]~feeder ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][53]~feeder ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][60]~feeder ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][29]~feeder ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][31]~feeder ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][48]~feeder ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][35]~feeder ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][32]~feeder ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TBDR[4]~feeder ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][62]~feeder ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[4][45]~feeder ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRA[4]~feeder ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UCR[4]~feeder ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HSS[4]~feeder ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE[4]~feeder ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|altdpram0:ST_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated|ram_block1a0 ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ram_block1a0 ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_GREEN|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ram_block1a0 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 1 ; 6 ; ; FB_AD[19] ; ; ; ; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[2]~12 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_D[3] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_C[3] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|DATA_REG[3]~11 ; 0 ; 6 ; ; - SRD[3]~output ; 0 ; 6 ; ; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[19] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRB[3] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRA[3] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA[5]~67 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|TSR[3] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[19] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[3] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRB~10 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERA[3] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRA~16 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[19]~12 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[12]~19 ; 0 ; 6 ; ; - interrupt_handler:nobody|RTC_ADR[3] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_M_D[3] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|ISRB~3 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|ISRA~6 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TACR[3] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TBCR[3] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|AER[3] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_H_D[3] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|DDR[3] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|GPDR[3] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDE[3] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VMD[3] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VCT[3] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[3] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[3] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VSS[3] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[19] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[19] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[19] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[19] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDB[3] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBE[3] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBB[3] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDE[3] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HSS[3] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDB[3] ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_CTR[19] ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_ENA[19] ; 0 ; 6 ; ; - interrupt_handler:nobody|ACP_CONF[19] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][38] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][37] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][39] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][41] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][42] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][33] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][34] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][46] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][45] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][47] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][22] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][26] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][18] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][30] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][25] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][17] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][29] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][20] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][24] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][28] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][27] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][23] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][31] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][10] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][9] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][8] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][5] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][1] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][3] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][13] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][14] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][15] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][53] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][57] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][61] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][58] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][54] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][56] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][52] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][55] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][59] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][51] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_L_D[3] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_HIGH[3] ; 0 ; 6 ; ; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_compare:cmpr7|cmpr_tnd:auto_generated|aneb_result_wire[0]~1 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UDR[3]~26 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][4]~142 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][2]~143 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][0]~144 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MID[3]~8 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW[3]~8 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[19] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[19] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[19] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TDDR[3] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TBDR[3] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_B~32 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDR[3] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TADR[3] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_A~32 ; 0 ; 6 ; ; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[11] ; 0 ; 6 ; ; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[14]~23 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VR_FRQ[3] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[19]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBE[3]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[19]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HHT[3]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBB[3]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE[3]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VFT[3]~feeder ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UCR[3]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][48]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][35]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][32]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][40]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][44]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][43]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][36]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][6]~feeder ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERB[3]~feeder ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|SCR[3]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][19]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][11]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][12]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][21]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][7]~feeder ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|VR[3]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][60]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][16]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][63]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][62]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][50]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[3][49]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ram_block1a0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_GREEN|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ram_block1a0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 0 ; 6 ; ; FB_AD[18] ; ; ; ; - Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_GREEN|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ram_block1a0 ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ram_block1a0 ; 1 ; 6 ; ; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[1]~10 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_D[2] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_C[2] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|DATA_REG[2]~12 ; 1 ; 6 ; ; - SRD[2]~output ; 1 ; 6 ; ; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[18] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VRAS~4 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRB[2] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA[4]~69 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UCR[2] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[18] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[2] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERA[2] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRA~4 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRB~8 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[18]~13 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[11]~20 ; 1 ; 6 ; ; - interrupt_handler:nobody|RTC_ADR[2] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_M_D[2] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[18] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[18] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[18] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[18] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDB[2] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBE[2] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBB[2] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDE[2] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HSS[2] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBE[2] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBB[2] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDE[2] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VFT[2] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VMD[2] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VCT[2] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[2] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[2] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|SYS_CTR[2] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VSS[2] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_L_D[2] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_H_D[2] ; 1 ; 6 ; ; - interrupt_handler:nobody|INT_CTR[18] ; 1 ; 6 ; ; - interrupt_handler:nobody|INT_ENA[18] ; 1 ; 6 ; ; - interrupt_handler:nobody|ACP_CONF[18] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[2][1] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[2][3] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[2][5] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[2][6] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[2][9] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[2][10] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[2][14] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[2][13] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[2][15] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[2][18] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[2][17] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[2][20] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[2][19] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[2][21] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[2][23] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[2][25] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[2][28] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[2][29] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[2][32] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[2][31] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[2][34] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[2][33] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[2][35] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[2][38] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[2][37] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[2][40] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[2][39] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[2][41] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[2][44] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[2][43] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[2][45] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[2][48] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[2][47] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[2][49] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[2][52] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[2][51] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[2][54] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[2][53] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[2][56] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[2][55] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[2][58] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[2][57] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[2][59] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[2][61] ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[2][62] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_HIGH[2] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TACR[2] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDCR[2] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TBCR[2] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|GPDR[2] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|DDR[2] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|AER[2] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|ISRB~4 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|ISRA~7 ; 1 ; 6 ; ; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_compare:cmpr7|cmpr_tnd:auto_generated|aneb_result_wire[0]~1 ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[2][0]~119 ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[2][2]~120 ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[2][4]~122 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MID[2]~5 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW[2]~3 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UDR[2]~14 ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[18] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[18] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[18] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[18] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TDDR[2] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TBDR[2] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_B~16 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDR[2] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TADR[2] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_A~16 ; 1 ; 6 ; ; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[10] ; 1 ; 6 ; ; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[15]~20 ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[18]~feeder ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VR_FRQ[2]~feeder ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDB[2]~feeder ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HHT[2]~feeder ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[2][46]~feeder ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[2][50]~feeder ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[2][16]~feeder ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[2][22]~feeder ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[2][42]~feeder ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[2][8]~feeder ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[2][12]~feeder ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[2][24]~feeder ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[2][27]~feeder ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[2][36]~feeder ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[2][30]~feeder ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[2][26]~feeder ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[2][60]~feeder ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE[2]~feeder ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|TSR[2]~feeder ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[2][63]~feeder ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|SCR[2]~feeder ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRA[2]~feeder ; 1 ; 6 ; ; - interrupt_handler:nobody|WERTE[2][7]~feeder ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERB[2]~feeder ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|altdpram0:ST_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated|ram_block1a0 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 1 ; 6 ; ; FB_AD[17] ; ; ; ; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[0]~8 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|DATA_REG[1]~13 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_D[1] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_C[1] ; 0 ; 6 ; ; - SRD[1]~output ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[1] ; 0 ; 6 ; ; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[17] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VCAS~0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[17] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRA[1] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA[3]~71 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UCR[1] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|RSR[1] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERA[1] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRA~2 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRB~14 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[17]~14 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[10]~21 ; 0 ; 6 ; ; - interrupt_handler:nobody|RTC_ADR[1] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_M_D[1] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBB[1] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDE[1] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VFT[1] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VCT[1] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[1] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[1] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE[1] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|SYS_CTR[1] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VSS[1] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[17] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[17] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[17] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDB[1] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBE[1] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBB[1] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDE[1] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HSS[1] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HHT[1] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDB[1] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBE[1] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_L_D[1] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_H_D[1] ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_CTR[17] ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_ENA[17] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[1][1] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[1][3] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[1][5] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[1][9] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[1][10] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[1][14] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[1][13] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[1][15] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[1][18] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[1][17] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[1][19] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[1][22] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[1][24] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[1][23] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[1][26] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[1][25] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[1][27] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[1][28] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[1][30] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[1][29] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[1][32] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[1][31] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[1][34] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[1][33] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[1][36] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[1][35] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[1][38] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[1][37] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[1][40] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[1][39] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[1][42] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[1][41] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[1][44] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[1][46] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[1][45] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[1][48] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[1][50] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[1][49] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[1][52] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[1][51] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[1][54] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[1][53] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[1][56] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[1][55] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[1][58] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[1][57] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[1][60] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[1][59] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[1][61] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[1][63] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[1][62] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_HIGH[1] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TACR[1] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDCR[1] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|GPDR[1] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|DDR[1] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|ISRB~2 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|ISRA~4 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~3 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[1][0]~115 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[1][2]~116 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[1][4]~118 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MID[1]~2 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW[1]~2 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UDR[1]~11 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[17] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[17] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TDDR[1] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TBDR[1] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_B~13 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDR[1] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_A~13 ; 0 ; 6 ; ; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[9] ; 0 ; 6 ; ; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[16]~17 ; 0 ; 6 ; ; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_compare:cmpr7|cmpr_tnd:auto_generated|aneb_result_wire[0] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VR_FRQ[1] ; 0 ; 6 ; ; - interrupt_handler:nobody|ACP_CONF[17]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[17]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[17]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[1][8]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[1][6]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[1][7]~feeder ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TADR[1]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[1][43]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[1][47]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[17]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VMD[1]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[17]~feeder ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|TSR[1]~feeder ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|AER[1]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[1][16]~feeder ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TBCR[1]~feeder ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERB[1]~feeder ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|SCR[1]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[1][12]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[1][21]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[1][20]~feeder ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRB[1]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altdpram0:ST_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated|ram_block1a0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 0 ; 6 ; ; FB_AD[16] ; ; ; ; - Video:Fredi_Aschwanden|altdpram0:ST_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated|ram_block1a0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 0 ; 6 ; ; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[0]~8 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_D[0] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_C[0] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|DATA_REG[0]~14 ; 0 ; 6 ; ; - SRD[0]~output ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|TSR[0] ; 0 ; 6 ; ; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[16] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VWE ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRA[0] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA[2]~73 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|SCR[0] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERA[0] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRA~6 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERB[0] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRB~12 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[16]~15 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[9]~22 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[16] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[16] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[16] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBE[0] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBB[0] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDE[0] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HSS[0] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HHT[0] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDB[0] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBB[0] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDE[0] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[16] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VFT[0] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VCT[0] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[0] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[0] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|SYS_CTR[0] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_L_D[0] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_H_D[0] ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_CTR[16] ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_ENA[16] ; 0 ; 6 ; ; - interrupt_handler:nobody|ACP_CONF[16] ; 0 ; 6 ; ; - interrupt_handler:nobody|RTC_ADR[0] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][1] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][3] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][5] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][7] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][8] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][9] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][10] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][14] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][15] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][16] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][17] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][18] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][19] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][20] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][21] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][22] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][24] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][25] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][26] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][27] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][28] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][30] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][31] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][32] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][33] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][34] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][35] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][36] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][38] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][39] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][40] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][42] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][43] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][44] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][45] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][46] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][50] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][51] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][52] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][54] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][56] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][58] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][59] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][60] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][61] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][62] ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][63] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_HIGH[0] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[0] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TACR[0] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|DDR[0] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|GPDR[0] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|AER[0] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|ISRB~1 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|ISRA~5 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UDR[0]~7 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~4 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][0]~2 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][2]~7 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][4]~13 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][13]~19 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MID[0]~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW[0]~0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[16] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[16] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[16] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TDDR[0] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TBDR[0] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_B~10 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDR[0] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TADR[0] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_A~10 ; 0 ; 6 ; ; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[17]~14 ; 0 ; 6 ; ; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[8] ; 0 ; 6 ; ; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_compare:cmpr7|cmpr_tnd:auto_generated|aneb_result_wire[0] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[16]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_M_D[0]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][47]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][55]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][48]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][12]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][49]~feeder ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRB[0]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][37]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBE[0]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VSS[0]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE[0]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VMD[0]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[16]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][29]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][6]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDB[0]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[16]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][23]~feeder ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TBCR[0]~feeder ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|RSR[0]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][41]~feeder ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDCR[0]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][57]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][53]~feeder ; 0 ; 6 ; ; FB_AD[15] ; ; ; ; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[15] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA[1]~75 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[15]~16 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[15] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[15] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[15] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[15] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[15] ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_CTR[15] ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_ENA[15] ; 0 ; 6 ; ; - interrupt_handler:nobody|ACP_CONF[15] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~4 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MID[7]~6 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[15] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[15] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[15]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[15]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[15]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM54|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 0 ; 6 ; ; FB_AD[14] ; ; ; ; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[14] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA[0]~77 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|BA_S[1]~2 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[14]~17 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[14] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[14] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[14] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[14] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[14] ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_CTR[14] ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_ENA[14] ; 0 ; 6 ; ; - interrupt_handler:nobody|ACP_CONF[14] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~4 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MID[6]~7 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[14] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[14] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[14] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[14]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[14]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM54|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 0 ; 6 ; ; FB_AD[13] ; ; ; ; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[13] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~3 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|BA[1]~10 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|BA_S[0]~6 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[13]~18 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[13] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[13] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[13] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[13] ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_CTR[13] ; 0 ; 6 ; ; - interrupt_handler:nobody|ACP_CONF[13] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~4 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MID[5]~3 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[13] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[13] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[13]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[13]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[13]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_ENA[13]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[13]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM54|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 0 ; 6 ; ; FB_AD[12] ; ; ; ; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[12] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~3 ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|BA[0]~12 ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA_S[12]~5 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[12]~19 ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[12] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[12] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[12] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[12] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[12] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[12] ; 1 ; 6 ; ; - interrupt_handler:nobody|INT_CTR[12] ; 1 ; 6 ; ; - interrupt_handler:nobody|INT_ENA[12] ; 1 ; 6 ; ; - interrupt_handler:nobody|ACP_CONF[12] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~5 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MID[4]~4 ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[12] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[12] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[12]~feeder ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[12]~feeder ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM54|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 1 ; 6 ; ; FB_AD[11] ; ; ; ; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[11] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA_S[11]~6 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[11]~20 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[11] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[11] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[11] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[11] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[11] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[11] ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_ENA[11] ; 0 ; 6 ; ; - interrupt_handler:nobody|ACP_CONF[11] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~5 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MID[3]~8 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[11] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[11] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[11] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[11]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_CTR[11]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM54|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 0 ; 6 ; ; FB_AD[10] ; ; ; ; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[10] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA_S[10]~8 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[10]~21 ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[10] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[10] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[10] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[10] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[10] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[10] ; 1 ; 6 ; ; - interrupt_handler:nobody|INT_ENA[10] ; 1 ; 6 ; ; - interrupt_handler:nobody|ACP_CONF[10] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~5 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MID[2]~5 ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[10] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[10] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[10] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[10]~feeder ; 1 ; 6 ; ; - interrupt_handler:nobody|INT_CTR[10]~feeder ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM54|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 1 ; 6 ; ; FB_AD[9] ; ; ; ; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[9] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA_S[9]~13 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[9]~22 ; 1 ; 6 ; ; - interrupt_handler:nobody|INT_ENA[9] ; 1 ; 6 ; ; - interrupt_handler:nobody|INT_CLEAR[9]~0 ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[9] ; 1 ; 6 ; ; - interrupt_handler:nobody|INT_CTR[9] ; 1 ; 6 ; ; - interrupt_handler:nobody|ACP_CONF[9] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~5 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MID[1]~2 ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[9] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[9] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[9] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[9]~feeder ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[9]~feeder ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[9]~feeder ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[9]~feeder ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[9]~feeder ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[9]~feeder ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM54|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 1 ; 6 ; ; FB_AD[8] ; ; ; ; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM54|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 0 ; 6 ; ; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[8] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA_S[8]~18 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[8]~23 ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_CLEAR[8]~1 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[8] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[8] ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_CTR[8] ; 0 ; 6 ; ; - interrupt_handler:nobody|ACP_CONF[8] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~6 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MID[0]~0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[8] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[8] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[8] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[8] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[8]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[8]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_ENA[8]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[8]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[8]~feeder ; 0 ; 6 ; ; FB_AD[7] ; ; ; ; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[7] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA_S[7]~21 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[7]~24 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[7] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[7] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[7] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[7] ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_CTR[7] ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_ENA[7] ; 0 ; 6 ; ; - interrupt_handler:nobody|ACP_CONF[7] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~6 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW[7]~4 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[7] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[7] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[7] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[7] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[7]~feeder ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 0 ; 6 ; ; FB_AD[6] ; ; ; ; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[6] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA_S[6]~28 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[6]~25 ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_ENA[6] ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_CLEAR[6]~2 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[6] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[6] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[6] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[6] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[6] ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_CTR[6] ; 0 ; 6 ; ; - interrupt_handler:nobody|ACP_CONF[6] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~6 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW[6]~5 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[6] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[6] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[6] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[6]~feeder ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 0 ; 6 ; ; FB_AD[5] ; ; ; ; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[5] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA_S[5]~32 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[5]~26 ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_CLEAR[5]~3 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[5] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[5] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[5] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[5] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[5] ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_CTR[5] ; 0 ; 6 ; ; - interrupt_handler:nobody|ACP_CONF[5] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~6 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW[5]~6 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[5] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[5] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[5] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[5]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[5]~feeder ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_ENA[5]~feeder ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 0 ; 6 ; ; FB_AD[4] ; ; ; ; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[4] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA_S[4]~35 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[4]~27 ; 1 ; 6 ; ; - interrupt_handler:nobody|INT_CLEAR[4]~4 ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[4] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[4] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[4] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[4] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[4] ; 1 ; 6 ; ; - interrupt_handler:nobody|INT_CTR[4] ; 1 ; 6 ; ; - interrupt_handler:nobody|ACP_CONF[4] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~8 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW[4]~7 ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[4] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[4] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[4] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[4]~feeder ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[4]~feeder ; 1 ; 6 ; ; - interrupt_handler:nobody|INT_ENA[4]~feeder ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 1 ; 6 ; ; FB_AD[3] ; ; ; ; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[3] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA_S[3]~38 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[3]~28 ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_ENA[3] ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_CLEAR[3]~5 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[3] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[3] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[3] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[3] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[3] ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_CTR[3] ; 0 ; 6 ; ; - interrupt_handler:nobody|ACP_CONF[3] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~8 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW[3]~8 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[3] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[3] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[3] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[3]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[3]~feeder ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 0 ; 6 ; ; FB_AD[2] ; ; ; ; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[2] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA_S[2]~41 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[2]~29 ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_ENA[2] ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_CLEAR[2]~6 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[2] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[2] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[2] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[2] ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_CTR[2] ; 0 ; 6 ; ; - interrupt_handler:nobody|ACP_CONF[2] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~8 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW[2]~3 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[2] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[2] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[2] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[2] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[2]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[2]~feeder ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 0 ; 6 ; ; FB_AD[1] ; ; ; ; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[1] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA_S[1]~47 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[1]~30 ; 1 ; 6 ; ; - interrupt_handler:nobody|INT_CLEAR[1]~7 ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[1] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[1] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[1] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[1] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[1] ; 1 ; 6 ; ; - interrupt_handler:nobody|INT_CTR[1] ; 1 ; 6 ; ; - interrupt_handler:nobody|ACP_CONF[1] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~8 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW[1]~2 ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[1] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[1] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[1] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[1]~feeder ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[1]~feeder ; 1 ; 6 ; ; - interrupt_handler:nobody|INT_ENA[1]~feeder ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 1 ; 6 ; ; FB_AD[0] ; ; ; ; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 1 ; 6 ; ; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[0] ; 1 ; 6 ; ; - interrupt_handler:nobody|INT_CTR[0] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA_S[0]~48 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[0]~31 ; 1 ; 6 ; ; - interrupt_handler:nobody|INT_ENA[0] ; 1 ; 6 ; ; - interrupt_handler:nobody|INT_CLEAR[0]~8 ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[0] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[0] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[0] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[0] ; 1 ; 6 ; ; - interrupt_handler:nobody|ACP_CONF[0] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~9 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW[0]~0 ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[7]~5 ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[0] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[0] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[0]~feeder ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[0]~feeder ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[0]~feeder ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[0]~feeder ; 1 ; 6 ; ; VD[31] ; ; ; ; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[31] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[31]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[31]~feeder ; 0 ; 6 ; ; VD[30] ; ; ; ; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[30] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[30]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[30]~feeder ; 0 ; 6 ; ; VD[29] ; ; ; ; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[29] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[29]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[29]~feeder ; 0 ; 6 ; ; VD[28] ; ; ; ; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[28] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[28]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[28]~feeder ; 0 ; 6 ; ; VD[27] ; ; ; ; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[27] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[27]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[27]~feeder ; 0 ; 6 ; ; VD[26] ; ; ; ; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[26] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[26]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[26]~feeder ; 0 ; 6 ; ; VD[25] ; ; ; ; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[25] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[25]~feeder ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[25]~feeder ; 1 ; 6 ; ; VD[24] ; ; ; ; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[24] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[24]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[24]~feeder ; 0 ; 6 ; ; VD[23] ; ; ; ; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[23] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[23]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[23]~feeder ; 0 ; 6 ; ; VD[22] ; ; ; ; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[22] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[22]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[22]~feeder ; 0 ; 6 ; ; VD[21] ; ; ; ; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[21] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[21]~feeder ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[21]~feeder ; 1 ; 6 ; ; VD[20] ; ; ; ; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[20] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[20]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[20]~feeder ; 0 ; 6 ; ; VD[19] ; ; ; ; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[19] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[19]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[19]~feeder ; 0 ; 6 ; ; VD[18] ; ; ; ; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[18] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[18]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[18]~feeder ; 0 ; 6 ; ; VD[17] ; ; ; ; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[17] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[17]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[17]~feeder ; 0 ; 6 ; ; VD[16] ; ; ; ; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[16] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[16]~feeder ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[16]~feeder ; 1 ; 6 ; ; VD[15] ; ; ; ; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[15] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[15]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[15]~feeder ; 0 ; 6 ; ; VD[14] ; ; ; ; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[14] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[14]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[14]~feeder ; 0 ; 6 ; ; VD[13] ; ; ; ; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[13] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[13]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[13]~feeder ; 0 ; 6 ; ; VD[12] ; ; ; ; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[12] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[12]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[12]~feeder ; 0 ; 6 ; ; VD[11] ; ; ; ; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[11] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[11]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[11]~feeder ; 0 ; 6 ; ; VD[10] ; ; ; ; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[10] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[10]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[10]~feeder ; 0 ; 6 ; ; VD[9] ; ; ; ; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[9] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[9]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[9]~feeder ; 0 ; 6 ; ; VD[8] ; ; ; ; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[8] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[8]~feeder ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[8]~feeder ; 1 ; 6 ; ; VD[7] ; ; ; ; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[7] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[7]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[7]~feeder ; 0 ; 6 ; ; VD[6] ; ; ; ; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[6] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[6]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[6]~feeder ; 0 ; 6 ; ; VD[5] ; ; ; ; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[5] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[5]~feeder ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[5]~feeder ; 1 ; 6 ; ; VD[4] ; ; ; ; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[4] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[4]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[4]~feeder ; 0 ; 6 ; ; VD[3] ; ; ; ; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[3] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[3]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[3]~feeder ; 0 ; 6 ; ; VD[2] ; ; ; ; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[2] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[2]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[2]~feeder ; 0 ; 6 ; ; VD[1] ; ; ; ; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[1] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[1]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[1]~feeder ; 0 ; 6 ; ; VD[0] ; ; ; ; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[0] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[0]~feeder ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[0]~feeder ; 0 ; 6 ; ; VDQS[3] ; ; ; ; VDQS[2] ; ; ; ; VDQS[1] ; ; ; ; VDQS[0] ; ; ; ; IO[17] ; ; ; ; IO[16] ; ; ; ; IO[15] ; ; ; ; IO[14] ; ; ; ; IO[13] ; ; ; ; IO[12] ; ; ; ; IO[11] ; ; ; ; IO[10] ; ; ; ; IO[9] ; ; ; ; IO[8] ; ; ; ; IO[7] ; ; ; ; IO[6] ; ; ; ; IO[5] ; ; ; ; IO[4] ; ; ; ; IO[3] ; ; ; ; IO[2] ; ; ; ; IO[1] ; ; ; ; IO[0] ; ; ; ; SRD[15] ; ; ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[31]~135 ; 1 ; 6 ; ; SRD[14] ; ; ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[30]~118 ; 0 ; 6 ; ; SRD[13] ; ; ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[29]~312 ; 0 ; 6 ; ; SRD[12] ; ; ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[28]~334 ; 1 ; 6 ; ; SRD[11] ; ; ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[27]~350 ; 1 ; 6 ; ; SRD[10] ; ; ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[26]~172 ; 1 ; 6 ; ; SRD[9] ; ; ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[25]~188 ; 1 ; 6 ; ; SRD[8] ; ; ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[24]~205 ; 0 ; 6 ; ; SRD[7] ; ; ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[23]~221 ; 0 ; 6 ; ; SRD[6] ; ; ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[22]~237 ; 1 ; 6 ; ; SRD[5] ; ; ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[21]~253 ; 0 ; 6 ; ; SRD[4] ; ; ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[20]~269 ; 1 ; 6 ; ; SRD[3] ; ; ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[19]~287 ; 0 ; 6 ; ; SRD[2] ; ; ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[18]~151 ; 0 ; 6 ; ; SRD[1] ; ; ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[17]~86 ; 1 ; 6 ; ; SRD[0] ; ; ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[16]~50 ; 1 ; 6 ; ; SCSI_PAR ; ; ; ; nSCSI_SEL ; ; ; ; nSCSI_BUSY ; ; ; ; nSCSI_RST ; ; ; ; SD_CD_DATA3 ; ; ; ; SD_CMD_D1 ; ; ; ; ACSI_D[7] ; ; ; ; ACSI_D[6] ; ; ; ; ACSI_D[5] ; ; ; ; ACSI_D[4] ; ; ; ; ACSI_D[3] ; ; ; ; ACSI_D[2] ; ; ; ; ACSI_D[1] ; ; ; ; ACSI_D[0] ; ; ; ; LP_D[7] ; ; ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|DA_OUT[7]~23 ; 1 ; 6 ; ; LP_D[6] ; ; ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|DA_OUT[6]~17 ; 0 ; 6 ; ; LP_D[5] ; ; ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|DA_OUT[5]~66 ; 0 ; 6 ; ; LP_D[4] ; ; ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[28]~326 ; 1 ; 6 ; ; LP_D[3] ; ; ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[27]~342 ; 1 ; 6 ; ; LP_D[2] ; ; ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[26]~163 ; 1 ; 6 ; ; LP_D[1] ; ; ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[25]~179 ; 0 ; 6 ; ; LP_D[0] ; ; ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[24]~196 ; 0 ; 6 ; ; SCSI_D[7] ; ; ; ; SCSI_D[6] ; ; ; ; SCSI_D[5] ; ; ; ; SCSI_D[4] ; ; ; ; SCSI_D[3] ; ; ; ; SCSI_D[2] ; ; ; ; SCSI_D[1] ; ; ; ; SCSI_D[0] ; ; ; ; nRSTO_MCF ; ; ; ; nFB_WR ; ; ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|ROM_CS ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|SUB_BUS~0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VRAS~0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~4 ; 0 ; 6 ; ; - interrupt_handler:nobody|TIN0~0 ; 0 ; 6 ; ; - DSP:Mathias_Alles|nSRWE~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|DIG_PORTS~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|process_8~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TACR[0]~0 ; 0 ; 6 ; ; - interrupt_handler:nobody|ACP_CONF[31]~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|Selector1~1 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|Selector0~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|P_CTRL_REG~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LEVEL_A[4]~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LEVEL_B[4]~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LEVEL_C[4]~0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~11 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[7]~0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE[7]~0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FR_S2~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|SECTORREG~0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|BA_S[0]~0 ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_ENA[31]~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TAO~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRA~1 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRA[0]~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRB~1 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRB[0]~0 ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_CTR[7]~0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA_S[7]~24 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|ADDRESSLATCH~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[31]~1 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WDC_BSL[0]~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|Mux2~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CONTROL~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UCR[3]~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|RSR[1]~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|COMMAND_REG~8 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_A[11]~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_A[7]~2 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|NOISE_FREQ[4]~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_SHAPE[2]~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_RESET~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_B[11]~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_B[7]~2 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_C[11]~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_C[7]~2 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|DATA_OUT~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UDR_READ~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|TSR_READ~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|RSR_READ~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|DATA_OUT~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|DATA_OUT_EN~2 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|DATA_OUT~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|DATA_OUT~1 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|DATA_OUT~3 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|DATA_OUT~5 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|DATA_OUT~7 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|DATA_OUT~9 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|DATA_OUT~11 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|DATA_OUT~12 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|DATA_OUT~14 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|CPU_REQ~1 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|DATA_OUT~1 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|Mux1~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|DA_OUT[6]~10 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|DATA_EN~1 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|DATA_EN~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|DATA_EN~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|DATA_EN~1 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|DATA_EN~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|DATA_EN~0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[15]~1 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|TRACKREG~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|VR[7]~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|ISRA~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|ISRB~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERA[0]~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERB[0]~0 ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_CLEAR[9]~0 ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_CLEAR[8]~1 ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_CLEAR[6]~2 ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_CLEAR[5]~3 ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_CLEAR[4]~4 ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_CLEAR[3]~5 ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_CLEAR[2]~6 ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_CLEAR[1]~7 ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_CLEAR[0]~8 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|DA_OUT[2]~36 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|DA_OUT~44 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|DA_OUT~45 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~35 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|DATA_OUT~30 ; 0 ; 6 ; ; - DSP:Mathias_Alles|nSRWE~1 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATAREG~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG~14 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UCR[2]~2 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATAREG~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG~14 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_FREQ[7]~0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[23]~0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[23]~0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[23]~0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[23]~0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDB[7]~0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBE[7]~0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBB[7]~0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HSS[7]~0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HHT[7]~0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDB[7]~0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBB[7]~0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VMD[3]~0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VCT[7]~0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[7]~2 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VSS[7]~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|valid_rdreq~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|nFDC_WR~0 ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_CTR[23]~1 ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_ENA[23]~1 ; 0 ; 6 ; ; - interrupt_handler:nobody|RTC_ADR[5]~0 ; 0 ; 6 ; ; - interrupt_handler:nobody|_~465 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[0][0]~3 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][1]~5 ; 0 ; 6 ; ; - interrupt_handler:nobody|_~466 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][3]~9 ; 0 ; 6 ; ; - interrupt_handler:nobody|_~471 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][5]~14 ; 0 ; 6 ; ; - interrupt_handler:nobody|_~478 ; 0 ; 6 ; ; - interrupt_handler:nobody|_~480 ; 0 ; 6 ; ; - interrupt_handler:nobody|_~482 ; 0 ; 6 ; ; - interrupt_handler:nobody|_~484 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][10]~16 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][12]~17 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][13]~20 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][14]~23 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][15]~25 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][16]~26 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][17]~28 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][18]~30 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][19]~32 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][20]~34 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][21]~36 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][22]~38 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][23]~39 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][24]~41 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][25]~43 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][26]~45 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][27]~47 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][28]~49 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][29]~51 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][30]~52 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][31]~54 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][32]~56 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][33]~57 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][34]~59 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][35]~61 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][36]~63 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][37]~65 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][38]~67 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][39]~68 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][40]~70 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][41]~72 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][42]~74 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][43]~76 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][44]~78 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][45]~80 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][46]~82 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][47]~84 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][48]~86 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][49]~87 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][50]~89 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][51]~91 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][52]~93 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][53]~94 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][54]~96 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][55]~98 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][56]~100 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][57]~102 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][58]~104 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][59]~106 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][60]~108 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][61]~110 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][62]~112 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][63]~113 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|process_11~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MID[0]~1 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_CLUT_WR[1] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW[0]~1 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TACR[0]~2 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDCR[0]~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TBCR[0]~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|DDR[0]~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|GPDR[0]~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|AER[0]~0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[15]~1 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[15]~1 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[15]~1 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE[10]~2 ; 0 ; 6 ; ; - interrupt_handler:nobody|ACP_CONF[15]~3 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_CLUT_WR[2] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[31]~2 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[31]~2 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[31]~2 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[31]~3 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[15]~4 ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_CTR[31]~3 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|process_10~0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_CLUT_WR[3] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_CLUT_WR[1] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[7]~4 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[7]~6 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[6]~7 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT|UE~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|FS_B~1 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|\P_FS_B:FIRST_READ~0 ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_ENA[7]~3 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_X_D[2]~0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDB[11]~1 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBB[11]~2 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HSS[11]~1 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HHT[11]~1 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDB[10]~1 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VSS[10]~1 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VR_WR~0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ST_CLUT_WR[0]~0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ST_SHIFT_MODE[1]~0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VCT[8]~2 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VIDEO_RECONFIG~0 ; 0 ; 6 ; ; - interrupt_handler:nobody|WERTE[7][11]~127 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[7]~4 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[7]~3 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[7]~3 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_CLUT_WR[3] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_B[7]~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|process_2~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|IRQn~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|DCD_OVR_LOCK~1 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|IRQn~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|DCD_OVR_LOCK~1 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FB_LE[3] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FB_LE[1]~2 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FB_LE[2]~3 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FB_LE[0]~4 ; 0 ; 6 ; ; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|read_init_nominal_state~1 ; 0 ; 6 ; ; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|read_init_state~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TADR[0]~0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|SYS_CTR[6]~3 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VR_RD~2 ; 0 ; 6 ; ; nFB_CS1 ; ; ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|ROM_CS ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|IDE_CF_CS ; 0 ; 6 ; ; - interrupt_handler:nobody|TIN0~0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE_CS ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VIDEO_MOD_TA~4 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW_CS~0 ; 0 ; 6 ; ; - interrupt_handler:nobody|UHR_DS~3 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|MFP_CS~1 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBE_CS~1 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VIDEO_MOD_TA~8 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDB_CS~0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VSS_CS~0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VCT_CS~2 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF_CS ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HHT_CS~0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HSS_CS~0 ; 0 ; 6 ; ; - interrupt_handler:nobody|UHR_DS~4 ; 0 ; 6 ; ; - interrupt_handler:nobody|_~1 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|NEXT_CMD_STATE.T1~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|Selector2~0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBE_CS~2 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDE_CS~0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBB_CS~0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~2 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~28 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_CNT_M ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_CNT_H ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|lpm_bustri_BYT:$00004|lpm_bustri:lpm_bustri_component|dout[0]~1 ; 0 ; 6 ; ; - interrupt_handler:nobody|TIN0~1 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~32 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_M_D[7]~0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~33 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~34 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_bustri_WORD:$00000|lpm_bustri:lpm_bustri_component|dout[9]~85 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~20 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_L ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~36 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_CNT_L ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~37 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~38 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~39 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~40 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VMD[3]~0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VCT[7]~0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[7]~0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE[7]~1 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_L_D[7]~0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_H_D[7]~0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[15]~1 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[6]~7 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE[10]~3 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ST_SHIFT_MODE[1]~0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VCT[8]~2 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~44 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~46 ; 0 ; 6 ; ; FB_SIZE1 ; ; ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|nRP_UDS~0 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|nRP_LDS~0 ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VRAS~0 ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~4 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_B1 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_CS~1 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|process_8~0 ; 1 ; 6 ; ; - interrupt_handler:nobody|FB_B[0]~0 ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FB_B[1]~0 ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FB_B[3]~1 ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FR_S2~0 ; 1 ; 6 ; ; - interrupt_handler:nobody|_~20 ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~20 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WDC_BSL[0]~0 ; 1 ; 6 ; ; - interrupt_handler:nobody|UHR_DS~8 ; 1 ; 6 ; ; - interrupt_handler:nobody|UHR_AS~0 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_B0 ; 1 ; 6 ; ; - interrupt_handler:nobody|FB_B[2]~1 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~0 ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FB_B[2] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FB_B[0] ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|SR_VDMP[0]~0 ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|SR_VDMP[6]~2 ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|SR_VDMP[5]~4 ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|SR_VDMP[4]~6 ; 1 ; 6 ; ; FB_SIZE0 ; ; ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|nRP_UDS~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|nRP_LDS~0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VRAS~0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~4 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_B1 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_CS~1 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|process_8~0 ; 0 ; 6 ; ; - interrupt_handler:nobody|FB_B[0]~0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FB_B[1]~0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FB_B[3]~1 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FR_S2~0 ; 0 ; 6 ; ; - interrupt_handler:nobody|_~20 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~20 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WDC_BSL[0]~0 ; 0 ; 6 ; ; - interrupt_handler:nobody|UHR_DS~8 ; 0 ; 6 ; ; - interrupt_handler:nobody|UHR_AS~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_B0 ; 0 ; 6 ; ; - interrupt_handler:nobody|FB_B[2]~1 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FB_B[2] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FB_B[0] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|SR_VDMP[0]~0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|SR_VDMP[6]~2 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|SR_VDMP[5]~4 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|SR_VDMP[4]~6 ; 0 ; 6 ; ; FB_ALE ; ; ; ; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[19] ; 0 ; 6 ; ; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[18] ; 0 ; 6 ; ; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[17] ; 0 ; 6 ; ; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[16] ; 0 ; 6 ; ; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[15] ; 0 ; 6 ; ; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[14] ; 0 ; 6 ; ; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[13] ; 0 ; 6 ; ; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[12] ; 0 ; 6 ; ; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[11] ; 0 ; 6 ; ; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[10] ; 0 ; 6 ; ; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[9] ; 0 ; 6 ; ; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[8] ; 0 ; 6 ; ; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[7] ; 0 ; 6 ; ; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[6] ; 0 ; 6 ; ; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[5] ; 0 ; 6 ; ; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[0] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~5 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|DDR_SEL ; 0 ; 6 ; ; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[3] ; 0 ; 6 ; ; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[2] ; 0 ; 6 ; ; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[4] ; 0 ; 6 ; ; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[1] ; 0 ; 6 ; ; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[26] ; 0 ; 6 ; ; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[25] ; 0 ; 6 ; ; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[24] ; 0 ; 6 ; ; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[27] ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|DDR_CS ; 0 ; 6 ; ; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[23] ; 0 ; 6 ; ; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[22] ; 0 ; 6 ; ; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[21] ; 0 ; 6 ; ; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[20] ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~0 ; 0 ; 6 ; ; nFB_CS2 ; ; ; ; - DSP:Mathias_Alles|nSRCS~0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VIDEO_PLL_RECONFIG_CS~0 ; 0 ; 6 ; ; - inst2~5 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH_CS~0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL_CS~1 ; 0 ; 6 ; ; - interrupt_handler:nobody|ACP_CONF[31]~0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[23]~0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[5]~1 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VIDEO_PLL_CONFIG_CS~0 ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_ENA_CS~1 ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_CTR_CS~7 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR_CS ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR_CS~2 ; 0 ; 6 ; ; - interrupt_handler:nobody|_~21 ; 0 ; 6 ; ; - interrupt_handler:nobody|_~23 ; 0 ; 6 ; ; - interrupt_handler:nobody|_~24 ; 0 ; 6 ; ; - interrupt_handler:nobody|_~145 ; 0 ; 6 ; ; - interrupt_handler:nobody|ACP_CONF_CS ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~8 ; 0 ; 6 ; ; - interrupt_handler:nobody|_~190 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~9 ; 0 ; 6 ; ; - interrupt_handler:nobody|_~191 ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_CLEAR_CS ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~10 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[31]~2 ; 0 ; 6 ; ; - interrupt_handler:nobody|_~194 ; 0 ; 6 ; ; - interrupt_handler:nobody|_~239 ; 0 ; 6 ; ; - interrupt_handler:nobody|_~328 ; 0 ; 6 ; ; - interrupt_handler:nobody|_~371 ; 0 ; 6 ; ; - interrupt_handler:nobody|_~414 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~34 ; 0 ; 6 ; ; - interrupt_handler:nobody|_~458 ; 0 ; 6 ; ; - interrupt_handler:nobody|_~459 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~35 ; 0 ; 6 ; ; - interrupt_handler:nobody|_~460 ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_LATCH_CS ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~36 ; 0 ; 6 ; ; - interrupt_handler:nobody|_~461 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~37 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~38 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~39 ; 0 ; 6 ; ; - interrupt_handler:nobody|_~462 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~40 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~41 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~42 ; 0 ; 6 ; ; - interrupt_handler:nobody|_~463 ; 0 ; 6 ; ; - interrupt_handler:nobody|_~464 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[23]~0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[23]~0 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[15]~1 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[15]~3 ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_CTR[15]~2 ; 0 ; 6 ; ; - interrupt_handler:nobody|INT_ENA[15]~2 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[31]~2 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[31]~3 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[7]~2 ; 0 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VIDEO_MOD_TA~14 ; 0 ; 6 ; ; MAIN_CLK ; ; ; ; nDACK1 ; ; ; ; nFB_OE ; ; ; ; - DSP:Mathias_Alles|nSROE~0 ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~4 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~38 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~39 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~41 ; 1 ; 6 ; ; - interrupt_handler:nobody|_~22 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[16]~43 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[16]~45 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[16]~46 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[16]~47 ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ST_CLUT_RD ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_CLUT_RD ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FB_VDOE[3]~2 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~52 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~53 ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FB_VDOE[1]~5 ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FB_VDOE[2]~6 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[16]~56 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~57 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~58 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~62 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[16]~64 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~67 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~69 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~71 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~72 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[16]~74 ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_bustri_WORD:$00000|lpm_bustri:lpm_bustri_component|dout[3]~31 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~80 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[17]~83 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[17]~85 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[17]~89 ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~8 ; 1 ; 6 ; ; - interrupt_handler:nobody|_~190 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[13]~102 ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_bustri_BYT:inst57|lpm_bustri:lpm_bustri_component|dout[4]~0 ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_bustri_WORD:$00000|lpm_bustri:lpm_bustri_component|dout[14]~37 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~111 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[30]~113 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~115 ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_bustri3:inst66|lpm_bustri:lpm_bustri_component|dout[4]~0 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~123 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~124 ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_CLUT_RDL~0 ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_bustri_WORD:$00000|lpm_bustri:lpm_bustri_component|dout[15]~43 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[31]~133 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[18]~147 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[18]~155 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[16]~160 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[18]~161 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[26]~169 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[25]~186 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[24]~203 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[23]~214 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[23]~217 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[23]~219 ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_bustri_BYT:inst58|lpm_bustri:lpm_bustri_component|dout[7]~0 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[22]~233 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[22]~239 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[22]~241 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[21]~249 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[21]~255 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[21]~257 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[20]~265 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[20]~273 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[19]~285 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[19]~289 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[15]~295 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[15]~298 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[14]~304 ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_bustri_WORD:$00000|lpm_bustri:lpm_bustri_component|dout[13]~184 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[29]~308 ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_bustri3:inst66|lpm_bustri:lpm_bustri_component|dout[3]~1 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[28]~332 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[27]~348 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[11]~359 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[10]~365 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[9]~370 ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|lpm_bustri_BYT:inst57|lpm_bustri:lpm_bustri_component|dout[1]~1 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[8]~379 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[7]~390 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[6]~396 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[6]~399 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[5]~406 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[5]~409 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[4]~414 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[4]~417 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[3]~423 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[3]~426 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[2]~432 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[2]~435 ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~44 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[31]~453 ; 1 ; 6 ; ; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~46 ; 1 ; 6 ; ; IDE_RDY ; ; ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|IDE_CF_TA~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|Selector1~0 ; 0 ; 6 ; ; CLK33M ; ; ; ; HD_DD ; ; ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|HD_DD_OUT~0 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[16]~59 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL|PHASE_DECODER~0 ; 1 ; 6 ; ; nINDEX ; ; ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|MOTORSWITCH~1 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|MOTORSWITCH~2 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE~79 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|LOCK~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|INDEX_MARK~1 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE~109 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE~143 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|\INDEX_COUNTER:CNT[2]~1 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|INTRQ~4 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE~202 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|\INDEX_COUNTER:LOCK~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|DRQ_IPn~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|\P_INDEX_MARK:LOCK~0 ; 0 ; 6 ; ; - nINDEX~_wirecell ; 0 ; 6 ; ; RxD ; ; ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|SDATA_IN_I~0 ; 1 ; 6 ; ; nWP ; ; ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE~85 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE~147 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE~178 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|WR_PR~0 ; 0 ; 6 ; ; LP_BUSY ; ; ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|DATA_OUT[0]~17 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|EDGE_ENA~14 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|LOCK~14 ; 1 ; 6 ; ; DCD ; ; ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|DATA_OUT[1]~40 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|EDGE_ENA~15 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|LOCK~15 ; 0 ; 6 ; ; CTS ; ; ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|DATA_OUT[2]~60 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|EDGE_ENA~11 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|LOCK~11 ; 1 ; 6 ; ; TRACK00 ; ; ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|TRACK_REG~9 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|TRACK_REG~11 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE~99 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|TR_CLR~0 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|LOST_DATA_TR00~3 ; 0 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|LOST_DATA_TR00~4 ; 0 ; 6 ; ; IDE_INT ; ; ; ; RI ; ; ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|EDGE_ENA~7 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|DATA_OUT~90 ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|LOCK~6 ; 1 ; 6 ; ; nPCI_INTD ; ; ; ; - interrupt_handler:nobody|INT_LATCH[6]~11 ; 1 ; 0 ; ; - interrupt_handler:nobody|lpm_bustri_BYT:$00006|lpm_bustri:lpm_bustri_component|dout[6]~3 ; 0 ; 6 ; ; nPCI_INTC ; ; ; ; - interrupt_handler:nobody|INT_LATCH[5]~12 ; 1 ; 0 ; ; - interrupt_handler:nobody|lpm_bustri_BYT:$00006|lpm_bustri:lpm_bustri_component|dout[5]~6 ; 0 ; 6 ; ; nPCI_INTB ; ; ; ; - interrupt_handler:nobody|INT_LATCH[4]~13 ; 1 ; 0 ; ; - interrupt_handler:nobody|lpm_bustri_BYT:$00006|lpm_bustri:lpm_bustri_component|dout[4]~9 ; 0 ; 6 ; ; nPCI_INTA ; ; ; ; - interrupt_handler:nobody|INT_LATCH[3]~14 ; 0 ; 0 ; ; - interrupt_handler:nobody|lpm_bustri_BYT:$00006|lpm_bustri:lpm_bustri_component|dout[3]~12 ; 1 ; 6 ; ; DVI_INT ; ; ; ; E0_INT ; ; ; ; PIC_INT ; ; ; ; - interrupt_handler:nobody|INT_LATCH[0]~17 ; 1 ; 1 ; ; - interrupt_handler:nobody|lpm_bustri_BYT:$00006|lpm_bustri:lpm_bustri_component|dout[0]~21 ; 0 ; 6 ; ; - interrupt_handler:nobody|PIC_INT_SYNC[0]~feeder ; 1 ; 1 ; ; MIDI_IN ; ; ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|RXDATA_I~feeder ; 0 ; 6 ; ; PIC_AMKB_RX ; ; ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|KEYB_RxD ; 0 ; 6 ; ; nRD_DATA ; ; ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL|RD_In~feeder ; 0 ; 6 ; ; AMKB_RX ; ; ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|AMKB_REG[3] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|AMKB_REG[4] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|AMKB_REG[2] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|AMKB_REG[1] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|AMKB_REG[0] ; 1 ; 6 ; ; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|AMKB_REG[0]~13 ; 1 ; 6 ; +------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+---------+ +------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Control Signals ; +------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------------+---------+---------------------------------------+--------+----------------------+------------------+---------------------------+ ; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ; +------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------------+---------+---------------------------------------+--------+----------------------+------------------+---------------------------+ ; AMKB_RX ; PIN_Y2 ; 6 ; Sync. load ; no ; -- ; -- ; -- ; ; CLK33M ; PIN_AB12 ; 12 ; Clock ; yes ; Global Clock ; GCLK15 ; -- ; ; CLK33M ; PIN_AB12 ; 5 ; Clock ; no ; -- ; -- ; -- ; ; DSP:Mathias_Alles|nSRWE~1 ; LCCOMB_X26_Y15_N24 ; 16 ; Output enable ; no ; -- ; -- ; -- ; ; FB_ALE ; PIN_R7 ; 32 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|AMKB_REG[0]~13 ; LCCOMB_X1_Y30_N18 ; 5 ; Sync. clear ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|CLR_FIFO ; LCCOMB_X20_Y18_N0 ; 250 ; Async. clear ; yes ; Global Clock ; GCLK5 ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[31]~1 ; LCCOMB_X18_Y18_N30 ; 32 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW[0]~1 ; LCCOMB_X18_Y16_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MID[0]~1 ; LCCOMB_X18_Y16_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[1]~0 ; LCCOMB_X21_Y17_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[8]~1 ; LCCOMB_X18_Y16_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[13]~103 ; LCCOMB_X20_Y15_N20 ; 16 ; Output enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[16]~76 ; LCCOMB_X21_Y15_N28 ; 2 ; Output enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[18]~162 ; LCCOMB_X21_Y15_N18 ; 4 ; Output enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[18]~228 ; LCCOMB_X21_Y15_N26 ; 2 ; Output enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[26]~178 ; LCCOMB_X21_Y15_N2 ; 1 ; Output enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[26]~195 ; LCCOMB_X21_Y15_N16 ; 2 ; Output enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[31]~129 ; LCCOMB_X21_Y15_N0 ; 5 ; Output enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|Selector4~0 ; LCCOMB_X21_Y18_N4 ; 30 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WDC_BSL[0]~1 ; LCCOMB_X17_Y15_N18 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_AM_DETECTOR:I_AM_DETECTOR|Equal0~4 ; LCCOMB_X20_Y32_N14 ; 7 ; Sync. load ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_AM_DETECTOR:I_AM_DETECTOR|SHIFT[7]~17 ; LCCOMB_X20_Y32_N0 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_AM_DETECTOR:I_AM_DETECTOR|\MFM_SYNCLOCK:TMP[2]~3 ; LCCOMB_X19_Y32_N2 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE.IDLE ; FF_X22_Y30_N21 ; 14 ; Sync. clear ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE.T3_LOAD_SHFT ; FF_X26_Y29_N1 ; 29 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|SHFT_LOAD_ND~0 ; LCCOMB_X22_Y31_N4 ; 8 ; Sync. load ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|Selector68~49 ; LCCOMB_X27_Y30_N0 ; 72 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|Selector78~0 ; LCCOMB_X22_Y29_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|WideNor2~5 ; LCCOMB_X22_Y30_N16 ; 32 ; Sync. clear ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|WideNor8 ; LCCOMB_X26_Y28_N26 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|\INDEX_COUNTER:CNT[2]~1 ; LCCOMB_X28_Y28_N0 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|\INDEX_COUNTER:TIMEOUT[10]~3 ; LCCOMB_X28_Y28_N4 ; 28 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|\P_DELAY:DELCNT[1]~4 ; LCCOMB_X26_Y31_N28 ; 20 ; Sync. clear ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|\P_DELAY:DELCNT[1]~5 ; LCCOMB_X25_Y27_N16 ; 20 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|\RESTORE_TRAP:STEP_CNT[4]~2 ; LCCOMB_X26_Y30_N14 ; 9 ; Sync. clear ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|\RESTORE_TRAP:STEP_CNT[4]~3 ; LCCOMB_X28_Y30_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CRC_LOGIC:I_CRC_LOGIC|CRC_SHIFT[1]~20 ; LCCOMB_X23_Y32_N0 ; 15 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL|PER_CNT~27 ; LCCOMB_X19_Y30_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL|RD_PULSE ; FF_X16_Y30_N1 ; 18 ; Clock enable, Sync. load ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL|\PHASE_DECODER:PHASE_AMOUNT[1]~2 ; LCCOMB_X17_Y31_N10 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|COMMAND_REG[7] ; FF_X22_Y26_N21 ; 20 ; Sync. load ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|COMMAND_REG~14 ; LCCOMB_X22_Y26_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|Equal3~1 ; LCCOMB_X21_Y29_N22 ; 7 ; Sync. load ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|SECTORREG~1 ; LCCOMB_X22_Y29_N22 ; 8 ; Sync. load ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|SHIFT_REG[7]~13 ; LCCOMB_X22_Y31_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|TRACKREG~1 ; LCCOMB_X22_Y26_N0 ; 8 ; Sync. load ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|AM_SHFT~32 ; LCCOMB_X20_Y29_N8 ; 31 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|\CLK_MASK:LOCK~0 ; LCCOMB_X17_Y29_N18 ; 1 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|\CLK_MASK:MASK_SHFT[0]~0 ; LCCOMB_X22_Y33_N0 ; 23 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|ADDRESSLATCH~2 ; LCCOMB_X9_Y28_N12 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|DIG_PORTS~1 ; LCCOMB_X9_Y32_N10 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_A[6] ; FF_X9_Y32_N13 ; 9 ; Output enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_B[7]~1 ; LCCOMB_X7_Y29_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|P_CTRL_REG~1 ; LCCOMB_X8_Y29_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WAV_STRB ; FF_X2_Y31_N23 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_FREQ[7]~1 ; LCCOMB_X5_Y28_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_RESET ; FF_X6_Y29_N11 ; 8 ; Sync. load ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_RESET~1 ; LCCOMB_X6_Y29_N10 ; 9 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_SHAPE[2]~1 ; LCCOMB_X4_Y29_N12 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_STRB~1 ; LCCOMB_X1_Y31_N26 ; 19 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|Equal14~3 ; LCCOMB_X7_Y28_N24 ; 13 ; Sync. clear ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|Equal15~3 ; LCCOMB_X3_Y28_N30 ; 13 ; Sync. load ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|Equal16~3 ; LCCOMB_X5_Y30_N28 ; 13 ; Sync. clear ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|Equal17~3 ; LCCOMB_X4_Y30_N30 ; 13 ; Sync. load ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|Equal18~3 ; LCCOMB_X6_Y27_N26 ; 13 ; Sync. clear ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|Equal19~3 ; LCCOMB_X4_Y27_N30 ; 13 ; Sync. load ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_A[11]~1 ; LCCOMB_X4_Y28_N0 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_A[7]~3 ; LCCOMB_X4_Y28_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_B[11]~1 ; LCCOMB_X6_Y30_N10 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_B[7]~3 ; LCCOMB_X6_Y30_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_C[11]~1 ; LCCOMB_X5_Y27_N0 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_C[7]~3 ; LCCOMB_X5_Y27_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LEVEL_A[4]~1 ; LCCOMB_X6_Y27_N8 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LEVEL_B[4]~1 ; LCCOMB_X9_Y27_N26 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LEVEL_C[4]~1 ; LCCOMB_X5_Y29_N14 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|NOISE_FREQ[4]~1 ; LCCOMB_X5_Y31_N10 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|NOISE_OUT~2 ; LCCOMB_X2_Y31_N16 ; 17 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|OSC_A_OUT~1 ; LCCOMB_X1_Y31_N2 ; 39 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|VOL_ENV[4]~18 ; LCCOMB_X3_Y29_N4 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|\NOISEGENERATOR:CLK_DIV[2]~1 ; LCCOMB_X2_Y31_N10 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|\NOISEGENERATOR:CNT_NOISE[1]~1 ; LCCOMB_X2_Y31_N12 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG[4]~1 ; LCCOMB_X14_Y22_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|BITCNT~1 ; LCCOMB_X14_Y21_N22 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|DATA_REG[6]~8 ; LCCOMB_X14_Y20_N12 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|RCV_NEXT_STATE~0 ; LCCOMB_X12_Y21_N2 ; 7 ; Sync. load ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|SHIFT_REG[4]~9 ; LCCOMB_X14_Y21_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[2]~2 ; LCCOMB_X15_Y20_N24 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|BITCNT~1 ; LCCOMB_X9_Y20_N18 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG~12 ; LCCOMB_X11_Y23_N30 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|SHIFT_REG[1]~8 ; LCCOMB_X11_Y21_N8 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|\CLKDIV:CLK_DIVCNT[4]~2 ; LCCOMB_X14_Y24_N12 ; 8 ; Sync. load ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|\CLKDIV:CLK_DIVCNT[4]~4 ; LCCOMB_X14_Y24_N8 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG[0]~2 ; LCCOMB_X10_Y22_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|BITCNT~1 ; LCCOMB_X10_Y24_N10 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|DATA_REG[1]~8 ; LCCOMB_X12_Y23_N24 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|RCV_NEXT_STATE~0 ; LCCOMB_X5_Y20_N28 ; 8 ; Sync. load ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|SHIFT_REG[0]~9 ; LCCOMB_X10_Y24_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[6]~2 ; LCCOMB_X3_Y20_N30 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|BITCNT~1 ; LCCOMB_X7_Y21_N6 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG~12 ; LCCOMB_X10_Y21_N22 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|SHIFT_REG[2]~8 ; LCCOMB_X8_Y21_N24 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|\CLKDIV:CLK_DIVCNT[4]~2 ; LCCOMB_X3_Y21_N4 ; 7 ; Sync. load ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|\CLKDIV:CLK_DIVCNT[4]~4 ; LCCOMB_X3_Y21_N0 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|AER[0]~0 ; LCCOMB_X9_Y16_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|DDR[0]~0 ; LCCOMB_X9_Y15_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|GPDR[0]~0 ; LCCOMB_X9_Y15_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERA[0]~0 ; LCCOMB_X14_Y17_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERB[0]~0 ; LCCOMB_X10_Y16_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRA[0]~0 ; LCCOMB_X11_Y18_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRB[0]~0 ; LCCOMB_X8_Y17_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|INT_PASS[9]~7 ; LCCOMB_X11_Y16_N6 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|INT_STATE.REQUEST ; FF_X15_Y17_N25 ; 23 ; Sync. clear ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|VECT_NUMBER[0]~18 ; LCCOMB_X14_Y15_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|VR[7]~1 ; LCCOMB_X7_Y15_N22 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|PRESCALE_A~0 ; LCCOMB_X2_Y15_N14 ; 8 ; Sync. load ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|PRESCALE_B~0 ; LCCOMB_X2_Y17_N6 ; 8 ; Sync. load ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|PRESCALE_C~0 ; LCCOMB_X1_Y16_N10 ; 9 ; Sync. load ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|PRESCALE_D~0 ; LCCOMB_X1_Y17_N8 ; 8 ; Sync. load ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TACR[0]~2 ; LCCOMB_X4_Y17_N14 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TADR[0]~1 ; LCCOMB_X4_Y15_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TBCR[0]~0 ; LCCOMB_X11_Y18_N22 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TBDR[0]~0 ; LCCOMB_X6_Y16_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDCR[0]~0 ; LCCOMB_X3_Y18_N12 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDR[0]~0 ; LCCOMB_X5_Y18_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TDDR[6]~0 ; LCCOMB_X6_Y16_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMERC~1 ; LCCOMB_X5_Y18_N18 ; 8 ; Sync. load ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMERD~1 ; LCCOMB_X6_Y17_N30 ; 9 ; Sync. load ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_R_A[0]~0 ; LCCOMB_X16_Y17_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_R_B[0]~1 ; LCCOMB_X9_Y17_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_R_C[0]~1 ; LCCOMB_X8_Y17_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_R_D[0]~1 ; LCCOMB_X7_Y17_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|XTAL_STRB ; FF_X1_Y19_N13 ; 44 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|RSR[1]~1 ; LCCOMB_X8_Y18_N10 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|SCR[0]~0 ; LCCOMB_X9_Y18_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|TSR[0]~0 ; LCCOMB_X7_Y19_N16 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UCR[3]~1 ; LCCOMB_X6_Y18_N28 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UCR[7] ; FF_X5_Y21_N17 ; 17 ; Sync. clear, Sync. load ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UDR[7]~8 ; LCCOMB_X9_Y19_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|BITCNT[1]~5 ; LCCOMB_X5_Y20_N22 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|SDATA_EDGE ; FF_X6_Y21_N9 ; 17 ; Sync. load ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|SHIFT_REG[0]~9 ; LCCOMB_X7_Y20_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|\CLKDIV:CLK_DIVCNT[0]~1 ; LCCOMB_X1_Y20_N2 ; 5 ; Sync. load ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT|BITCNT~1 ; LCCOMB_X4_Y21_N26 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT|CLK_STRB ; FF_X1_Y18_N25 ; 15 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT|SHIFTREG~0 ; LCCOMB_X5_Y19_N24 ; 7 ; Sync. load ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT|SHIFT_REG[4]~13 ; LCCOMB_X5_Y19_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT|TX_END ; FF_X4_Y19_N5 ; 17 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|_~0 ; LCCOMB_X22_Y25_N4 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|valid_rdreq~0 ; LCCOMB_X20_Y24_N2 ; 20 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|valid_wrreq~1 ; LCCOMB_X21_Y18_N28 ; 18 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|_~1 ; LCCOMB_X17_Y18_N18 ; 11 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|valid_rdreq~1 ; LCCOMB_X17_Y18_N4 ; 15 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|valid_wrreq~0 ; LCCOMB_X18_Y23_N24 ; 22 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|process_10~0 ; LCCOMB_X18_Y16_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|process_11~0 ; LCCOMB_X19_Y15_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|process_8~2 ; LCCOMB_X20_Y17_N0 ; 32 ; Async. clear ; no ; -- ; -- ; -- ; ; MAIN_CLK ; PIN_G2 ; 2265 ; Clock ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|CLEAR_FIFO_CNT ; FF_X29_Y19_N1 ; 26 ; Sync. load ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|DDR_REFRESH_SIG[3]~1 ; LCCOMB_X27_Y11_N6 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FB_LE[0]~4 ; LCCOMB_X27_Y10_N10 ; 32 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FB_LE[1]~2 ; LCCOMB_X27_Y10_N0 ; 32 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FB_LE[2]~3 ; LCCOMB_X28_Y11_N6 ; 32 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FB_LE[3] ; LCCOMB_X28_Y10_N24 ; 32 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_ADR_CNT[22]~40 ; LCCOMB_X26_Y13_N0 ; 23 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_H_D[7]~0 ; LCCOMB_X23_Y13_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_L_D[7]~0 ; LCCOMB_X25_Y13_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_M_D[7]~0 ; LCCOMB_X29_Y13_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_X_D[2]~0 ; LCCOMB_X23_Y13_N30 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_CLUT_WR[1] ; LCCOMB_X21_Y17_N28 ; 1 ; Write enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_CLUT_WR[2] ; LCCOMB_X25_Y17_N22 ; 1 ; Write enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_CLUT_WR[3] ; LCCOMB_X21_Y17_N14 ; 1 ; Write enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[15]~3 ; LCCOMB_X29_Y18_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[23]~0 ; LCCOMB_X27_Y15_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[31]~2 ; LCCOMB_X27_Y19_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[5]~1 ; LCCOMB_X30_Y18_N0 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[7]~6 ; LCCOMB_X30_Y20_N22 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[15]~1 ; LCCOMB_X19_Y19_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[23]~0 ; LCCOMB_X19_Y21_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[31]~3 ; LCCOMB_X22_Y20_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[7]~4 ; LCCOMB_X19_Y19_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[15]~2 ; LCCOMB_X19_Y18_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[23]~1 ; LCCOMB_X19_Y21_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[31]~4 ; LCCOMB_X22_Y19_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[7]~5 ; LCCOMB_X20_Y19_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[15]~1 ; LCCOMB_X19_Y20_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[23]~0 ; LCCOMB_X21_Y21_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[31]~2 ; LCCOMB_X21_Y20_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[7]~3 ; LCCOMB_X20_Y20_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[15]~1 ; LCCOMB_X19_Y18_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[23]~0 ; LCCOMB_X21_Y21_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[31]~2 ; LCCOMB_X21_Y21_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[7]~3 ; LCCOMB_X20_Y19_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[15]~1 ; LCCOMB_X28_Y17_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[23]~0 ; LCCOMB_X29_Y15_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[7]~2 ; LCCOMB_X27_Y19_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCSEL[0] ; FF_X29_Y20_N31 ; 54 ; Sync. load ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCSEL[1] ; FF_X29_Y19_N23 ; 54 ; Sync. clear ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CLR_FIFO ; FF_X66_Y22_N3 ; 34 ; Async. clear ; yes ; Global Clock ; GCLK9 ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|DOP_FIFO_CLR ; FF_X42_Y17_N29 ; 21 ; Async. clear ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_CLUT_WR[0] ; LCCOMB_X25_Y17_N10 ; 1 ; Write enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_CLUT_WR[1] ; LCCOMB_X25_Y18_N2 ; 1 ; Write enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_CLUT_WR[3] ; LCCOMB_X25_Y18_N12 ; 1 ; Write enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE[10]~3 ; LCCOMB_X28_Y20_N8 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE[7]~1 ; LCCOMB_X27_Y20_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FIFO_RDE ; FF_X34_Y22_N15 ; 141 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|LAST ; FF_X34_Y24_N1 ; 30 ; Clock enable, Sync. clear ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|PIXEL_CLK ; LCCOMB_X29_Y18_N4 ; 852 ; Clock ; yes ; Global Clock ; GCLK10 ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ST_CLUT_WR[0] ; LCCOMB_X23_Y18_N18 ; 1 ; Write enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ST_CLUT_WR[1] ; LCCOMB_X16_Y16_N16 ; 1 ; Write enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ST_SHIFT_MODE[1]~0 ; LCCOMB_X28_Y20_N26 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|SUB_PIXEL_CNT[6]~18 ; LCCOMB_X34_Y20_N18 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|SYNC_PIX ; FF_X34_Y22_N21 ; 10 ; Sync. clear ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|SYS_CTR[6]~2 ; LCCOMB_X19_Y14_N8 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBB[11]~2 ; LCCOMB_X26_Y18_N18 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBB[7]~1 ; LCCOMB_X27_Y25_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBE[11]~2 ; LCCOMB_X26_Y18_N16 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBE[7]~1 ; LCCOMB_X26_Y18_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDB[11]~1 ; LCCOMB_X28_Y22_N12 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDB[7]~0 ; LCCOMB_X28_Y22_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDE[11]~1 ; LCCOMB_X23_Y18_N8 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDE[7]~0 ; LCCOMB_X23_Y18_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HHT[11]~1 ; LCCOMB_X32_Y24_N6 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HHT[7]~0 ; LCCOMB_X32_Y24_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HSS[11]~1 ; LCCOMB_X32_Y21_N10 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HSS[7]~0 ; LCCOMB_X33_Y23_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[15]~1 ; LCCOMB_X25_Y17_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[7]~0 ; LCCOMB_X18_Y19_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[15]~5 ; LCCOMB_X25_Y18_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[7]~3 ; LCCOMB_X17_Y19_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBB[10]~2 ; LCCOMB_X27_Y23_N30 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBB[7]~1 ; LCCOMB_X26_Y23_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBE[10]~1 ; LCCOMB_X22_Y21_N12 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBE[7]~0 ; LCCOMB_X25_Y21_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VCT[7]~1 ; LCCOMB_X28_Y18_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VCT[8]~3 ; LCCOMB_X25_Y17_N30 ; 1 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDB[10]~2 ; LCCOMB_X23_Y22_N24 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDB[7]~0 ; LCCOMB_X23_Y22_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDE[10]~1 ; LCCOMB_X26_Y20_N30 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDE[7]~0 ; LCCOMB_X26_Y21_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VFT[10]~1 ; LCCOMB_X26_Y20_N12 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VFT[7]~0 ; LCCOMB_X23_Y21_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VMD[3]~1 ; LCCOMB_X26_Y18_N14 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VSS[10]~1 ; LCCOMB_X21_Y20_N14 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VSS[7]~0 ; LCCOMB_X21_Y20_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VR_FRQ[7]~3 ; LCCOMB_X27_Y21_N12 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~52 ; LCCOMB_X25_Y25_N8 ; 10 ; Sync. clear ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|inst37 ; LCCOMB_X37_Y10_N30 ; 32 ; Output enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|inst65~0 ; LCCOMB_X34_Y22_N8 ; 34 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|inst67 ; LCCOMB_X43_Y17_N26 ; 1 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|inst88 ; FF_X37_Y10_N27 ; 69 ; Output enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|inst95 ; FF_X39_Y20_N29 ; 128 ; Sync. load ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_hk21:auto_generated|a_dpfifo_oq21:dpfifo|_~0 ; LCCOMB_X42_Y17_N10 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|dffpipe_3dc:wraclr|dffe17a[0] ; FF_X36_Y13_N25 ; 85 ; Async. clear ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|valid_wrreq~0 ; LCCOMB_X36_Y13_N30 ; 23 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|lpm_shiftreg4:inst26|lpm_shiftreg:lpm_shiftreg_component|dffs[0] ; FF_X34_Y12_N21 ; 259 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|lpm_shiftreg6:inst92|lpm_shiftreg:lpm_shiftreg_component|dffs[0] ; FF_X37_Y10_N1 ; 64 ; Clock enable ; no ; -- ; -- ; -- ; ; Video:Fredi_Aschwanden|lpm_shiftreg6:inst92|lpm_shiftreg:lpm_shiftreg_component|dffs[1] ; FF_X37_Y10_N23 ; 33 ; Clock enable ; no ; -- ; -- ; -- ; ; altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|clk[0] ; PLL_3 ; 42 ; Clock ; yes ; Global Clock ; GCLK14 ; -- ; ; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[0] ; PLL_1 ; 701 ; Clock ; yes ; Global Clock ; GCLK2 ; -- ; ; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[1] ; PLL_1 ; 96 ; Clock ; yes ; Global Clock ; GCLK4 ; -- ; ; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[2] ; PLL_1 ; 5 ; Clock ; yes ; Global Clock ; GCLK3 ; -- ; ; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[3] ; PLL_1 ; 37 ; Clock ; yes ; Global Clock ; GCLK1 ; -- ; ; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[4] ; PLL_1 ; 189 ; Clock, Latch enable ; yes ; Global Clock ; GCLK0 ; -- ; ; altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[0] ; PLL_4 ; 7 ; Clock ; yes ; Global Clock ; GCLK16 ; -- ; ; altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[1] ; PLL_4 ; 582 ; Clock ; yes ; Global Clock ; GCLK17 ; -- ; ; altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[2] ; PLL_4 ; 4 ; Clock ; yes ; Global Clock ; GCLK18 ; -- ; ; altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[3] ; PLL_4 ; 2 ; Clock ; yes ; Global Clock ; GCLK19 ; -- ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|_~1 ; LCCOMB_X16_Y25_N0 ; 1 ; Async. clear ; no ; -- ; -- ; -- ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|busy ; LCCOMB_X16_Y25_N20 ; 14 ; Clock enable ; no ; -- ; -- ; -- ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|input_latch_enable~0 ; LCCOMB_X15_Y25_N4 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr12|cntr_30l:auto_generated|counter_reg_bit[7]~0 ; LCCOMB_X18_Y25_N6 ; 8 ; Sync. load ; no ; -- ; -- ; -- ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr13|cntr_qij:auto_generated|_~0 ; LCCOMB_X18_Y25_N28 ; 14 ; Clock enable ; no ; -- ; -- ; -- ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr15|cntr_30l:auto_generated|counter_reg_bit[7]~0 ; LCCOMB_X19_Y26_N18 ; 8 ; Sync. load ; no ; -- ; -- ; -- ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr1|cntr_30l:auto_generated|_~11 ; LCCOMB_X16_Y27_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr1|cntr_30l:auto_generated|counter_reg_bit[7]~0 ; LCCOMB_X16_Y27_N20 ; 8 ; Sync. load ; no ; -- ; -- ; -- ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr2|cntr_9cj:auto_generated|_~0 ; LCCOMB_X12_Y25_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|power_up~0 ; LCCOMB_X12_Y25_N12 ; 5 ; Sync. load ; no ; -- ; -- ; -- ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|power_up~2 ; LCCOMB_X12_Y25_N0 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|reconfig_counter_state~0 ; LCCOMB_X20_Y26_N4 ; 16 ; Sync. load ; no ; -- ; -- ; -- ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|reconfig_counter_state~1 ; LCCOMB_X16_Y26_N8 ; 13 ; Clock enable ; no ; -- ; -- ; -- ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|reconfig_seq_ena_state ; FF_X11_Y25_N3 ; 13 ; Sync. load ; no ; -- ; -- ; -- ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|scan_cache_write_enable~0 ; LCCOMB_X17_Y27_N4 ; 4 ; Write enable ; no ; -- ; -- ; -- ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[17]~2 ; LCCOMB_X15_Y24_N12 ; 18 ; Clock enable ; no ; -- ; -- ; -- ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg_clear~0 ; LCCOMB_X12_Y25_N14 ; 36 ; Sync. clear, Sync. load ; no ; -- ; -- ; -- ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|tmp_nominal_data_out_state ; FF_X16_Y25_N15 ; 10 ; Sync. load ; no ; -- ; -- ; -- ; ; inst25 ; LCCOMB_X8_Y18_N8 ; 1023 ; Async. clear ; yes ; Global Clock ; GCLK11 ; -- ; ; inst25 ; LCCOMB_X8_Y18_N8 ; 109 ; Clock enable, Sync. clear, Sync. load ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|ACHTELSEKUNDEN[2]~0 ; LCCOMB_X15_Y12_N2 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|ACP_CONF[15]~4 ; LCCOMB_X18_Y13_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|ACP_CONF[23]~2 ; LCCOMB_X14_Y14_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|ACP_CONF[31]~1 ; LCCOMB_X18_Y13_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|ACP_CONF[7]~5 ; LCCOMB_X18_Y13_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|INT_CLEAR[0] ; FF_X17_Y10_N3 ; 1 ; Async. clear ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|INT_CLEAR[1] ; FF_X16_Y16_N9 ; 1 ; Async. clear ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|INT_CLEAR[2] ; FF_X17_Y12_N9 ; 1 ; Async. clear ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|INT_CLEAR[3] ; FF_X17_Y12_N7 ; 1 ; Async. clear ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|INT_CLEAR[4] ; FF_X17_Y12_N25 ; 1 ; Async. clear ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|INT_CLEAR[5] ; FF_X20_Y12_N3 ; 1 ; Async. clear ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|INT_CLEAR[6] ; FF_X17_Y12_N15 ; 1 ; Async. clear ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|INT_CLEAR[8] ; FF_X18_Y13_N7 ; 1 ; Async. clear ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|INT_CLEAR[9] ; FF_X18_Y13_N1 ; 1 ; Async. clear ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|INT_CTR[15]~2 ; LCCOMB_X19_Y13_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|INT_CTR[23]~1 ; LCCOMB_X19_Y15_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|INT_CTR[31]~3 ; LCCOMB_X17_Y13_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|INT_CTR[7]~0 ; LCCOMB_X19_Y12_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|INT_ENA[15]~2 ; LCCOMB_X20_Y13_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|INT_ENA[23]~1 ; LCCOMB_X20_Y14_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|INT_ENA[31]~0 ; LCCOMB_X18_Y14_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|INT_ENA[7]~3 ; LCCOMB_X19_Y12_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|INT_LATCH[0]~26 ; LCCOMB_X18_Y10_N30 ; 1 ; Clock ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|INT_LATCH[1]~25 ; LCCOMB_X16_Y16_N6 ; 1 ; Clock ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|INT_LATCH[2]~24 ; LCCOMB_X16_Y12_N8 ; 1 ; Clock ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|INT_LATCH[3]~23 ; LCCOMB_X18_Y12_N28 ; 1 ; Clock ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|INT_LATCH[4]~22 ; LCCOMB_X18_Y12_N12 ; 1 ; Clock ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|INT_LATCH[5]~21 ; LCCOMB_X19_Y12_N16 ; 1 ; Clock ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|INT_LATCH[6]~20 ; LCCOMB_X18_Y12_N2 ; 1 ; Clock ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|INT_LATCH[8]~19 ; LCCOMB_X19_Y13_N6 ; 1 ; Clock ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|INT_LATCH[9]~18 ; LCCOMB_X19_Y13_N4 ; 1 ; Clock ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|RTC_ADR[5]~0 ; LCCOMB_X8_Y10_N16 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|WERTE[0][0]~4 ; LCCOMB_X9_Y11_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|WERTE[0][13]~22 ; LCCOMB_X10_Y13_N24 ; 1 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|WERTE[0][2]~8 ; LCCOMB_X8_Y11_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|WERTE[7][10]~16 ; LCCOMB_X10_Y11_N6 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|WERTE[7][11]~128 ; LCCOMB_X9_Y12_N2 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|WERTE[7][12]~18 ; LCCOMB_X9_Y13_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|WERTE[7][13]~21 ; LCCOMB_X10_Y13_N30 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|WERTE[7][14]~24 ; LCCOMB_X10_Y9_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|WERTE[7][15]~25 ; LCCOMB_X8_Y10_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|WERTE[7][16]~27 ; LCCOMB_X8_Y13_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|WERTE[7][17]~29 ; LCCOMB_X11_Y12_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|WERTE[7][18]~31 ; LCCOMB_X11_Y12_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|WERTE[7][19]~33 ; LCCOMB_X8_Y12_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|WERTE[7][1]~6 ; LCCOMB_X10_Y10_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|WERTE[7][20]~35 ; LCCOMB_X8_Y12_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|WERTE[7][21]~37 ; LCCOMB_X9_Y14_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|WERTE[7][22]~38 ; LCCOMB_X9_Y10_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|WERTE[7][23]~40 ; LCCOMB_X11_Y13_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|WERTE[7][24]~42 ; LCCOMB_X11_Y13_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|WERTE[7][25]~44 ; LCCOMB_X5_Y10_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|WERTE[7][26]~46 ; LCCOMB_X5_Y10_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|WERTE[7][27]~48 ; LCCOMB_X3_Y12_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|WERTE[7][28]~50 ; LCCOMB_X4_Y13_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|WERTE[7][29]~51 ; LCCOMB_X6_Y10_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|WERTE[7][30]~53 ; LCCOMB_X7_Y10_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|WERTE[7][31]~55 ; LCCOMB_X3_Y10_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|WERTE[7][32]~56 ; LCCOMB_X3_Y10_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|WERTE[7][33]~58 ; LCCOMB_X4_Y10_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|WERTE[7][34]~60 ; LCCOMB_X4_Y10_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|WERTE[7][35]~62 ; LCCOMB_X5_Y9_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|WERTE[7][36]~64 ; LCCOMB_X5_Y9_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|WERTE[7][37]~66 ; LCCOMB_X4_Y12_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|WERTE[7][38]~67 ; LCCOMB_X4_Y12_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|WERTE[7][39]~69 ; LCCOMB_X3_Y13_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|WERTE[7][3]~10 ; LCCOMB_X8_Y9_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|WERTE[7][40]~71 ; LCCOMB_X3_Y13_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|WERTE[7][41]~73 ; LCCOMB_X2_Y12_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|WERTE[7][42]~75 ; LCCOMB_X2_Y12_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|WERTE[7][43]~77 ; LCCOMB_X2_Y10_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|WERTE[7][44]~79 ; LCCOMB_X2_Y10_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|WERTE[7][45]~81 ; LCCOMB_X5_Y12_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|WERTE[7][46]~83 ; LCCOMB_X5_Y12_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|WERTE[7][47]~85 ; LCCOMB_X7_Y9_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|WERTE[7][48]~86 ; LCCOMB_X1_Y9_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|WERTE[7][49]~88 ; LCCOMB_X2_Y13_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|WERTE[7][50]~90 ; LCCOMB_X1_Y13_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|WERTE[7][51]~92 ; LCCOMB_X7_Y12_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|WERTE[7][52]~93 ; LCCOMB_X7_Y12_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|WERTE[7][53]~95 ; LCCOMB_X3_Y14_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|WERTE[7][54]~97 ; LCCOMB_X2_Y14_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|WERTE[7][55]~99 ; LCCOMB_X3_Y11_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|WERTE[7][56]~101 ; LCCOMB_X3_Y11_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|WERTE[7][57]~103 ; LCCOMB_X2_Y14_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|WERTE[7][58]~105 ; LCCOMB_X2_Y14_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|WERTE[7][59]~107 ; LCCOMB_X5_Y14_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|WERTE[7][5]~15 ; LCCOMB_X8_Y9_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|WERTE[7][60]~109 ; LCCOMB_X5_Y14_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|WERTE[7][61]~111 ; LCCOMB_X5_Y11_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|WERTE[7][62]~112 ; LCCOMB_X6_Y13_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|WERTE[7][63]~114 ; LCCOMB_X5_Y13_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|_~479 ; LCCOMB_X10_Y10_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|_~481 ; LCCOMB_X11_Y11_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|_~483 ; LCCOMB_X11_Y10_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ; interrupt_handler:nobody|_~485 ; LCCOMB_X11_Y10_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------------+---------+---------------------------------------+--------+----------------------+------------------+---------------------------+ +-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Global & Other Fast Signals ; +------------------------------------------------------------------------------+-------------------+---------+--------------------------------------+----------------------+------------------+---------------------------+ ; Name ; Location ; Fan-Out ; Fan-Out Using Intentional Clock Skew ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ; +------------------------------------------------------------------------------+-------------------+---------+--------------------------------------+----------------------+------------------+---------------------------+ ; CLK33M ; PIN_AB12 ; 12 ; 0 ; Global Clock ; GCLK15 ; -- ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|CLR_FIFO ; LCCOMB_X20_Y18_N0 ; 250 ; 0 ; Global Clock ; GCLK5 ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CLR_FIFO ; FF_X66_Y22_N3 ; 34 ; 0 ; Global Clock ; GCLK9 ; -- ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|PIXEL_CLK ; LCCOMB_X29_Y18_N4 ; 852 ; 46 ; Global Clock ; GCLK10 ; -- ; ; altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|clk[0] ; PLL_3 ; 42 ; 0 ; Global Clock ; GCLK14 ; -- ; ; altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|clk[1] ; PLL_3 ; 1 ; 0 ; Global Clock ; GCLK12 ; -- ; ; altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|clk[2] ; PLL_3 ; 1 ; 0 ; Global Clock ; GCLK13 ; -- ; ; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[0] ; PLL_1 ; 701 ; 94 ; Global Clock ; GCLK2 ; -- ; ; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[1] ; PLL_1 ; 96 ; 0 ; Global Clock ; GCLK4 ; -- ; ; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[2] ; PLL_1 ; 5 ; 0 ; Global Clock ; GCLK3 ; -- ; ; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[3] ; PLL_1 ; 37 ; 0 ; Global Clock ; GCLK1 ; -- ; ; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[4] ; PLL_1 ; 189 ; 0 ; Global Clock ; GCLK0 ; -- ; ; altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[0] ; PLL_4 ; 7 ; 0 ; Global Clock ; GCLK16 ; -- ; ; altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[1] ; PLL_4 ; 582 ; 85 ; Global Clock ; GCLK17 ; -- ; ; altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[2] ; PLL_4 ; 4 ; 0 ; Global Clock ; GCLK18 ; -- ; ; altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[3] ; PLL_4 ; 2 ; 0 ; Global Clock ; GCLK19 ; -- ; ; altpll4:inst22|altpll:altpll_component|altpll_r4n2:auto_generated|clk[0] ; PLL_2 ; 1 ; 0 ; Global Clock ; GCLK8 ; -- ; ; inst25 ; LCCOMB_X8_Y18_N8 ; 1023 ; 0 ; Global Clock ; GCLK11 ; -- ; +------------------------------------------------------------------------------+-------------------+---------+--------------------------------------+----------------------+------------------+---------------------------+ +------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Non-Global High Fan-Out Signals ; +--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+---------+ ; Name ; Fan-Out ; +--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+---------+ ; MAIN_CLK~input ; 2265 ; ; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_L_D[0] ; 385 ; ; Video:Fredi_Aschwanden|lpm_shiftreg4:inst26|lpm_shiftreg:lpm_shiftreg_component|dffs[0] ; 259 ; ; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_L_D[2] ; 257 ; ; nFB_WR~input ; 248 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[0] ; 237 ; ; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_L_D[1] ; 225 ; ; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[1] ; 165 ; ; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[3] ; 151 ; ; FB_AD[17]~input ; 145 ; ; FB_AD[18]~input ; 145 ; ; FB_AD[20]~input ; 145 ; ; FB_AD[21]~input ; 144 ; ; FB_AD[16]~input ; 143 ; ; FB_AD[19]~input ; 143 ; ; FB_AD[22]~input ; 141 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FIFO_RDE ; 141 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|INTER_ZEI ; 141 ; ; FB_AD[23]~input ; 138 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[26] ; 134 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CLUT_MUX_ADR[0] ; 132 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CLUT_MUX_ADR[1] ; 132 ; ; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_L_D[3] ; 129 ; ; Video:Fredi_Aschwanden|inst95 ; 128 ; ; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[2] ; 108 ; ; inst25 ; 108 ; ; nFB_OE~input ; 94 ; ; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[4] ; 86 ; ; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|dffpipe_3dc:wraclr|dffe17a[0] ; 85 ; ; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[5] ; 84 ; ; interrupt_handler:nobody|RTC_ADR[5] ; 80 ; ; interrupt_handler:nobody|RTC_ADR[4] ; 79 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|SNDCS~1 ; 74 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VMD[2] ; 73 ; ; ~GND ; 72 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|Selector68~49 ; 72 ; ; interrupt_handler:nobody|UHR_DS~3 ; 72 ; ; interrupt_handler:nobody|UHR_DS~1 ; 72 ; ; Video:Fredi_Aschwanden|inst88 ; 69 ; ; interrupt_handler:nobody|UHR_DS~8 ; 67 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL|ROLL_OVER ; 67 ; ; Video:Fredi_Aschwanden|lpm_shiftreg6:inst92|lpm_shiftreg:lpm_shiftreg_component|dffs[0] ; 64 ; ; FB_AD[24]~input ; 63 ; ; interrupt_handler:nobody|RTC_ADR[3] ; 62 ; ; interrupt_handler:nobody|RTC_ADR[2] ; 62 ; ; interrupt_handler:nobody|RTC_ADR[1] ; 62 ; ; interrupt_handler:nobody|RTC_ADR[0] ; 62 ; ; FB_AD[25]~input ; 59 ; ; nFB_CS2~input ; 57 ; ; FB_AD[26]~input ; 57 ; ; interrupt_handler:nobody|UHR_DS~6 ; 57 ; ; nFB_CS1~input ; 55 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCSEL[1] ; 54 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCSEL[0] ; 54 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|DEC_STATE ; 49 ; ; FB_AD[27]~input ; 48 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|COMMAND_REG[4] ; 48 ; ; Video:Fredi_Aschwanden|inst65~0 ; 46 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|MFP_CS ; 45 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|XTAL_STRB ; 44 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE.T2_WR_AM ; 43 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|OSC_A_OUT~1 ; 39 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL_CS~0 ; 39 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE~80 ; 38 ; ; FB_AD[28]~input ; 37 ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|counter_param_latch_reg[1] ; 37 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL_CS ; 37 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH_CS ; 37 ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg_clear~0 ; 36 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG[1] ; 36 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG[0] ; 36 ; ; FB_AD[30]~input ; 35 ; ; FB_AD[31]~input ; 35 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH_CS ; 35 ; ; interrupt_handler:nobody|INT_CTR_CS ; 35 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|Equal2~0 ; 35 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG[1] ; 35 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG[0] ; 35 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|Equal2~0 ; 34 ; ; FB_AD[29]~input ; 33 ; ; Video:Fredi_Aschwanden|lpm_shiftreg6:inst92|lpm_shiftreg:lpm_shiftreg_component|dffs[1] ; 33 ; ; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FB_VDOE[2]~6 ; 33 ; ; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FB_VDOE[1]~5 ; 33 ; ; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FB_VDOE[0]~4 ; 33 ; ; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FB_VDOE[3]~3 ; 33 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|Equal31~0 ; 33 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|process_8~1 ; 33 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL_CS ; 33 ; ; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[0] ; 33 ; ; FB_ALE~input ; 32 ; ; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FB_LE[0]~4 ; 32 ; ; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FB_LE[2]~3 ; 32 ; ; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FB_LE[1]~2 ; 32 ; ; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FB_LE[3] ; 32 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|WideNor2~5 ; 32 ; ; Video:Fredi_Aschwanden|inst37 ; 32 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~38 ; 32 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[31]~1 ; 32 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|process_8~2 ; 32 ; ; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|valid_wrreq~0 ; 31 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|AM_SHFT~32 ; 31 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~80 ; 31 ; ; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[10] ; 31 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|LAST ; 30 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|Mux1~0 ; 30 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|DATA_OUT~0 ; 30 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|Selector4~0 ; 30 ; ; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[8] ; 30 ; ; interrupt_handler:nobody|ACP_CONF_CS ; 29 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE.T3_LOAD_SHFT ; 29 ; ; nRSTO_MCF~input ; 28 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|\INDEX_COUNTER:TIMEOUT[10]~3 ; 28 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~39 ; 28 ; ; altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|locked ; 28 ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|counter_param_latch_reg[0] ; 27 ; ; interrupt_handler:nobody|INT_ENA_CS ; 27 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|MFP_CS~1 ; 27 ; ; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[6] ; 27 ; ; interrupt_handler:nobody|_~190 ; 26 ; ; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|CLEAR_FIFO_CNT ; 26 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBE_CS~1 ; 26 ; ; FB_SIZE0~input ; 25 ; ; FB_SIZE1~input ; 25 ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|counter_param_latch_reg[2] ; 25 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR_CS ; 25 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TDO ; 25 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR_CS~1 ; 25 ; ; Video:Fredi_Aschwanden|lpm_mux1:inst24|lpm_mux:lpm_mux_component|mux_npe:auto_generated|dffe1a[2] ; 24 ; ; Video:Fredi_Aschwanden|lpm_mux6:inst7|lpm_mux:lpm_mux_component|mux_kpe:auto_generated|dffe1a[2] ; 24 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR_CS~1 ; 24 ; ; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[7] ; 24 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|valid_wrreq~0 ; 23 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|\CLK_MASK:MASK_SHFT[0]~0 ; 23 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|\CLK_MASK:MASK_SHFT[15]~1 ; 23 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FB_B[3]~1 ; 23 ; ; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_ADR_CNT[22]~40 ; 23 ; ; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|CPU_REQ ; 23 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|INT_STATE.REQUEST ; 23 ; ; interrupt_handler:nobody|INT_CTR_CS~0 ; 23 ; ; FB_AD[0]~input ; 22 ; ; FB_AD[12]~input ; 22 ; ; FB_AD[13]~input ; 22 ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|counter_type_latch_reg[3] ; 22 ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|counter_type_latch_reg[1] ; 22 ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|counter_type_latch_reg[0] ; 22 ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|counter_type_latch_reg[2] ; 22 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|DATA_OUT_EN~2 ; 22 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR_CS ; 22 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~37 ; 22 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|ADR_I[3] ; 22 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|ADR_I[2] ; 22 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|ADR_I[1] ; 22 ; ; interrupt_handler:nobody|INT_ENA_CS~0 ; 22 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_CS~0 ; 22 ; ; FB_AD[1]~input ; 21 ; ; FB_AD[2]~input ; 21 ; ; FB_AD[3]~input ; 21 ; ; FB_AD[4]~input ; 21 ; ; FB_AD[5]~input ; 21 ; ; FB_AD[8]~input ; 21 ; ; FB_AD[9]~input ; 21 ; ; FB_AD[14]~input ; 21 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|DOP_FIFO_CLR ; 21 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL|LessThan3~1 ; 21 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|valid_rdreq~0 ; 21 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UCR[4] ; 21 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ST_SHIFT_MODE_CS~1 ; 21 ; ; FB_AD[6]~input ; 20 ; ; FB_AD[10]~input ; 20 ; ; FB_AD[11]~input ; 20 ; ; FB_AD[15]~input ; 20 ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg_load_nominal_enable~1 ; 20 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|\P_DELAY:DELCNT[1]~5 ; 20 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|\P_DELAY:DELCNT[1]~4 ; 20 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TBCR[3] ; 20 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TACR[3] ; 20 ; ; interrupt_handler:nobody|INT_CLEAR_CS ; 20 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|RE~0 ; 20 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|COMMAND_REG[7] ; 20 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|ADR_I[0] ; 20 ; ; interrupt_handler:nobody|INT_CTR_CS~6 ; 20 ; ; interrupt_handler:nobody|INT_CTR_CS~2 ; 20 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ST_SHIFT_MODE_CS~2 ; 20 ; ; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[9] ; 20 ; ; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[11] ; 20 ; ; FB_AD[7]~input ; 19 ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[17]~0 ; 19 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|Equal8~2 ; 19 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|Equal10~2 ; 19 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|nFDC_WR~0 ; 19 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|valid_wrreq~1 ; 19 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_STRB~1 ; 19 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~53 ; 19 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|SNDCS~0 ; 19 ; ; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA_S[10]~4 ; 19 ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[17]~2 ; 18 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL|RD_PULSE ; 18 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|Equal24~5 ; 18 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|VR[3] ; 18 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VIDEO_PLL_RECONFIG_CS~3 ; 18 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FB_B[1]~0 ; 18 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|ACIA_CS_I~1 ; 18 ; ; DSP:Mathias_Alles|nSROE~0 ; 18 ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|reconfig_seq_ena_state ; 17 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|SDATA_EDGE ; 17 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TBCR[2] ; 17 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TACR[2] ; 17 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~8 ; 17 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|CLK_STRB ; 17 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UCR[7] ; 17 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|CA2~0 ; 17 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|NOISE_OUT~2 ; 17 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT|TX_END ; 17 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UCR[3] ; 17 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD_CS ; 17 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF_CS ; 17 ; ; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[13] ; 17 ; ; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[14] ; 17 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|\P_DELAY:DELCNT[3] ; 17 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|\P_DELAY:DELCNT[4] ; 17 ; ; Video:Fredi_Aschwanden|lpm_mux2:inst25|lpm_mux:lpm_mux_component|mux_mpe:auto_generated|dffe1a[3] ; 16 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_AM_DETECTOR:I_AM_DETECTOR|SHIFT[7]~17 ; 16 ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|reconfig_counter_state~0 ; 16 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|Mux89~0 ; 16 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|Mux99~0 ; 16 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|valid_rdreq~1 ; 16 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|CLK_STRB ; 16 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|CLK_STRB ; 16 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_AM_DETECTOR:I_AM_DETECTOR|ID_AM ; 16 ; ; DSP:Mathias_Alles|nSRWE~1 ; 16 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[13]~103 ; 16 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|DATA_OUT_EN~0 ; 16 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|COMMAND_REG[6] ; 16 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_CLUT_CS ; 16 ; ; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~15 ; 16 ; ; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|DS_C6 ; 16 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|RX_SAMPLE~1 ; 16 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[8] ; 16 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE_CS~2 ; 16 ; ; interrupt_handler:nobody|INT_CTR_CS~3 ; 16 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_ACTIV ; 16 ; ; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_s57:rdptr_g1p|counter5a3 ; 15 ; ; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_s57:rdptr_g1p|counter5a1 ; 15 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|A_CNTSTRB ; 15 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|B_CNTSTRB ; 15 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CRC_LOGIC:I_CRC_LOGIC|CRC_SHIFT[1]~20 ; 15 ; ; interrupt_handler:nobody|UHR_DS~7 ; 15 ; ; interrupt_handler:nobody|ACP_CONF_CS~4 ; 15 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT|CLK_STRB ; 15 ; ; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|BA[1]~8 ; 15 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HSS_CS ; 15 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HHT_CS ; 15 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBE_CS~0 ; 15 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|CA1~0 ; 15 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|VOL_ENV[0] ; 15 ; ; nINDEX~input ; 14 ; ; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_s57:rdptr_g1p|counter5a6 ; 14 ; ; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_s57:rdptr_g1p|counter5a4 ; 14 ; ; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_s57:rdptr_g1p|counter5a2 ; 14 ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr13|cntr_qij:auto_generated|_~0 ; 14 ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|reconfig_seq_data_state ; 14 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|COLOR4~3 ; 14 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|\PARITY_TEST:P_ERR~0 ; 14 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[6] ; 14 ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|busy ; 14 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDB_CS ; 14 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE.IDLE ; 14 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG[4] ; 14 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|MFP_CS~3 ; 14 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG[4] ; 14 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VSS_CS ; 14 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~3 ; 14 ; ; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|DDR_CS ; 14 ; ; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_s57:rdptr_g1p|counter5a7 ; 13 ; ; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_s57:rdptr_g1p|counter5a5 ; 13 ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|reconfig_counter_state~1 ; 13 ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|sel_param_high_i_postscale ; 13 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~58 ; 13 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ST_CLUT_RD ; 13 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VIDEO_PLL_CONFIG_CS ; 13 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBB_CS ; 13 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|Mux7~6 ; 13 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|SHIFT_REG[1] ; 13 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[3] ; 13 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|Equal18~3 ; 13 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|Equal19~3 ; 13 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|Equal16~3 ; 13 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|Equal17~3 ; 13 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_SHAPE[2] ; 13 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|Equal14~3 ; 13 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|Equal15~3 ; 13 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|CLK_STRB ; 13 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UCR[6] ; 13 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|MFP_CS~2 ; 13 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDB_CS ; 13 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_DATEN_CS~0 ; 13 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ST_SHIFT_MODE_CS~5 ; 13 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE_CS ; 13 ; ; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_L~0 ; 13 ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|sel_type_c4~0 ; 12 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TAI_I ; 12 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TBI_I ; 12 ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|rotate_width_counter_enable~1 ; 12 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|COLOR2 ; 12 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE.T3_LOAD_DATA_2 ; 12 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TBCR[1] ; 12 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TACR[1] ; 12 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~69 ; 12 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDE_CS ; 12 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBE_CS ; 12 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CRC_2_DISK~0 ; 12 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|TSR[5] ; 12 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|RSR[0] ; 12 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|SHIFT_REG[0] ; 12 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|HD_DD_OUT~0 ; 12 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT|Mux7~0 ; 12 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UCR[5] ; 12 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|CLK_STRB ; 12 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|DRQ_I ; 12 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBB_CS ; 12 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|AMPLITUDE_C[1]~3 ; 12 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|AMPLITUDE_C[0]~2 ; 12 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|AMPLITUDE_C[4]~1 ; 12 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|AMPLITUDE_B[1]~3 ; 12 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|AMPLITUDE_B[0]~2 ; 12 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|AMPLITUDE_B[4]~1 ; 12 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|AMPLITUDE_A[1]~3 ; 12 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|AMPLITUDE_A[0]~2 ; 12 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|AMPLITUDE_A[4]~1 ; 12 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VHCNT[1] ; 12 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VHCNT[2] ; 12 ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|sel_type_c3~0 ; 11 ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|sel_param_low_r~0 ; 11 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VHCNT[0] ; 11 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|_~1 ; 11 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VVCNT[0] ; 11 ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg_load_enable~1 ; 11 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|WideNor8~0 ; 11 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_graycounter_j47:rdptr_g1p|counter7a[0] ; 11 ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|rotate_width_counter_done ; 11 ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|write_nominal_state ; 11 ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|write_data_state ; 11 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|COLOR1~7 ; 11 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|DISK_RWn~2 ; 11 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDCR[4] ; 11 ; ; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|wrptr_g[5] ; 11 ; ; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|wrptr_g[2] ; 11 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|DATA_OUT~1 ; 11 ; ; interrupt_handler:nobody|TIN0~2 ; 11 ; ; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_CNT_H ; 11 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~4 ; 11 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDE_CS ; 11 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBE_CS ; 11 ; ; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~12 ; 11 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[19] ; 11 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT|TR_STATE.LOAD_SHFT ; 11 ; ; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FIFO_REQ ; 11 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|AMPLITUDE_C[3]~5 ; 11 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|AMPLITUDE_C[2]~4 ; 11 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|AMPLITUDE_B[3]~5 ; 11 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|AMPLITUDE_B[2]~4 ; 11 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|AMPLITUDE_A[3]~5 ; 11 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|AMPLITUDE_A[2]~4 ; 11 ; ; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[17] ; 11 ; ; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[15] ; 11 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|DATA_REG[1] ; 11 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|HSYNC_START ; 10 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|SYNC_PIX ; 10 ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|sel_type_c2~0 ; 10 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~52 ; 10 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_graycounter_j47:rdptr_g1p|counter7a[1] ; 10 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|COLOR8~3 ; 10 ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|tmp_nominal_data_out_state ; 10 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL|Mux0~0 ; 10 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDCR[5] ; 10 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|RDRF ; 10 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|RDRF ; 10 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|INT_PASS[9]~7 ; 10 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|DATA_STRB~0 ; 10 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDCR[2] ; 10 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDCR[1] ; 10 ; ; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|wrptr_g[7] ; 10 ; ; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|wrptr_g[4] ; 10 ; ; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|wrptr_g[1] ; 10 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|INT_STATE.VECTOR_OUT ; 10 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_CLUT_RD ; 10 ; ; interrupt_handler:nobody|_~58 ; 10 ; ; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_M~0 ; 10 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE.T2_SCAN_LEN ; 10 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|Mux7~3 ; 10 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TAO~0 ; 10 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE.T1_STEP ; 10 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|COMMAND_REG[5] ; 10 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE.INIT ; 10 ; ; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~17 ; 10 ; ; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~16 ; 10 ; ; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|DS_T3 ; 10 ; ; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|DS_T5W ; 10 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WAV_STRB ; 10 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|SHIFTREG~0 ; 10 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[7] ; 10 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VCT_CS~2 ; 10 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|ACIA_CS_I~0 ; 10 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR_CS~0 ; 10 ; ; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_L~1 ; 10 ; ; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[16] ; 10 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ST_SHIFT_MODE_CS~0 ; 10 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|TR_STATE.SHIFTOUT ; 10 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|TSR[0] ; 10 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VHCNT[9] ; 10 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VHCNT[4] ; 10 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VHCNT[5] ; 10 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VHCNT[3] ; 10 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VHCNT[8] ; 10 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VHCNT[10] ; 10 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VHCNT[6] ; 10 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VHCNT[7] ; 10 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VHCNT[11] ; 10 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VVCNT[5] ; 10 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VVCNT[6] ; 10 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VVCNT[9] ; 10 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VVCNT[10] ; 10 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL|\PHASE_DECODER:PHASE_AMOUNT[5] ; 10 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|DATA_REG[0] ; 10 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT|TDRE ; 10 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|VOL_ENV[1] ; 10 ; ; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~46 ; 9 ; ; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|ram_address_b[8] ; 9 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|PRESCALE_C~0 ; 9 ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|sel_type_n ; 9 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|RCV_STATE.IDLE ; 9 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|RCV_STATE.IDLE ; 9 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMERA~1 ; 9 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMERB~0 ; 9 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_graycounter_j47:rdptr_g1p|counter7a[2] ; 9 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|RXDATA_S ; 9 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|RXDATA_S ; 9 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FB_B[2] ; 9 ; ; interrupt_handler:nobody|_~470 ; 9 ; ; interrupt_handler:nobody|_~467 ; 9 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_graycounter_k47:rdptr_g1p|counter5a2 ; 9 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CRC_LOGIC:I_CRC_LOGIC|CRC_SHIFT[1]~16 ; 9 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|\RESTORE_TRAP:STEP_CNT[4]~2 ; 9 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE.T3_LOAD_SR ; 9 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMERD~4 ; 9 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMERD~1 ; 9 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDCR[3] ; 9 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|TR_PRES~0 ; 9 ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|idle_state ; 9 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~115 ; 9 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|DATA_EN~1 ; 9 ; ; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_gray2bin_tgb:wrptr_g_gray2bin|xor8 ; 9 ; ; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|wrptr_g[6] ; 9 ; ; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|wrptr_g[3] ; 9 ; ; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|wrptr_g[0] ; 9 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TBCR[0] ; 9 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TACR[0] ; 9 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|RSR_READ~0 ; 9 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[16]~43 ; 9 ; ; interrupt_handler:nobody|_~22 ; 9 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_RESET~1 ; 9 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CRC_LOGIC:I_CRC_LOGIC|Equal0~4 ; 9 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|Equal11~1 ; 9 ; ; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|BA_S[0]~0 ; 9 ; ; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|CPU_AC ; 9 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_SHAPE[3] ; 9 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT|TR_STATE.IDLE ; 9 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|SHIFTREG~0 ; 9 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRA[2] ; 9 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_A[6] ; 9 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|SYS_CTR_CS ; 9 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBE_CS~0 ; 9 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL_CS~0 ; 9 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~2 ; 9 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|SNDCS ; 9 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW_CS~0 ; 9 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_B1 ; 9 ; ; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FIFO_AC ; 9 ; ; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|DS_T6W ; 9 ; ; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[18] ; 9 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT|TR_STATE.SHIFTOUT ; 9 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|TR_STATE.SHIFTOUT ; 9 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VVCNT[2] ; 9 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VVCNT[3] ; 9 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VVCNT[4] ; 9 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VVCNT[7] ; 9 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VVCNT[8] ; 9 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VVCNT[1] ; 9 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|DATA_REG[6] ; 9 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|VOL_ENV[2] ; 9 ; ; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_s57:rdptr_g1p|counter5a0~_wirecell ; 8 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~68 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|PRESCALE_A~0 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|PRESCALE_B~0 ; 8 ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|sel_param_bypass_LF_unused~0 ; 8 ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr15|cntr_30l:auto_generated|counter_reg_bit[7]~0 ; 8 ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr2|cntr_9cj:auto_generated|_~0 ; 8 ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr12|cntr_30l:auto_generated|counter_reg_bit[7]~0 ; 8 ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr1|cntr_30l:auto_generated|_~11 ; 8 ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr1|cntr_30l:auto_generated|counter_reg_bit[7]~0 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|Add2~6 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|Add2~14 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TADR[0]~1 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDR[0]~0 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TBDR[0]~0 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL|PER_CNT~27 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|Selector78~0 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TDDR[6]~0 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|PRESCALE_D~0 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_graycounter_gic:wrptr_g1p|counter8a0 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_graycounter_gic:wrptr_g1p|counter8a2 ; 8 ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|read_addr_counter_enable~0 ; 8 ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|sel_type_cplf~0 ; 8 ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|write_init_nominal_state ; 8 ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|write_init_state ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|SHIFT_REG[4]~9 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|RCV_STATE.SAMPLE ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|SHIFT_REG[0]~9 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|RCV_STATE.SAMPLE ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMERA~3 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMERC~1 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|Mux108~0 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|Mux109~3 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMERB~2 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_graycounter_fic:wrptr_g1p|counter10a[0] ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_graycounter_fic:wrptr_g1p|counter10a[2] ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|SECTORREG~1 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|Mux112~0 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_B[7]~1 ; 8 ; ; interrupt_handler:nobody|ACP_CONF[7]~5 ; 8 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[7]~2 ; 8 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[7]~5 ; 8 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[7]~3 ; 8 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[7]~3 ; 8 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[7]~4 ; 8 ; ; interrupt_handler:nobody|INT_ENA[7]~3 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|DATAREG~0 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|DATA_REG~15 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_graycounter_j47:rdptr_g1p|counter7a[5] ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_graycounter_j47:rdptr_g1p|counter7a[4] ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_graycounter_j47:rdptr_g1p|counter7a[3] ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|DATAREG~1 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|DATAREG~1 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|process_10~0 ; 8 ; ; interrupt_handler:nobody|INT_CTR[31]~3 ; 8 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[15]~1 ; 8 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[15]~5 ; 8 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[31]~4 ; 8 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[31]~2 ; 8 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[31]~2 ; 8 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[31]~3 ; 8 ; ; interrupt_handler:nobody|ACP_CONF[15]~4 ; 8 ; ; interrupt_handler:nobody|INT_ENA[15]~2 ; 8 ; ; interrupt_handler:nobody|INT_CTR[15]~2 ; 8 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[15]~3 ; 8 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[15]~1 ; 8 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[15]~2 ; 8 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[15]~1 ; 8 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[15]~1 ; 8 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[15]~1 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|VECT_NUMBER[0]~18 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|AER[0]~0 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|GPDR[0]~0 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|DDR[0]~0 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_R_A[0]~0 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_R_C[0]~1 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_R_D[0]~1 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_R_B[0]~1 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|\TIMER_READBACK:READ_A ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW[0]~1 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MID[0]~1 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|process_11~0 ; 8 ; ; interrupt_handler:nobody|WERTE[7][63]~114 ; 8 ; ; interrupt_handler:nobody|WERTE[7][62]~112 ; 8 ; ; interrupt_handler:nobody|WERTE[7][61]~111 ; 8 ; ; interrupt_handler:nobody|WERTE[7][60]~109 ; 8 ; ; interrupt_handler:nobody|WERTE[7][59]~107 ; 8 ; ; interrupt_handler:nobody|WERTE[7][58]~105 ; 8 ; ; interrupt_handler:nobody|WERTE[7][57]~103 ; 8 ; ; interrupt_handler:nobody|WERTE[7][56]~101 ; 8 ; ; interrupt_handler:nobody|WERTE[7][55]~99 ; 8 ; ; interrupt_handler:nobody|WERTE[7][54]~97 ; 8 ; ; interrupt_handler:nobody|WERTE[7][53]~95 ; 8 ; ; interrupt_handler:nobody|WERTE[7][52]~93 ; 8 ; ; interrupt_handler:nobody|WERTE[7][51]~92 ; 8 ; ; interrupt_handler:nobody|WERTE[7][50]~90 ; 8 ; ; interrupt_handler:nobody|WERTE[7][49]~88 ; 8 ; ; interrupt_handler:nobody|WERTE[7][48]~86 ; 8 ; ; interrupt_handler:nobody|WERTE[7][47]~85 ; 8 ; ; interrupt_handler:nobody|WERTE[7][46]~83 ; 8 ; ; interrupt_handler:nobody|WERTE[7][45]~81 ; 8 ; ; interrupt_handler:nobody|WERTE[7][44]~79 ; 8 ; ; interrupt_handler:nobody|WERTE[7][43]~77 ; 8 ; ; interrupt_handler:nobody|WERTE[7][42]~75 ; 8 ; ; interrupt_handler:nobody|WERTE[7][41]~73 ; 8 ; ; interrupt_handler:nobody|WERTE[7][40]~71 ; 8 ; ; interrupt_handler:nobody|WERTE[7][39]~69 ; 8 ; ; interrupt_handler:nobody|WERTE[7][38]~67 ; 8 ; ; interrupt_handler:nobody|WERTE[7][37]~66 ; 8 ; ; interrupt_handler:nobody|WERTE[7][36]~64 ; 8 ; ; interrupt_handler:nobody|WERTE[7][35]~62 ; 8 ; ; interrupt_handler:nobody|WERTE[7][34]~60 ; 8 ; ; interrupt_handler:nobody|WERTE[7][33]~58 ; 8 ; ; interrupt_handler:nobody|WERTE[7][32]~56 ; 8 ; ; interrupt_handler:nobody|WERTE[7][31]~55 ; 8 ; ; interrupt_handler:nobody|WERTE[7][30]~53 ; 8 ; ; interrupt_handler:nobody|WERTE[7][29]~51 ; 8 ; ; interrupt_handler:nobody|WERTE[7][28]~50 ; 8 ; ; interrupt_handler:nobody|WERTE[7][27]~48 ; 8 ; ; interrupt_handler:nobody|WERTE[7][26]~46 ; 8 ; ; interrupt_handler:nobody|WERTE[7][25]~44 ; 8 ; ; interrupt_handler:nobody|WERTE[7][24]~42 ; 8 ; ; interrupt_handler:nobody|WERTE[7][23]~40 ; 8 ; ; interrupt_handler:nobody|WERTE[7][22]~38 ; 8 ; ; interrupt_handler:nobody|WERTE[7][21]~37 ; 8 ; ; interrupt_handler:nobody|WERTE[7][20]~35 ; 8 ; ; interrupt_handler:nobody|WERTE[7][19]~33 ; 8 ; ; interrupt_handler:nobody|WERTE[7][18]~31 ; 8 ; ; interrupt_handler:nobody|WERTE[7][17]~29 ; 8 ; ; interrupt_handler:nobody|WERTE[7][16]~27 ; 8 ; ; interrupt_handler:nobody|WERTE[7][15]~25 ; 8 ; ; interrupt_handler:nobody|WERTE[7][14]~24 ; 8 ; ; interrupt_handler:nobody|WERTE[7][12]~18 ; 8 ; ; interrupt_handler:nobody|_~485 ; 8 ; ; interrupt_handler:nobody|_~483 ; 8 ; ; interrupt_handler:nobody|_~481 ; 8 ; ; interrupt_handler:nobody|_~479 ; 8 ; ; interrupt_handler:nobody|WERTE[7][5]~15 ; 8 ; ; interrupt_handler:nobody|WERTE[0][4]~11 ; 8 ; ; interrupt_handler:nobody|_~477 ; 8 ; ; interrupt_handler:nobody|_~474 ; 8 ; ; interrupt_handler:nobody|_~471 ; 8 ; ; interrupt_handler:nobody|WERTE[7][3]~10 ; 8 ; ; interrupt_handler:nobody|WERTE[0][2]~8 ; 8 ; ; interrupt_handler:nobody|WERTE[7][1]~6 ; 8 ; ; interrupt_handler:nobody|WERTE[0][0]~4 ; 8 ; ; interrupt_handler:nobody|_~465 ; 8 ; ; interrupt_handler:nobody|ACP_CONF[23]~2 ; 8 ; ; interrupt_handler:nobody|INT_ENA[23]~1 ; 8 ; ; interrupt_handler:nobody|INT_CTR[23]~1 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_graycounter_k47:rdptr_g1p|counter5a5 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_graycounter_k47:rdptr_g1p|counter5a3 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_graycounter_k47:rdptr_g1p|counter5a0 ; 8 ; ; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_H_D[7]~0 ; 8 ; ; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_L_D[7]~0 ; 8 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VSS[7]~0 ; 8 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE[7]~1 ; 8 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[7]~0 ; 8 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[7]~3 ; 8 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VCT[7]~1 ; 8 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VFT[7]~0 ; 8 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[23]~0 ; 8 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDE[7]~0 ; 8 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBB[7]~1 ; 8 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBE[7]~0 ; 8 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDB[7]~0 ; 8 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HHT[7]~0 ; 8 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HSS[7]~0 ; 8 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDE[7]~0 ; 8 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBB[7]~1 ; 8 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBE[7]~1 ; 8 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDB[7]~0 ; 8 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[23]~0 ; 8 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[23]~1 ; 8 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[23]~0 ; 8 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[23]~0 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_FREQ[7]~1 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CRC_PRES ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|\RESTORE_TRAP:STEP_CNT[4]~3 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_AM_DETECTOR:I_AM_DETECTOR|DATA_AM ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|\CLKDIV:CLK_DIVCNT[4]~2 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UDR[7]~8 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERB[0]~0 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERA[0]~0 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|ISRB~0 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|ISRA~0 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|COMMAND_REG~14 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|SHIFT_REG[7]~13 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|SHFT_LOAD_ND~0 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|TRACKREG~1 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|TRACK_REG~11 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|TRACK_REG~9 ; 8 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[9] ; 8 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[31]~2 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~124 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~123 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~111 ; 8 ; ; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_M_D[7]~0 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~71 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~62 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|DATA_OUT~12 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|DATA_OUT~11 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|DATA_OUT~9 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|DATA_OUT~7 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|DATA_OUT~5 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|DATA_OUT~3 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|Mux7~10 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|DATA_OUT[0]~20 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|DATA_OUT[0]~18 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|DATA_OUT~15 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDCR[0] ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|DATA_OUT~0 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|Mux7~8 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|DATA_OUT~0 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UDR_READ~0 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|DATA_OUT~1 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~52 ; 8 ; ; interrupt_handler:nobody|UHR_DS ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~41 ; 8 ; ; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_CNT_M ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_C[7]~3 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_B[7]~3 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_A[7]~3 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|COMMAND_REG[2] ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE.T2_VERIFY_CRC_1 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE.T1_VERIFY_CRC ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|COMMAND_REG[0] ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|COMMAND_REG[1] ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|Equal11~2 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE.T3_WR_DATA ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG[4]~1 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|Mux7~7 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|SHIFT_REG[0]~9 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|SCR[0]~0 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|Mux7~5 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|RCV_NEXT_STATE~0 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|Equal3~1 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG[0]~2 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|TR_STATE.IDLE ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[8]~1 ; 8 ; ; interrupt_handler:nobody|INT_CTR[7]~0 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRB[0]~0 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRB~1 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRA[0]~0 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRA~1 ; 8 ; ; interrupt_handler:nobody|INT_ENA[31]~0 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|LessThan11~1 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|LessThan11~0 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|SHIFT_REG[3] ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|SHIFT_REG[7] ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|MFP_INTACK ; 8 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE[7]~0 ; 8 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[23]~0 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_RESET ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|P_CTRL_REG~1 ; 8 ; ; interrupt_handler:nobody|ACP_CONF[31]~1 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG[3] ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|SHIFT_REG[6] ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|SHIFT_REG[7] ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|SHIFT_REG[4] ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|SHIFT_REG[5] ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|SHIFT_REG[3] ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|RCV_STATE.SYNC ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT|SHIFT_REG[4]~13 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG[3] ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[1]~0 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRA[2] ; 8 ; ; interrupt_handler:nobody|INT_CTR_CS~4 ; 8 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VIDEO_PLL_RECONFIG_CS~1 ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|AMKB_REG[4] ; 8 ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|cuda_combout_wire[2] ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|DATA_REG[5] ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|SHIFT_REG[2] ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|SHIFT_REG[4] ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|SHIFT_REG[5] ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|SHIFT_REG[6] ; 8 ; ; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_ADR_CNT[0] ; 8 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|VOL_ENV[3] ; 8 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VR_FRQ[7]~3 ; 7 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|SUB_PIXEL_CNT[6]~18 ; 7 ; ; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_s57:rdptr_g1p|counter5a0 ; 7 ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|sel_type_c0~0 ; 7 ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|input_latch_enable~0 ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[2]~2 ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[6]~2 ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL|UP ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_AM_DETECTOR:I_AM_DETECTOR|Equal0~4 ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_graycounter_j47:rdptr_g1p|parity5 ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_graycounter_gic:wrptr_g1p|counter8a5 ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_graycounter_gic:wrptr_g1p|counter8a3 ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_graycounter_gic:wrptr_g1p|counter8a1 ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|Equal3~1 ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|Equal3~1 ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|Mux88~3 ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|Mux98~3 ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_graycounter_fic:wrptr_g1p|counter10a[5] ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_graycounter_fic:wrptr_g1p|counter10a[3] ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_graycounter_fic:wrptr_g1p|counter10a[1] ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_AM_DETECTOR:I_AM_DETECTOR|SHIFT[0] ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_AM_DETECTOR:I_AM_DETECTOR|SHIFT[4] ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|Equal3~1 ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_graycounter_j47:rdptr_g1p|counter7a[6] ; 7 ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|width_counter_done ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|DATA_REG[6]~8 ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|RCV_STATE.SYNC ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|DATA_REG[1]~8 ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|RCV_STATE.SYNC ; 7 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE[10]~2 ; 7 ; ; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_ojc:wrptr_g1p|counter8a3 ; 7 ; ; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_ojc:wrptr_g1p|counter8a1 ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|\TIMER_READBACK:READ_B ; 7 ; ; Video:Fredi_Aschwanden|lpm_shiftreg0:sr0|lpm_shiftreg:lpm_shiftreg_component|dffs[15] ; 7 ; ; interrupt_handler:nobody|WERTE[7][13]~21 ; 7 ; ; interrupt_handler:nobody|WERTE[7][10]~16 ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_graycounter_k47:rdptr_g1p|counter5a6 ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_graycounter_k47:rdptr_g1p|counter5a4 ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_graycounter_k47:rdptr_g1p|counter5a1 ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|\CLKDIV:CLK_DIVCNT[4]~4 ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|P_SAMPLE~1 ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|\CLKDIV:CLK_DIVCNT[0] ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UCR[2]~2 ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|RX_DATA[0]~3 ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|RX_DATA[0]~2 ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|\CLKDIV:CLK_DIVCNT[4]~4 ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|\CLKDIV:CLK_DIVCNT[4]~2 ; 7 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[2] ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|DA_OUT[2]~29 ; 7 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VR_WR ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|DATA_REG[7] ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|BUSY ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|TR_INC ; 7 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[7] ; 7 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VCT[2] ; 7 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_CLUT_RDL~0 ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|DATA_OUT~1 ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|DATA_OUT~14 ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|DATA_OUT~1 ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|TSR_READ~0 ; 7 ; ; interrupt_handler:nobody|_~82 ; 7 ; ; interrupt_handler:nobody|_~28 ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|Equal12~11 ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|INDEX_CNT~0 ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE.T2_INIT ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE.T3_CHECK_INDEX_3 ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE.T2_WR_CRC ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE.T2_VERIFY_CRC_2 ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|RCV_NEXT_STATE~0 ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|Equal3~1 ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|TR_STATE.IDLE ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG~12 ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|SDATA_IN_I~0 ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT|\CLKDIV:CLK_DIVCNT[0] ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UCR[3]~1 ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG~12 ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE.T2_LOAD_SHFT ; 7 ; ; interrupt_handler:nobody|_~20 ; 7 ; ; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~9 ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_SHAPE[0] ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_SHAPE[1] ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|\NOISEGENERATOR:CLK_DIV[0] ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|SHIFT_REG[1]~8 ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|TDRE ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|SHIFT_REG[2] ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|Mux7~0 ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UCR[2] ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT|SHIFTREG~0 ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|SHIFT_REG[2]~8 ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|TDRE ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_REQ~1 ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_REQ~0 ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|DIG_PORTS~1 ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|Equal0~0 ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|INT_OUT[4] ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRB[3] ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|VECT_NUMBER~8 ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRB[7] ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|DIR ; 7 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VIDEO_MOD_TA~13 ; 7 ; ; interrupt_handler:nobody|UHR_DS~0 ; 7 ; ; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|DDR_REFRESH_SIG[0] ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|IDE_CF_CS~3 ; 7 ; ; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[12] ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT|TR_STATE.START ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL|PER_CNT[7] ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL|\ADDER:ADDER_DATA[10] ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|DATA_REG[3] ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|DATA_REG[2] ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|DATA_REG[4] ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|\P_DELAY:DELCNT[6] ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|\P_DELAY:DELCNT[5] ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|\P_DELAY:DELCNT[16] ; 7 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|VOL_ENV[4] ; 7 ; ; AMKB_RX~input ; 6 ; ; TRACK00~input ; 6 ; ; Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_hk21:auto_generated|a_dpfifo_oq21:dpfifo|_~0 ; 6 ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|sel_param_odd_CP_unused~0 ; 6 ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|sel_type_m~0 ; 6 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_graycounter_gic:wrptr_g1p|counter8a6 ; 6 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_graycounter_gic:wrptr_g1p|counter8a4 ; 6 ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|power_up~2 ; 6 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|BITCNT[0] ; 6 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|BITCNT[0] ; 6 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_graycounter_fic:wrptr_g1p|counter10a[6] ; 6 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_graycounter_fic:wrptr_g1p|counter10a[4] ; 6 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_A[3] ; 6 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_A[4] ; 6 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_A[5] ; 6 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_A[7] ; 6 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_A[6] ; 6 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_B[3] ; 6 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_B[4] ; 6 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_B[5] ; 6 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_B[7] ; 6 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_B[6] ; 6 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_A[2] ; 6 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_B[2] ; 6 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_A[1] ; 6 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_B[1] ; 6 ; ; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_ojc:wrptr_g1p|counter8a6 ; 6 ; ; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_ojc:wrptr_g1p|counter8a4 ; 6 ; ; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_ojc:wrptr_g1p|counter8a2 ; 6 ; ; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_ojc:wrptr_g1p|counter8a0 ; 6 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_A[0] ; 6 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDCR[0]~0 ; 6 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_B[0] ; 6 ; ; interrupt_handler:nobody|RTC_ADR[5]~0 ; 6 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|wrptr_g[7] ; 6 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|wrptr_g[6] ; 6 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|wrptr_g[4] ; 6 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|SYS_CTR[6]~2 ; 6 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL|Mux1~0 ; 6 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL|Mux2~0 ; 6 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CRC_LOGIC:I_CRC_LOGIC|CRC_SHIFT[1]~18 ; 6 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CRC_LOGIC:I_CRC_LOGIC|CRC_SHIFT~17 ; 6 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|Equal1~4 ; 6 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE.T3_VERIFY_AM ; 6 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_AM_DETECTOR:I_AM_DETECTOR|DDATA_AM ; 6 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|RCV_STATE.IDLE ; 6 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|LessThan4~0 ; 6 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|\CLKDIV:CLK_DIVCNT[1] ; 6 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|RX_DATA[0]~1 ; 6 ; ; interrupt_handler:nobody|INT_LATCH_CS ; 6 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|OVR ; 6 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|OVR ; 6 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|DA_OUT[2]~37 ; 6 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|DA_OUT[2]~36 ; 6 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|DA_OUT[2]~35 ; 6 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|DA_OUT[2]~30 ; 6 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|DA_OUT[2]~28 ; 6 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|DA_OUT[2]~27 ; 6 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|FS_B ; 6 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|RDRF ; 6 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[8] ; 6 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MID_CS ; 6 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|DATA_EN~0 ; 6 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_CLUT_RDH ; 6 ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_bustri_WORD:$00000|lpm_bustri:lpm_bustri_component|dout[3]~31 ; 6 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|Mux7~9 ; 6 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW_CS~1 ; 6 ; ; interrupt_handler:nobody|_~141 ; 6 ; ; interrupt_handler:nobody|_~140 ; 6 ; ; interrupt_handler:nobody|_~137 ; 6 ; ; interrupt_handler:nobody|_~136 ; 6 ; ; interrupt_handler:nobody|_~134 ; 6 ; ; interrupt_handler:nobody|_~133 ; 6 ; ; interrupt_handler:nobody|_~130 ; 6 ; ; interrupt_handler:nobody|_~129 ; 6 ; ; interrupt_handler:nobody|_~127 ; 6 ; ; interrupt_handler:nobody|_~126 ; 6 ; ; interrupt_handler:nobody|_~124 ; 6 ; ; interrupt_handler:nobody|_~123 ; 6 ; ; interrupt_handler:nobody|_~121 ; 6 ; ; interrupt_handler:nobody|_~120 ; 6 ; ; interrupt_handler:nobody|_~117 ; 6 ; ; interrupt_handler:nobody|_~116 ; 6 ; ; interrupt_handler:nobody|_~114 ; 6 ; ; interrupt_handler:nobody|_~113 ; 6 ; ; interrupt_handler:nobody|_~111 ; 6 ; ; interrupt_handler:nobody|_~110 ; 6 ; ; interrupt_handler:nobody|_~108 ; 6 ; ; interrupt_handler:nobody|_~107 ; 6 ; ; interrupt_handler:nobody|_~104 ; 6 ; ; interrupt_handler:nobody|_~103 ; 6 ; ; interrupt_handler:nobody|_~101 ; 6 ; ; interrupt_handler:nobody|_~100 ; 6 ; ; interrupt_handler:nobody|_~98 ; 6 ; ; interrupt_handler:nobody|_~97 ; 6 ; ; interrupt_handler:nobody|_~95 ; 6 ; ; interrupt_handler:nobody|_~94 ; 6 ; ; interrupt_handler:nobody|_~90 ; 6 ; ; interrupt_handler:nobody|_~89 ; 6 ; ; interrupt_handler:nobody|_~86 ; 6 ; ; interrupt_handler:nobody|_~84 ; 6 ; ; interrupt_handler:nobody|_~80 ; 6 ; ; interrupt_handler:nobody|_~79 ; 6 ; ; interrupt_handler:nobody|_~76 ; 6 ; ; interrupt_handler:nobody|_~75 ; 6 ; ; interrupt_handler:nobody|_~73 ; 6 ; ; interrupt_handler:nobody|_~72 ; 6 ; ; interrupt_handler:nobody|_~70 ; 6 ; ; interrupt_handler:nobody|_~69 ; 6 ; ; interrupt_handler:nobody|_~67 ; 6 ; ; interrupt_handler:nobody|_~66 ; 6 ; ; interrupt_handler:nobody|_~63 ; 6 ; ; interrupt_handler:nobody|_~61 ; 6 ; ; interrupt_handler:nobody|_~57 ; 6 ; ; interrupt_handler:nobody|_~52 ; 6 ; ; interrupt_handler:nobody|_~49 ; 6 ; ; interrupt_handler:nobody|_~47 ; 6 ; ; interrupt_handler:nobody|_~43 ; 6 ; +--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+---------+ +----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Fitter RAM Summary ; +--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+-------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+------+------+------------------------------------------------------------------------------------------------------------------------------+ ; Name ; Type ; Mode ; Clock Mode ; Port A Depth ; Port A Width ; Port B Depth ; Port B Width ; Port A Input Registers ; Port A Output Registers ; Port B Input Registers ; Port B Output Registers ; Size ; Implementation Port A Depth ; Implementation Port A Width ; Implementation Port B Depth ; Implementation Port B Width ; Implementation Bits ; M9Ks ; MIF ; Location ; +--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+-------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+------+------+------------------------------------------------------------------------------------------------------------------------------+ ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|altsyncram_bi31:fifo_ram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Dual Clocks ; 1024 ; 8 ; 256 ; 32 ; yes ; no ; yes ; yes ; 8192 ; 1024 ; 8 ; 256 ; 32 ; 8192 ; 1 ; None ; M9K_X24_Y23_N0 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Dual Clocks ; 256 ; 32 ; 1024 ; 8 ; yes ; no ; yes ; yes ; 8192 ; 256 ; 32 ; 1024 ; 8 ; 8192 ; 1 ; None ; M9K_X24_Y22_N0 ; ; Video:Fredi_Aschwanden|altdpram0:ST_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated|ALTSYNCRAM ; AUTO ; True Dual Port ; Dual Clocks ; 16 ; 3 ; 16 ; 3 ; yes ; yes ; yes ; yes ; 48 ; 16 ; 3 ; 16 ; 3 ; 48 ; 1 ; None ; M9K_X24_Y19_N0 ; ; Video:Fredi_Aschwanden|altdpram0:ST_CLUT_GREEN|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated|ALTSYNCRAM ; AUTO ; True Dual Port ; Dual Clocks ; 16 ; 3 ; 16 ; 3 ; yes ; yes ; yes ; yes ; 48 ; 16 ; 3 ; 16 ; 3 ; 48 ; 1 ; None ; M9K_X24_Y19_N0 ; ; Video:Fredi_Aschwanden|altdpram0:ST_CLUT_RED|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated|ALTSYNCRAM ; AUTO ; True Dual Port ; Dual Clocks ; 16 ; 3 ; 16 ; 3 ; yes ; yes ; yes ; yes ; 48 ; 16 ; 3 ; 16 ; 3 ; 48 ; 1 ; None ; M9K_X24_Y21_N0 ; ; Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ALTSYNCRAM ; AUTO ; True Dual Port ; Dual Clocks ; 256 ; 6 ; 256 ; 6 ; yes ; yes ; yes ; yes ; 1536 ; 256 ; 6 ; 256 ; 6 ; 1536 ; 1 ; None ; M9K_X24_Y18_N0 ; ; Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_GREEN|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ALTSYNCRAM ; AUTO ; True Dual Port ; Dual Clocks ; 256 ; 6 ; 256 ; 6 ; yes ; yes ; yes ; yes ; 1536 ; 256 ; 6 ; 256 ; 6 ; 1536 ; 1 ; None ; M9K_X24_Y16_N0 ; ; Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_RED|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ALTSYNCRAM ; AUTO ; True Dual Port ; Dual Clocks ; 256 ; 6 ; 256 ; 6 ; yes ; yes ; yes ; yes ; 1536 ; 256 ; 6 ; 256 ; 6 ; 1536 ; 1 ; None ; M9K_X24_Y20_N0 ; ; Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM54|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ALTSYNCRAM ; AUTO ; True Dual Port ; Dual Clocks ; 256 ; 8 ; 256 ; 8 ; yes ; yes ; yes ; yes ; 2048 ; 256 ; 8 ; 256 ; 8 ; 2048 ; 1 ; None ; M9K_X24_Y17_N0 ; ; Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ALTSYNCRAM ; AUTO ; True Dual Port ; Dual Clocks ; 256 ; 8 ; 256 ; 8 ; yes ; yes ; yes ; yes ; 2048 ; 256 ; 8 ; 256 ; 8 ; 2048 ; 1 ; None ; M9K_X24_Y15_N0 ; ; Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ALTSYNCRAM ; AUTO ; True Dual Port ; Dual Clocks ; 256 ; 8 ; 256 ; 8 ; yes ; yes ; yes ; yes ; 2048 ; 256 ; 8 ; 256 ; 8 ; 2048 ; 1 ; None ; M9K_X24_Y14_N0 ; ; Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_hk21:auto_generated|a_dpfifo_oq21:dpfifo|altsyncram_gj81:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Dual Clocks ; 128 ; 128 ; 128 ; 128 ; yes ; no ; yes ; no ; 16384 ; 128 ; 128 ; 128 ; 128 ; 16384 ; 4 ; None ; M9K_X40_Y18_N0, M9K_X40_Y16_N0, M9K_X40_Y17_N0, M9K_X40_Y19_N0 ; ; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|altsyncram_tl31:fifo_ram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Dual Clocks ; 512 ; 128 ; 512 ; 128 ; yes ; no ; yes ; yes ; 65536 ; 512 ; 128 ; 512 ; 128 ; 65536 ; 8 ; None ; M9K_X40_Y10_N0, M9K_X40_Y8_N0, M9K_X40_Y9_N0, M9K_X40_Y11_N0, M9K_X40_Y13_N0, M9K_X40_Y14_N0, M9K_X40_Y12_N0, M9K_X40_Y15_N0 ; ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|altsyncram:altsyncram4|altsyncram_46r:auto_generated|ALTSYNCRAM ; AUTO ; Single Port ; Single Clock ; 144 ; 1 ; -- ; -- ; yes ; no ; -- ; -- ; 144 ; 144 ; 1 ; -- ; -- ; 144 ; 1 ; None ; M9K_X24_Y26_N0 ; +--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+-------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+------+------+------------------------------------------------------------------------------------------------------------------------------+ Note: Fitter may spread logical memories into multiple blocks to improve timing. The actual required RAM blocks can be found in the Fitter Resource Usage section. +-----------------------------------------------------------------------------------------------+ ; Fitter DSP Block Usage Summary ; +---------------------------------------+-------------+---------------------+-------------------+ ; Statistic ; Number Used ; Available per Block ; Maximum Available ; +---------------------------------------+-------------+---------------------+-------------------+ ; Simple Multipliers (9-bit) ; 0 ; 2 ; 252 ; ; Simple Multipliers (18-bit) ; 3 ; 1 ; 126 ; ; Embedded Multiplier Blocks ; 3 ; -- ; 126 ; ; Embedded Multiplier 9-bit elements ; 6 ; 2 ; 252 ; ; Signed Embedded Multipliers ; 0 ; -- ; -- ; ; Unsigned Embedded Multipliers ; 3 ; -- ; -- ; ; Mixed Sign Embedded Multipliers ; 0 ; -- ; -- ; ; Variable Sign Embedded Multipliers ; 0 ; -- ; -- ; ; Dedicated Input Shift Register Chains ; 0 ; -- ; -- ; +---------------------------------------+-------------+---------------------+-------------------+ +-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; DSP Block Details ; +------------------------------------------------------------------------------------------------------------------------+----------------------------+--------------------+---------------------+--------------------------------+-----------------------+-----------------------+-------------------+-----------------+ ; Name ; Mode ; Location ; Sign Representation ; Has Input Shift Register Chain ; Data A Input Register ; Data B Input Register ; Pipeline Register ; Output Register ; +------------------------------------------------------------------------------------------------------------------------+----------------------------+--------------------+---------------------+--------------------------------+-----------------------+-----------------------+-------------------+-----------------+ ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_14|mult_cat:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X31_Y24_N2 ; ; No ; ; ; ; no ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_14|mult_cat:auto_generated|mac_mult1 ; ; DSPMULT_X31_Y24_N0 ; Unsigned ; ; no ; no ; no ; ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_6|mult_aat:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X31_Y22_N2 ; ; No ; ; ; ; no ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_6|mult_aat:auto_generated|mac_mult1 ; ; DSPMULT_X31_Y22_N0 ; Unsigned ; ; no ; no ; no ; ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_12|mult_aat:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X31_Y23_N2 ; ; No ; ; ; ; no ; ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_12|mult_aat:auto_generated|mac_mult1 ; ; DSPMULT_X31_Y23_N0 ; Unsigned ; ; no ; no ; no ; ; +------------------------------------------------------------------------------------------------------------------------+----------------------------+--------------------+---------------------+--------------------------------+-----------------------+-----------------------+-------------------+-----------------+ +--------------------------------------------------------+ ; Interconnect Usage Summary ; +----------------------------+---------------------------+ ; Interconnect Resource Type ; Usage ; +----------------------------+---------------------------+ ; Block interconnects ; 16,690 / 116,715 ( 14 % ) ; ; C16 interconnects ; 1,027 / 3,886 ( 26 % ) ; ; C4 interconnects ; 15,654 / 73,752 ( 21 % ) ; ; Direct links ; 2,089 / 116,715 ( 2 % ) ; ; Global clocks ; 18 / 20 ( 90 % ) ; ; Local interconnects ; 4,136 / 39,600 ( 10 % ) ; ; R24 interconnects ; 1,023 / 3,777 ( 27 % ) ; ; R4 interconnects ; 16,047 / 99,858 ( 16 % ) ; +----------------------------+---------------------------+ +-----------------------------------------------------------------------------+ ; LAB Logic Elements ; +---------------------------------------------+-------------------------------+ ; Number of Logic Elements (Average = 12.93) ; Number of LABs (Total = 730) ; +---------------------------------------------+-------------------------------+ ; 1 ; 30 ; ; 2 ; 21 ; ; 3 ; 14 ; ; 4 ; 12 ; ; 5 ; 14 ; ; 6 ; 24 ; ; 7 ; 10 ; ; 8 ; 12 ; ; 9 ; 18 ; ; 10 ; 14 ; ; 11 ; 15 ; ; 12 ; 25 ; ; 13 ; 28 ; ; 14 ; 39 ; ; 15 ; 47 ; ; 16 ; 407 ; +---------------------------------------------+-------------------------------+ +--------------------------------------------------------------------+ ; LAB-wide Signals ; +------------------------------------+-------------------------------+ ; LAB-wide Signals (Average = 1.68) ; Number of LABs (Total = 730) ; +------------------------------------+-------------------------------+ ; 1 Async. clear ; 198 ; ; 1 Clock ; 578 ; ; 1 Clock enable ; 278 ; ; 1 Sync. clear ; 16 ; ; 1 Sync. load ; 30 ; ; 2 Async. clears ; 7 ; ; 2 Clock enables ; 67 ; ; 2 Clocks ; 49 ; +------------------------------------+-------------------------------+ +------------------------------------------------------------------------------+ ; LAB Signals Sourced ; +----------------------------------------------+-------------------------------+ ; Number of Signals Sourced (Average = 18.51) ; Number of LABs (Total = 730) ; +----------------------------------------------+-------------------------------+ ; 0 ; 0 ; ; 1 ; 22 ; ; 2 ; 13 ; ; 3 ; 10 ; ; 4 ; 17 ; ; 5 ; 12 ; ; 6 ; 11 ; ; 7 ; 11 ; ; 8 ; 11 ; ; 9 ; 10 ; ; 10 ; 12 ; ; 11 ; 9 ; ; 12 ; 19 ; ; 13 ; 9 ; ; 14 ; 17 ; ; 15 ; 21 ; ; 16 ; 53 ; ; 17 ; 26 ; ; 18 ; 34 ; ; 19 ; 37 ; ; 20 ; 43 ; ; 21 ; 41 ; ; 22 ; 40 ; ; 23 ; 35 ; ; 24 ; 40 ; ; 25 ; 36 ; ; 26 ; 26 ; ; 27 ; 27 ; ; 28 ; 29 ; ; 29 ; 20 ; ; 30 ; 20 ; ; 31 ; 9 ; ; 32 ; 10 ; +----------------------------------------------+-------------------------------+ +---------------------------------------------------------------------------------+ ; LAB Signals Sourced Out ; +-------------------------------------------------+-------------------------------+ ; Number of Signals Sourced Out (Average = 8.91) ; Number of LABs (Total = 730) ; +-------------------------------------------------+-------------------------------+ ; 0 ; 1 ; ; 1 ; 46 ; ; 2 ; 33 ; ; 3 ; 42 ; ; 4 ; 40 ; ; 5 ; 32 ; ; 6 ; 36 ; ; 7 ; 38 ; ; 8 ; 76 ; ; 9 ; 58 ; ; 10 ; 64 ; ; 11 ; 62 ; ; 12 ; 45 ; ; 13 ; 31 ; ; 14 ; 35 ; ; 15 ; 29 ; ; 16 ; 20 ; ; 17 ; 15 ; ; 18 ; 3 ; ; 19 ; 3 ; ; 20 ; 6 ; ; 21 ; 8 ; ; 22 ; 1 ; ; 23 ; 1 ; ; 24 ; 1 ; ; 25 ; 2 ; ; 26 ; 2 ; +-------------------------------------------------+-------------------------------+ +------------------------------------------------------------------------------+ ; LAB Distinct Inputs ; +----------------------------------------------+-------------------------------+ ; Number of Distinct Inputs (Average = 18.91) ; Number of LABs (Total = 730) ; +----------------------------------------------+-------------------------------+ ; 0 ; 0 ; ; 1 ; 2 ; ; 2 ; 20 ; ; 3 ; 22 ; ; 4 ; 22 ; ; 5 ; 18 ; ; 6 ; 16 ; ; 7 ; 10 ; ; 8 ; 12 ; ; 9 ; 15 ; ; 10 ; 19 ; ; 11 ; 14 ; ; 12 ; 28 ; ; 13 ; 18 ; ; 14 ; 18 ; ; 15 ; 14 ; ; 16 ; 22 ; ; 17 ; 21 ; ; 18 ; 24 ; ; 19 ; 30 ; ; 20 ; 45 ; ; 21 ; 22 ; ; 22 ; 29 ; ; 23 ; 34 ; ; 24 ; 32 ; ; 25 ; 27 ; ; 26 ; 20 ; ; 27 ; 19 ; ; 28 ; 33 ; ; 29 ; 17 ; ; 30 ; 28 ; ; 31 ; 31 ; ; 32 ; 30 ; ; 33 ; 17 ; ; 34 ; 1 ; +----------------------------------------------+-------------------------------+ +------------------------------------------+ ; I/O Rules Summary ; +----------------------------------+-------+ ; I/O Rules Statistic ; Total ; +----------------------------------+-------+ ; Total I/O Rules ; 30 ; ; Number of I/O Rules Passed ; 17 ; ; Number of I/O Rules Failed ; 0 ; ; Number of I/O Rules Unchecked ; 0 ; ; Number of I/O Rules Inapplicable ; 13 ; +----------------------------------+-------+ +-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; I/O Rules Details ; +--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ ; Status ; ID ; Category ; Rule Description ; Severity ; Information ; Area ; Extra Information ; +--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ ; Pass ; IO_000001 ; Capacity Checks ; Number of pins in an I/O bank should not exceed the number of locations available. ; Critical ; 0 such failures found. ; I/O ; ; ; Pass ; IO_000002 ; Capacity Checks ; Number of clocks in an I/O bank should not exceed the number of clocks available. ; Critical ; 0 such failures found. ; I/O ; ; ; Pass ; IO_000003 ; Capacity Checks ; Number of pins in a Vrefgroup should not exceed the number of locations available. ; Critical ; 0 such failures found. ; I/O ; ; ; Inapplicable ; IO_000004 ; Voltage Compatibility Checks ; The I/O bank should support the requested VCCIO. ; Critical ; No IOBANK_VCCIO assignments found. ; I/O ; ; ; Inapplicable ; IO_000005 ; Voltage Compatibility Checks ; The I/O bank should not have competing VREF values. ; Critical ; No VREF I/O Standard assignments found. ; I/O ; ; ; Pass ; IO_000006 ; Voltage Compatibility Checks ; The I/O bank should not have competing VCCIO values. ; Critical ; 0 such failures found. ; I/O ; ; ; Pass ; IO_000007 ; Valid Location Checks ; Checks for unavailable locations. ; Critical ; 0 such failures found. ; I/O ; ; ; Inapplicable ; IO_000008 ; Valid Location Checks ; Checks for reserved locations. ; Critical ; No reserved LogicLock region found. ; I/O ; ; ; Pass ; IO_000009 ; I/O Properties Checks for One I/O ; The location should support the requested I/O standard. ; Critical ; 0 such failures found. ; I/O ; ; ; Pass ; IO_000010 ; I/O Properties Checks for One I/O ; The location should support the requested I/O direction. ; Critical ; 0 such failures found. ; I/O ; ; ; Pass ; IO_000011 ; I/O Properties Checks for One I/O ; The location should support the requested Current Strength. ; Critical ; 0 such failures found. ; I/O ; ; ; Pass ; IO_000012 ; I/O Properties Checks for One I/O ; The location should support the requested On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; ; Inapplicable ; IO_000013 ; I/O Properties Checks for One I/O ; The location should support the requested Bus Hold value. ; Critical ; No Enable Bus-Hold Circuitry assignments found. ; I/O ; ; ; Inapplicable ; IO_000014 ; I/O Properties Checks for One I/O ; The location should support the requested Weak Pull Up value. ; Critical ; No Weak Pull-Up Resistor assignments found. ; I/O ; ; ; Pass ; IO_000015 ; I/O Properties Checks for One I/O ; The location should support the requested PCI Clamp Diode. ; Critical ; 0 such failures found. ; I/O ; ; ; Pass ; IO_000018 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Current Strength. ; Critical ; 0 such failures found. ; I/O ; ; ; Pass ; IO_000019 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; ; Pass ; IO_000020 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested PCI Clamp Diode. ; Critical ; 0 such failures found. ; I/O ; ; ; Inapplicable ; IO_000021 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Weak Pull Up value. ; Critical ; No Weak Pull-Up Resistor assignments found. ; I/O ; ; ; Inapplicable ; IO_000022 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Bus Hold value. ; Critical ; No Enable Bus-Hold Circuitry assignments found. ; I/O ; ; ; Pass ; IO_000023 ; I/O Properties Checks for One I/O ; The I/O standard should support the Open Drain value. ; Critical ; 0 such failures found. ; I/O ; ; ; Pass ; IO_000024 ; I/O Properties Checks for One I/O ; The I/O direction should support the On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; ; Pass ; IO_000026 ; I/O Properties Checks for One I/O ; On Chip Termination and Current Strength should not be used at the same time. ; Critical ; 0 such failures found. ; I/O ; ; ; Inapplicable ; IO_000027 ; I/O Properties Checks for One I/O ; Weak Pull Up and Bus Hold should not be used at the same time. ; Critical ; No Enable Bus-Hold Circuitry or Weak Pull-Up Resistor assignments found. ; I/O ; ; ; Inapplicable ; IO_000045 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Slew Rate value. ; Critical ; No Slew Rate assignments found. ; I/O ; ; ; Inapplicable ; IO_000046 ; I/O Properties Checks for One I/O ; The location should support the requested Slew Rate value. ; Critical ; No Slew Rate assignments found. ; I/O ; ; ; Inapplicable ; IO_000047 ; I/O Properties Checks for One I/O ; On Chip Termination and Slew Rate should not be used at the same time. ; Critical ; No Slew Rate assignments found. ; I/O ; ; ; Pass ; IO_000033 ; Electromigration Checks ; Current density for consecutive I/Os should not exceed 240mA for row I/Os and 240mA for column I/Os. ; Critical ; 0 such failures found. ; I/O ; ; ; Inapplicable ; IO_000034 ; SI Related Distance Checks ; Single-ended outputs should be 5 LAB row(s) away from a differential I/O. ; High ; No Differential I/O Standard assignments found. ; I/O ; ; ; Inapplicable ; IO_000042 ; SI Related SSO Limit Checks ; No more than 20 outputs are allowed in a VREF group when VREF is being read from. ; High ; No VREF I/O Standard assignments found. ; I/O ; ; ; ---- ; ---- ; Disclaimer ; OCT rules are checked but not reported. ; None ; ---- ; On Chip Termination ; ; +--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ +-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; I/O Rules Matrix ; +--------------------+-----------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+ ; Pin/Rules ; IO_000001 ; IO_000002 ; IO_000003 ; IO_000004 ; IO_000005 ; IO_000006 ; IO_000007 ; IO_000008 ; IO_000009 ; IO_000010 ; IO_000011 ; IO_000012 ; IO_000013 ; IO_000014 ; IO_000015 ; IO_000018 ; IO_000019 ; IO_000020 ; IO_000021 ; IO_000022 ; IO_000023 ; IO_000024 ; IO_000026 ; IO_000027 ; IO_000045 ; IO_000046 ; IO_000047 ; IO_000033 ; IO_000034 ; IO_000042 ; +--------------------+-----------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+ ; Total Pass ; 295 ; 64 ; 295 ; 0 ; 0 ; 295 ; 295 ; 0 ; 295 ; 295 ; 168 ; 3 ; 0 ; 0 ; 183 ; 168 ; 3 ; 183 ; 0 ; 0 ; 11 ; 3 ; 171 ; 0 ; 0 ; 0 ; 0 ; 295 ; 0 ; 0 ; ; Total Unchecked ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; Total Inapplicable ; 0 ; 231 ; 0 ; 295 ; 295 ; 0 ; 0 ; 295 ; 0 ; 0 ; 127 ; 292 ; 295 ; 295 ; 112 ; 127 ; 292 ; 112 ; 295 ; 295 ; 284 ; 292 ; 124 ; 295 ; 295 ; 295 ; 295 ; 0 ; 295 ; 295 ; ; Total Fail ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; CLK24M576 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; LP_STR ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nFB_BURST ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nACSI_DRQ ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nACSI_INT ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nSCSI_DRQ ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nSCSI_MSG ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nDCHG ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; SD_DATA0 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; SD_DATA1 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; SD_DATA2 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; SD_CARD_DEDECT ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; SD_WP ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nDACK0 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; WP_CF_CARD ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nSCSI_C_D ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nSCSI_I_O ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nFB_CS3 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; CLK25M ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nACSI_ACK ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nACSI_RESET ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nACSI_CS ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; ACSI_DIR ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; ACSI_A1 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nSCSI_ACK ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nSCSI_ATN ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; SCSI_DIR ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; MIDI_OLR ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; MIDI_TLR ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; TxD ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; RTS ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; DTR ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; AMKB_TX ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; IDE_RES ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nIDE_CS0 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nIDE_CS1 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nIDE_WR ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nIDE_RD ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nCF_CS0 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nCF_CS1 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nROM3 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nROM4 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nRP_UDS ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nRP_LDS ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nSDSEL ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nWR_GATE ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nWR ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; YM_QA ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; YM_QB ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; YM_QC ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; SD_CLK ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; DSA_D ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nVWE ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nVCAS ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nVRAS ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nVCS ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nPD_VGA ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; TIN0 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nSRCS ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nSRBLE ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nSRBHE ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nSRWE ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nDREQ1 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; LED_FPGA_OK ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nSROE ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VCKE ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nFB_TA ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nDDR_CLK ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; DDR_CLK ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VSYNC_PAD ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; HSYNC_PAD ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nBLANK_PAD ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; PIXEL_CLK_PAD ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nSYNC ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nMOT_ON ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nSTEP_DIR ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nSTEP ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; CLKUSB ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; LPDIR ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; BA[1] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; BA[0] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nIRQ[7] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nIRQ[6] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nIRQ[5] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nIRQ[4] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nIRQ[3] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nIRQ[2] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VA[12] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VA[11] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VA[10] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VA[9] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VA[8] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VA[7] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VA[6] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VA[5] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VA[4] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VA[3] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VA[2] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VA[1] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VA[0] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VB[7] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VB[6] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VB[5] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VB[4] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VB[3] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VB[2] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VB[1] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VB[0] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VDM[3] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VDM[2] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VDM[1] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VDM[0] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VG[7] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VG[6] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VG[5] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VG[4] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VG[3] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VG[2] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VG[1] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VG[0] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VR[7] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VR[6] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VR[5] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VR[4] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VR[3] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VR[2] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VR[1] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VR[0] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; TOUT0 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nMASTER ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; FB_AD[31] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; FB_AD[30] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; FB_AD[29] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; FB_AD[28] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; FB_AD[27] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; FB_AD[26] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; FB_AD[25] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; FB_AD[24] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; FB_AD[23] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; FB_AD[22] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; FB_AD[21] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; FB_AD[20] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; FB_AD[19] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; FB_AD[18] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; FB_AD[17] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; FB_AD[16] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; FB_AD[15] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; FB_AD[14] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; FB_AD[13] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; FB_AD[12] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; FB_AD[11] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; FB_AD[10] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; FB_AD[9] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; FB_AD[8] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; FB_AD[7] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; FB_AD[6] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; FB_AD[5] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; FB_AD[4] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; FB_AD[3] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; FB_AD[2] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; FB_AD[1] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; FB_AD[0] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VD[31] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VD[30] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VD[29] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VD[28] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VD[27] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VD[26] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VD[25] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VD[24] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VD[23] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VD[22] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VD[21] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VD[20] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VD[19] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VD[18] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VD[17] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VD[16] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VD[15] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VD[14] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VD[13] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VD[12] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VD[11] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VD[10] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VD[9] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VD[8] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VD[7] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VD[6] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VD[5] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VD[4] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VD[3] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VD[2] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VD[1] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VD[0] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VDQS[3] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VDQS[2] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VDQS[1] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; VDQS[0] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; IO[17] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; IO[16] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; IO[15] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; IO[14] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; IO[13] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; IO[12] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; IO[11] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; IO[10] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; IO[9] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; IO[8] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; IO[7] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; IO[6] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; IO[5] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; IO[4] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; IO[3] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; IO[2] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; IO[1] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; IO[0] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; SRD[15] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; SRD[14] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; SRD[13] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; SRD[12] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; SRD[11] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; SRD[10] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; SRD[9] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; SRD[8] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; SRD[7] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; SRD[6] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; SRD[5] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; SRD[4] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; SRD[3] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; SRD[2] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; SRD[1] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; SRD[0] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; SCSI_PAR ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nSCSI_SEL ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nSCSI_BUSY ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nSCSI_RST ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; SD_CD_DATA3 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; SD_CMD_D1 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; ACSI_D[7] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; ACSI_D[6] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; ACSI_D[5] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; ACSI_D[4] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; ACSI_D[3] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; ACSI_D[2] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; ACSI_D[1] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; ACSI_D[0] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; LP_D[7] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; LP_D[6] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; LP_D[5] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; LP_D[4] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; LP_D[3] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; LP_D[2] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; LP_D[1] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; LP_D[0] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; SCSI_D[7] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; SCSI_D[6] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; SCSI_D[5] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; SCSI_D[4] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; SCSI_D[3] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; SCSI_D[2] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; SCSI_D[1] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; SCSI_D[0] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nRSTO_MCF ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nFB_WR ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nFB_CS1 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; FB_SIZE1 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; FB_SIZE0 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; FB_ALE ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nFB_CS2 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; MAIN_CLK ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nDACK1 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nFB_OE ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; IDE_RDY ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; CLK33M ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; HD_DD ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nINDEX ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; RxD ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nWP ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; LP_BUSY ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; DCD ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; CTS ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; TRACK00 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; IDE_INT ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; RI ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nPCI_INTD ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nPCI_INTC ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nPCI_INTB ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nPCI_INTA ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; DVI_INT ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; E0_INT ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; PIC_INT ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; MIDI_IN ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; PIC_AMKB_RX ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nRD_DATA ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; AMKB_RX ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +--------------------+-----------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+ +---------------------------------------------------------------------------------------------+ ; Fitter Device Options ; +------------------------------------------------------------------+--------------------------+ ; Option ; Setting ; +------------------------------------------------------------------+--------------------------+ ; Enable user-supplied start-up clock (CLKUSR) ; Off ; ; Enable device-wide reset (DEV_CLRn) ; On ; ; Enable device-wide output enable (DEV_OE) ; On ; ; Enable INIT_DONE output ; Off ; ; Configuration scheme ; Passive Serial ; ; Error detection CRC ; Off ; ; Enable open drain on CRC_ERROR pin ; Off ; ; Enable input tri-state on active configuration pins in user mode ; Off ; ; Configuration Voltage Level ; Auto ; ; Force Configuration Voltage Level ; On ; ; nCEO ; As output driving ground ; ; Data[0] ; As input tri-stated ; ; Data[1]/ASDO ; As input tri-stated ; ; Data[7..2] ; Unreserved ; ; FLASH_nCE/nCSO ; As input tri-stated ; ; Other Active Parallel pins ; Unreserved ; ; DCLK ; As input tri-stated ; ; Base pin-out file on sameframe device ; Off ; +------------------------------------------------------------------+--------------------------+ +------------------------------------+ ; Operating Settings and Conditions ; +---------------------------+--------+ ; Setting ; Value ; +---------------------------+--------+ ; Nominal Core Voltage ; 1.20 V ; ; Low Junction Temperature ; 0 °C ; ; High Junction Temperature ; 85 °C ; +---------------------------+--------+ +-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Estimated Delay Added for Hold Timing Summary ; +-----------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------+-------------------+ ; Source Clock(s) ; Destination Clock(s) ; Delay Added in ns ; +-----------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------+-------------------+ ; CLK33M,inst13|altpll_component|auto_generated|pll1|clk[2],MAIN_CLK,inst22|altpll_component|auto_generated|pll1|clk[0] ; CLK33M,inst13|altpll_component|auto_generated|pll1|clk[2],MAIN_CLK,inst22|altpll_component|auto_generated|pll1|clk[0] ; 6031.0 ; ; MAIN_CLK ; MAIN_CLK ; 529.1 ; ; MAIN_CLK ; CLK33M,inst13|altpll_component|auto_generated|pll1|clk[2],MAIN_CLK,inst22|altpll_component|auto_generated|pll1|clk[0] ; 271.4 ; +-----------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------+-------------------+ Note: For more information on problematic transfers, consider running the Fitter again with the Optimize hold timing option (Settings Menu) turned off. This will disable optimization of problematic paths and expose them for further analysis using either the TimeQuest Timing Analyzer or the Classic Timing Analyzer. +-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Estimated Delay Added for Hold Timing Details ; +--------------------------------------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+ ; Source Register ; Destination Register ; Delay Added in ns ; +--------------------------------------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+ ; CLK33M ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|\CLKDIV:CLK_LOCK ; 11.238 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|TR_STATE.IDLE ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|\CLKDIV:CLK_LOCK ; 11.238 ; ; CLK33M ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_LOCK ; 11.130 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|RCV_STATE.IDLE ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_LOCK ; 11.130 ; ; CLK33M ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:STRB_LOCK ; 11.107 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG[1] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:STRB_LOCK ; 11.107 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG[0] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:STRB_LOCK ; 11.107 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[5] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:STRB_LOCK ; 11.107 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[0] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:STRB_LOCK ; 11.107 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[1] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:STRB_LOCK ; 11.107 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[2] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:STRB_LOCK ; 11.107 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[3] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:STRB_LOCK ; 11.107 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[4] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:STRB_LOCK ; 11.107 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[6] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:STRB_LOCK ; 11.107 ; ; CLK33M ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:STRB_LOCK ; 11.057 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG[1] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:STRB_LOCK ; 11.057 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG[0] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:STRB_LOCK ; 11.057 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[5] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:STRB_LOCK ; 11.057 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[0] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:STRB_LOCK ; 11.057 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[1] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:STRB_LOCK ; 11.057 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[2] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:STRB_LOCK ; 11.057 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[3] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:STRB_LOCK ; 11.057 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[4] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:STRB_LOCK ; 11.057 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[6] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:STRB_LOCK ; 11.057 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|RCV_STATE.IDLE ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:STRB_LOCK ; 11.033 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_LOCK ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:STRB_LOCK ; 11.033 ; ; CLK33M ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_LOCK ; 10.900 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|RCV_STATE.IDLE ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_LOCK ; 10.900 ; ; CLK33M ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[0] ; 10.875 ; ; CLK33M ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[1] ; 10.875 ; ; CLK33M ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[2] ; 10.875 ; ; CLK33M ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[3] ; 10.875 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|RCV_STATE.IDLE ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[0] ; 10.875 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|RCV_STATE.IDLE ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[1] ; 10.875 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|RCV_STATE.IDLE ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[2] ; 10.875 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|RCV_STATE.IDLE ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[3] ; 10.875 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[5] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[0] ; 10.875 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[5] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[1] ; 10.875 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[5] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[2] ; 10.875 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[5] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[3] ; 10.875 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_LOCK ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[0] ; 10.875 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_LOCK ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[1] ; 10.875 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_LOCK ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[2] ; 10.875 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_LOCK ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[3] ; 10.875 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_LOCK ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[4] ; 10.875 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[1] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[0] ; 10.875 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[2] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[0] ; 10.875 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[2] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[1] ; 10.875 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[3] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[0] ; 10.875 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[3] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[1] ; 10.875 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[3] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[2] ; 10.875 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[4] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[0] ; 10.875 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[4] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[1] ; 10.875 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[4] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[2] ; 10.875 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[4] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[3] ; 10.875 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[6] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[0] ; 10.875 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[6] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[1] ; 10.875 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[6] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[2] ; 10.875 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[6] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[3] ; 10.875 ; ; CLK33M ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[5] ; 10.874 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|RCV_STATE.IDLE ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[5] ; 10.874 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[5] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[5] ; 10.874 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_LOCK ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[5] ; 10.874 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_LOCK ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[6] ; 10.874 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[6] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[5] ; 10.874 ; ; CLK33M ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[6] ; 10.823 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|RCV_STATE.IDLE ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[6] ; 10.823 ; ; CLK33M ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[4] ; 10.804 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|RCV_STATE.IDLE ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[4] ; 10.804 ; ; CLK33M ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|\CLKDIV:STRB_LOCK ; 10.801 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG[1] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|\CLKDIV:STRB_LOCK ; 10.801 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG[0] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|\CLKDIV:STRB_LOCK ; 10.801 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|\CLKDIV:CLK_DIVCNT[6] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|\CLKDIV:STRB_LOCK ; 10.801 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|\CLKDIV:CLK_DIVCNT[0] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|\CLKDIV:STRB_LOCK ; 10.801 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|\CLKDIV:CLK_DIVCNT[1] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|\CLKDIV:STRB_LOCK ; 10.801 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|\CLKDIV:CLK_DIVCNT[2] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|\CLKDIV:STRB_LOCK ; 10.801 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|\CLKDIV:CLK_DIVCNT[3] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|\CLKDIV:STRB_LOCK ; 10.801 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|\CLKDIV:CLK_DIVCNT[4] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|\CLKDIV:STRB_LOCK ; 10.801 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|\CLKDIV:CLK_DIVCNT[5] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|\CLKDIV:STRB_LOCK ; 10.801 ; ; CLK33M ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[0] ; 10.700 ; ; CLK33M ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[1] ; 10.700 ; ; CLK33M ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[2] ; 10.700 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|RCV_STATE.IDLE ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[0] ; 10.700 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|RCV_STATE.IDLE ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[1] ; 10.700 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|RCV_STATE.IDLE ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[2] ; 10.700 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[5] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[0] ; 10.700 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[5] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[1] ; 10.700 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[5] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[2] ; 10.700 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_LOCK ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[0] ; 10.700 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_LOCK ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[1] ; 10.700 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_LOCK ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[2] ; 10.700 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[1] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[0] ; 10.700 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[2] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[0] ; 10.700 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[2] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[1] ; 10.700 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[3] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[0] ; 10.700 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[3] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[1] ; 10.700 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[3] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[2] ; 10.700 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[4] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[0] ; 10.700 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[4] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[1] ; 10.700 ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[4] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[2] ; 10.700 ; +--------------------------------------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+ +-----------------+ ; Fitter Messages ; +-----------------+ Info: ******************************************************************* Info: Running Quartus II 32-bit Fitter Info: Version 12.0 Build 263 08/02/2012 Service Pack 2 SJ Web Edition Info: Processing started: Sat Oct 20 18:22:24 2012 Info: Command: quartus_fit --read_settings_files=off --write_settings_files=off firebeei1 -c firebee1 Warning (20028): Parallel compilation is not licensed and has been disabled Info (119006): Selected device EP3C40F484C6 for design "firebee1" Info (21077): Core supply voltage is 1.2V Info (21077): Low junction temperature is 0 degrees C Info (21077): High junction temperature is 85 degrees C Info (15535): Implemented PLL "altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|pll1" as Cyclone III PLL type Info (15099): Implementing clock multiplication of 1, clock division of 66, and phase shift of 0 degrees (0 ps) for altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|clk[0] port Info (15099): Implementing clock multiplication of 67, clock division of 900, and phase shift of 0 degrees (0 ps) for altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|clk[1] port Info (15099): Implementing clock multiplication of 67, clock division of 90, and phase shift of 0 degrees (0 ps) for altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|clk[2] port Info (15575): None of the inputs fed by the compensated output clock of PLL "altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|pll1" in Source Synchronous mode are set as the compensated input Info (15574): Input "nRD_DATA" that is fed by the compensated output clock of PLL "altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|pll1" in Source Synchronous mode has been set as a compensated input Warning (15536): Implemented PLL "altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|pll1" as Cyclone III PLL type, but with warnings Warning (15559): Can't achieve requested value multiplication of 16 for clock output altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[3] of parameter multiplication factor -- achieved value of multiplication of 109 Warning (15559): Can't achieve requested value division of 11 for clock output altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[3] of parameter division factor -- achieved value of division of 75 Info (15099): Implementing clock multiplication of 109, clock division of 1800, and phase shift of 0 degrees (0 ps) for altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[0] port Info (15099): Implementing clock multiplication of 109, clock division of 225, and phase shift of 0 degrees (0 ps) for altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[1] port Info (15099): Implementing clock multiplication of 109, clock division of 144, and phase shift of 0 degrees (0 ps) for altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[2] port Info (15099): Implementing clock multiplication of 109, clock division of 75, and phase shift of 0 degrees (0 ps) for altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[3] port Info (15575): None of the inputs fed by the compensated output clock of PLL "altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|pll1" in Source Synchronous mode are set as the compensated input Info (15574): Input "MAIN_CLK" that is fed by the compensated output clock of PLL "altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|pll1" in Source Synchronous mode has been set as a compensated input Info (15535): Implemented PLL "altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|pll1" as Cyclone III PLL type Info (15099): Implementing clock multiplication of 4, clock division of 1, and phase shift of 240 degrees (5051 ps) for altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[0] port Info (15099): Implementing clock multiplication of 4, clock division of 1, and phase shift of 0 degrees (0 ps) for altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[1] port Info (15099): Implementing clock multiplication of 4, clock division of 1, and phase shift of 180 degrees (3788 ps) for altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[2] port Info (15099): Implementing clock multiplication of 4, clock division of 1, and phase shift of 105 degrees (2210 ps) for altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[3] port Info (15099): Implementing clock multiplication of 2, clock division of 1, and phase shift of 270 degrees (11364 ps) for altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[4] port Info (15535): Implemented PLL "altpll4:inst22|altpll:altpll_component|altpll_r4n2:auto_generated|pll1" as Cyclone III PLL type Info (15099): Implementing clock multiplication of 2, clock division of 1, and phase shift of 0 degrees (0 ps) for altpll4:inst22|altpll:altpll_component|altpll_r4n2:auto_generated|clk[0] port Critical Warning (15042): The input clock frequency specification of PLL "altpll4:inst22|altpll:altpll_component|altpll_r4n2:auto_generated|pll1" is different from the output clock frequency specification of the source PLLs that are driving it Critical Warning (15043): Input port inclk[0] of PLL "altpll4:inst22|altpll:altpll_component|altpll_r4n2:auto_generated|pll1" and its source clk[3] (the output port of PLL "altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|pll1") have different specified frequencies, 48.0 MHz and 48.0 MHz respectively Info (171003): Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time Warning (292013): Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature. Info (176444): Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices Info (176445): Device EP3C16F484C6 is compatible Info (176445): Device EP3C55F484C6 is compatible Info (176445): Device EP3C80F484C6 is compatible Info (169124): Fitter converted 7 user pins into dedicated programming pins Info (169125): Pin ~ALTERA_ASDO_DATA1~ is reserved at location D1 Info (169125): Pin ~ALTERA_FLASH_nCE_nCSO~ is reserved at location E2 Info (169125): Pin ~ALTERA_DCLK~ is reserved at location K2 Info (169125): Pin ~ALTERA_DATA0~ is reserved at location K1 Info (169125): Pin ~ALTERA_DEV_OE~ is reserved at location N22 Info (169125): Pin ~ALTERA_DEV_CLRn~ is reserved at location N21 Info (169125): Pin ~ALTERA_nCEO~ is reserved at location K22 Warning (15714): Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details Info (176045): Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements. Warning (176127): The parameters of the PLL altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|pll1 and the PLL altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|pll1 do not have the same values - hence these PLLs cannot be merged Info (176120): The values of the parameter "M" do not match for the PLL atoms altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|pll1 and PLL altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|pll1 Info (176121): The value of the parameter "M" for the PLL atom altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|pll1 is 67 Info (176121): The value of the parameter "M" for the PLL atom altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|pll1 is 109 Info (176120): The values of the parameter "N" do not match for the PLL atoms altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|pll1 and PLL altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|pll1 Info (176121): The value of the parameter "N" for the PLL atom altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|pll1 is 6 Info (176121): The value of the parameter "N" for the PLL atom altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|pll1 is 3 Info (176120): The values of the parameter "LOOP FILTER R" do not match for the PLL atoms altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|pll1 and PLL altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|pll1 Info (176121): The value of the parameter "LOOP FILTER R" for the PLL atom altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|pll1 is 12000 Info (176121): The value of the parameter "LOOP FILTER R" for the PLL atom altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|pll1 is 10000 Info (176120): The values of the parameter "VCO POST SCALE" do not match for the PLL atoms altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|pll1 and PLL altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|pll1 Info (176121): The value of the parameter "VCO POST SCALE" for the PLL atom altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|pll1 is 2 Info (176121): The value of the parameter "VCO POST SCALE" for the PLL atom altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|pll1 is 1 Info (176120): The values of the parameter "Min VCO Period" do not match for the PLL atoms altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|pll1 and PLL altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|pll1 Info (176121): The value of the parameter "Min VCO Period" for the PLL atom altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|pll1 is 1538 Info (176121): The value of the parameter "Min VCO Period" for the PLL atom altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|pll1 is 769 Info (176120): The values of the parameter "Max VCO Period" do not match for the PLL atoms altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|pll1 and PLL altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|pll1 Info (176121): The value of the parameter "Max VCO Period" for the PLL atom altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|pll1 is 3333 Info (176121): The value of the parameter "Max VCO Period" for the PLL atom altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|pll1 is 1666 Info (176120): The values of the parameter "Center VCO Period" do not match for the PLL atoms altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|pll1 and PLL altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|pll1 Info (176121): The value of the parameter "Center VCO Period" for the PLL atom altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|pll1 is 1538 Info (176121): The value of the parameter "Center VCO Period" for the PLL atom altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|pll1 is 769 Info (176120): The values of the parameter "Min Lock Period" do not match for the PLL atoms altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|pll1 and PLL altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|pll1 Info (176121): The value of the parameter "Min Lock Period" for the PLL atom altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|pll1 is 17174 Info (176121): The value of the parameter "Min Lock Period" for the PLL atom altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|pll1 is 27940 Info (176120): The values of the parameter "Max Lock Period" do not match for the PLL atoms altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|pll1 and PLL altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|pll1 Info (176121): The value of the parameter "Max Lock Period" for the PLL atom altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|pll1 is 30864 Info (176121): The value of the parameter "Max Lock Period" for the PLL atom altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|pll1 is 59523 Info (176120): The values of the parameter "Compensate Clock" do not match for the PLL atoms altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|pll1 and PLL altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|pll1 Info (176121): The value of the parameter "Compensate Clock" for the PLL atom altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|pll1 is clock0 Info (176121): The value of the parameter "Compensate Clock" for the PLL atom altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|pll1 is clock1 Warning (15536): Implemented PLL "altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|pll1" as Cyclone III PLL type, but with warnings Warning (15559): Can't achieve requested value multiplication of 16 for clock output altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[3] of parameter multiplication factor -- achieved value of multiplication of 109 Warning (15559): Can't achieve requested value division of 11 for clock output altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[3] of parameter division factor -- achieved value of division of 75 Info (15099): Implementing clock multiplication of 109, clock division of 1800, and phase shift of 0 degrees (0 ps) for altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[0] port Info (15099): Implementing clock multiplication of 109, clock division of 225, and phase shift of 0 degrees (0 ps) for altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[1] port Info (15099): Implementing clock multiplication of 109, clock division of 144, and phase shift of 0 degrees (0 ps) for altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[2] port Info (15099): Implementing clock multiplication of 109, clock division of 75, and phase shift of 0 degrees (0 ps) for altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[3] port Info (15535): Implemented PLL "altpll4:inst22|altpll:altpll_component|altpll_r4n2:auto_generated|pll1" as Cyclone III PLL type Info (15099): Implementing clock multiplication of 2, clock division of 1, and phase shift of 0 degrees (0 ps) for altpll4:inst22|altpll:altpll_component|altpll_r4n2:auto_generated|clk[0] port Warning (335093): TimeQuest Timing Analyzer is analyzing 32 combinational loops as latches. Info (332164): Evaluating HDL-embedded SDC commands Info (332165): Entity dcfifo_0hh1 Info (332166): set_false_path -from *rdptr_g* -to *ws_dgrp|dffpipe_id9:dffpipe17|dffe18a* Info (332166): set_false_path -from *delayed_wrptr_g* -to *rs_dgwp|dffpipe_hd9:dffpipe12|dffe13a* Info (332165): Entity dcfifo_3fh1 Info (332166): set_false_path -from *rdptr_g* -to *ws_dgrp|dffpipe_kd9:dffpipe15|dffe16a* Info (332166): set_false_path -from *delayed_wrptr_g* -to *rs_dgwp|dffpipe_jd9:dffpipe12|dffe13a* Info (332165): Entity dcfifo_8fi1 Info (332166): set_false_path -from *rdptr_g* -to *ws_dgrp|dffpipe_re9:dffpipe19|dffe20a* Info (332166): set_false_path -from *delayed_wrptr_g* -to *rs_dgwp|dffpipe_qe9:dffpipe12|dffe13a* Warning (332173): Ignored filter: *rs_dgwp|dffpipe_qe9:dffpipe12|dffe13a* could not be matched with a clock or keeper or register or port or pin or cell or partition Warning (332048): Ignored set_false_path: Argument is not an object ID Critical Warning (332012): Synopsys Design Constraints File file not found: 'firebee1.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. Info (336004): TimeQuest will use the Classic Timing Analyzer's FMAX_REQUIREMENT assignment (or --fmax command-line argument) as default timing requirement. Any other Classic Timing Analyzer assignment will be ignored. Info (332144): No user constrained generated clocks found in the design Info (332144): No user constrained base clocks found in the design Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty" Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties. Warning (332056): PLL cross checking found inconsistent PLL clock settings: Warning (332056): Clock: inst22|altpll_component|auto_generated|pll1|clk[0] with master clock period: 20.850 found on PLL node: inst22|altpll_component|auto_generated|pll1|clk[0] does not match the master clock period requirement: 20.833 Info (332129): Detected timing requirements -- optimizing circuit to achieve only the specified requirements Info (332111): Found 24 clocks Info (332111): Period Clock Name Info (332111): ======== ============ Info (332111): 30.303 CLK33M Info (332111): 1.000 DVI_INT Info (332111): 1.000 E0_INT Info (332111): 7.575 inst12|altpll_component|auto_generated|pll1|clk[0] Info (332111): 7.575 inst12|altpll_component|auto_generated|pll1|clk[1] Info (332111): 7.575 inst12|altpll_component|auto_generated|pll1|clk[2] Info (332111): 7.575 inst12|altpll_component|auto_generated|pll1|clk[3] Info (332111): 15.151 inst12|altpll_component|auto_generated|pll1|clk[4] Info (332111): 500.416 inst13|altpll_component|auto_generated|pll1|clk[0] Info (332111): 62.552 inst13|altpll_component|auto_generated|pll1|clk[1] Info (332111): 40.033 inst13|altpll_component|auto_generated|pll1|clk[2] Info (332111): 20.850 inst13|altpll_component|auto_generated|pll1|clk[3] Info (332111): 10.425 inst22|altpll_component|auto_generated|pll1|clk[0] Info (332111): 1999.998 inst|altpll_component|auto_generated|pll1|clk[0] Info (332111): 407.055 inst|altpll_component|auto_generated|pll1|clk[1] Info (332111): 40.705 inst|altpll_component|auto_generated|pll1|clk[2] Info (332111): 30.303 MAIN_CLK Info (332111): 1.000 nPCI_INTA Info (332111): 1.000 nPCI_INTB Info (332111): 1.000 nPCI_INTC Info (332111): 1.000 nPCI_INTD Info (332111): 1.000 PIC_INT Info (332111): 1.000 Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|HSYNC Info (332111): 1.000 Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VSYNC Info (176353): Automatically promoted node altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|clk[0] (placed in counter C1 of PLL_3) Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G14 Info (176353): Automatically promoted node altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|clk[1] (placed in counter C2 of PLL_3) Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G12 Info (176353): Automatically promoted node altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|clk[2] (placed in counter C3 of PLL_3) Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G13 Info (176353): Automatically promoted node altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[0] (placed in counter C2 of PLL_1) Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G2 Info (176353): Automatically promoted node altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[1] (placed in counter C4 of PLL_1) Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G4 Info (176353): Automatically promoted node altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[2] (placed in counter C3 of PLL_1) Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G3 Info (176353): Automatically promoted node altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[3] (placed in counter C1 of PLL_1) Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G1 Info (176353): Automatically promoted node altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[4] (placed in counter C0 of PLL_1) Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G0 Info (176353): Automatically promoted node altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[0] (placed in counter C1 of PLL_4) Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G16 Info (176353): Automatically promoted node altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[1] (placed in counter C2 of PLL_4) Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G17 Info (176353): Automatically promoted node altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[2] (placed in counter C3 of PLL_4) Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G18 Info (176353): Automatically promoted node altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[3] (placed in counter C4 of PLL_4) Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G19 Info (176353): Automatically promoted node altpll4:inst22|altpll:altpll_component|altpll_r4n2:auto_generated|clk[0] (placed in counter C0 of PLL_2) Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G8 Info (176353): Automatically promoted node CLK33M~input (placed in PIN AB12 (CLK12, DIFFCLK_7n)) Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G15 Info (176356): Following destination nodes may be non-global or may not use global or regional clocks Info (176357): Destination node Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|PIXEL_CLK~0 Info (176357): Destination node Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|PIXEL_CLK~3 Info (176357): Destination node Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CLK17M Info (176353): Automatically promoted node inst25 Info (176355): Automatically promoted destinations to use location or clock signal Global Clock Info (176356): Following destination nodes may be non-global or may not use global or regional clocks Info (176357): Destination node FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|nIDE_WR~reg0 Info (176357): Destination node FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|nIDE_RD~reg0 Info (176357): Destination node FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|MFM_In Info (176357): Destination node FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|DTACK_OUTn Info (176357): Destination node FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT|TDRE Info (176357): Destination node FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|INT_PASS[11] Info (176357): Destination node FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|INT_PASS[10] Info (176357): Destination node FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|INT_PASS[14] Info (176357): Destination node FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|INT_PASS[15] Info (176357): Destination node FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|INT_PASS[12] Info (176358): Non-global destination nodes limited to 10 nodes Info (176353): Automatically promoted node Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|PIXEL_CLK Info (176355): Automatically promoted destinations to use location or clock signal Global Clock Info (176353): Automatically promoted node FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|CLR_FIFO Info (176355): Automatically promoted destinations to use location or clock signal Global Clock Info (176353): Automatically promoted node Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CLR_FIFO Info (176355): Automatically promoted destinations to use location or clock signal Global Clock Info (176356): Following destination nodes may be non-global or may not use global or regional clocks Info (176357): Destination node Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|CLR_FIFO_SYNC Info (176466): Following DDIO Input nodes are constrained by the Fitter to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[31]" is constrained to location LAB_X43_Y1_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[31]" is constrained to location LAB_X43_Y1_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[31]" is constrained to location LAB_X43_Y1_N0 to improve DDIO timing Info (176467): Node "VD[31]~input" is constrained to location IOIBUF_X43_Y0_N1 to improve DDIO timing Info (176467): Node "VD[31]" is constrained to location PIN U12 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[30]" is constrained to location LAB_X41_Y1_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[30]" is constrained to location LAB_X41_Y1_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[30]" is constrained to location LAB_X41_Y1_N0 to improve DDIO timing Info (176467): Node "VD[30]~input" is constrained to location IOIBUF_X41_Y0_N29 to improve DDIO timing Info (176467): Node "VD[30]" is constrained to location PIN V12 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[29]" is constrained to location LAB_X38_Y1_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[29]" is constrained to location LAB_X38_Y1_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[29]" is constrained to location LAB_X38_Y1_N0 to improve DDIO timing Info (176467): Node "VD[29]~input" is constrained to location IOIBUF_X38_Y0_N22 to improve DDIO timing Info (176467): Node "VD[29]" is constrained to location PIN AB13 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[28]" is constrained to location LAB_X43_Y1_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[28]" is constrained to location LAB_X43_Y1_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[28]" is constrained to location LAB_X43_Y1_N0 to improve DDIO timing Info (176467): Node "VD[28]~input" is constrained to location IOIBUF_X43_Y0_N29 to improve DDIO timing Info (176467): Node "VD[28]" is constrained to location PIN W13 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[27]" is constrained to location LAB_X48_Y1_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[27]" is constrained to location LAB_X48_Y1_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[27]" is constrained to location LAB_X48_Y1_N0 to improve DDIO timing Info (176467): Node "VD[27]~input" is constrained to location IOIBUF_X48_Y0_N29 to improve DDIO timing Info (176467): Node "VD[27]" is constrained to location PIN V13 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[26]" is constrained to location LAB_X38_Y1_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[26]" is constrained to location LAB_X38_Y1_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[26]" is constrained to location LAB_X38_Y1_N0 to improve DDIO timing Info (176467): Node "VD[26]~input" is constrained to location IOIBUF_X38_Y0_N8 to improve DDIO timing Info (176467): Node "VD[26]" is constrained to location PIN AB14 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[25]" is constrained to location LAB_X38_Y1_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[25]" is constrained to location LAB_X38_Y1_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[25]" is constrained to location LAB_X38_Y1_N0 to improve DDIO timing Info (176467): Node "VD[25]~input" is constrained to location IOIBUF_X38_Y0_N15 to improve DDIO timing Info (176467): Node "VD[25]" is constrained to location PIN AA14 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[24]" is constrained to location LAB_X43_Y1_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[24]" is constrained to location LAB_X43_Y1_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[24]" is constrained to location LAB_X43_Y1_N0 to improve DDIO timing Info (176467): Node "VD[24]~input" is constrained to location IOIBUF_X43_Y0_N8 to improve DDIO timing Info (176467): Node "VD[24]" is constrained to location PIN AB15 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[23]" is constrained to location LAB_X45_Y1_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[23]" is constrained to location LAB_X45_Y1_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[23]" is constrained to location LAB_X45_Y1_N0 to improve DDIO timing Info (176467): Node "VD[23]~input" is constrained to location IOIBUF_X45_Y0_N15 to improve DDIO timing Info (176467): Node "VD[23]" is constrained to location PIN AB16 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[22]" is constrained to location LAB_X48_Y1_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[22]" is constrained to location LAB_X48_Y1_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[22]" is constrained to location LAB_X48_Y1_N0 to improve DDIO timing Info (176467): Node "VD[22]~input" is constrained to location IOIBUF_X48_Y0_N22 to improve DDIO timing Info (176467): Node "VD[22]" is constrained to location PIN W14 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[21]" is constrained to location LAB_X50_Y1_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[21]" is constrained to location LAB_X50_Y1_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[21]" is constrained to location LAB_X50_Y1_N0 to improve DDIO timing Info (176467): Node "VD[21]~input" is constrained to location IOIBUF_X50_Y0_N1 to improve DDIO timing Info (176467): Node "VD[21]" is constrained to location PIN V15 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[20]" is constrained to location LAB_X50_Y1_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[20]" is constrained to location LAB_X50_Y1_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[20]" is constrained to location LAB_X50_Y1_N0 to improve DDIO timing Info (176467): Node "VD[20]~input" is constrained to location IOIBUF_X50_Y0_N29 to improve DDIO timing Info (176467): Node "VD[20]" is constrained to location PIN U13 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[19]" is constrained to location LAB_X50_Y1_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[19]" is constrained to location LAB_X50_Y1_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[19]" is constrained to location LAB_X50_Y1_N0 to improve DDIO timing Info (176467): Node "VD[19]~input" is constrained to location IOIBUF_X50_Y0_N22 to improve DDIO timing Info (176467): Node "VD[19]" is constrained to location PIN V14 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[18]" is constrained to location LAB_X38_Y1_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[18]" is constrained to location LAB_X38_Y1_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[18]" is constrained to location LAB_X38_Y1_N0 to improve DDIO timing Info (176467): Node "VD[18]~input" is constrained to location IOIBUF_X38_Y0_N29 to improve DDIO timing Info (176467): Node "VD[18]" is constrained to location PIN AA13 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[17]" is constrained to location LAB_X43_Y1_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[17]" is constrained to location LAB_X43_Y1_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[17]" is constrained to location LAB_X43_Y1_N0 to improve DDIO timing Info (176467): Node "VD[17]~input" is constrained to location IOIBUF_X43_Y0_N22 to improve DDIO timing Info (176467): Node "VD[17]" is constrained to location PIN Y13 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[16]" is constrained to location LAB_X45_Y1_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[16]" is constrained to location LAB_X45_Y1_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[16]" is constrained to location LAB_X45_Y1_N0 to improve DDIO timing Info (176467): Node "VD[16]~input" is constrained to location IOIBUF_X45_Y0_N8 to improve DDIO timing Info (176467): Node "VD[16]" is constrained to location PIN T12 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[15]" is constrained to location LAB_X66_Y15_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[15]" is constrained to location LAB_X66_Y15_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[15]" is constrained to location LAB_X66_Y15_N0 to improve DDIO timing Info (176467): Node "VD[15]~input" is constrained to location IOIBUF_X67_Y15_N8 to improve DDIO timing Info (176467): Node "VD[15]" is constrained to location PIN N20 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[14]" is constrained to location LAB_X66_Y13_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[14]" is constrained to location LAB_X66_Y13_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[14]" is constrained to location LAB_X66_Y13_N0 to improve DDIO timing Info (176467): Node "VD[14]~input" is constrained to location IOIBUF_X67_Y13_N8 to improve DDIO timing Info (176467): Node "VD[14]" is constrained to location PIN R22 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[13]" is constrained to location LAB_X66_Y14_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[13]" is constrained to location LAB_X66_Y14_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[13]" is constrained to location LAB_X66_Y14_N0 to improve DDIO timing Info (176467): Node "VD[13]~input" is constrained to location IOIBUF_X67_Y14_N22 to improve DDIO timing Info (176467): Node "VD[13]" is constrained to location PIN P20 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[12]" is constrained to location LAB_X66_Y17_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[12]" is constrained to location LAB_X66_Y17_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[12]" is constrained to location LAB_X66_Y17_N0 to improve DDIO timing Info (176467): Node "VD[12]~input" is constrained to location IOIBUF_X67_Y17_N22 to improve DDIO timing Info (176467): Node "VD[12]" is constrained to location PIN N17 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[11]" is constrained to location LAB_X66_Y13_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[11]" is constrained to location LAB_X66_Y13_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[11]" is constrained to location LAB_X66_Y13_N0 to improve DDIO timing Info (176467): Node "VD[11]~input" is constrained to location IOIBUF_X67_Y13_N1 to improve DDIO timing Info (176467): Node "VD[11]" is constrained to location PIN R21 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[10]" is constrained to location LAB_X66_Y10_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[10]" is constrained to location LAB_X66_Y10_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[10]" is constrained to location LAB_X66_Y10_N0 to improve DDIO timing Info (176467): Node "VD[10]~input" is constrained to location IOIBUF_X67_Y10_N15 to improve DDIO timing Info (176467): Node "VD[10]" is constrained to location PIN P17 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[9]" is constrained to location LAB_X66_Y12_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[9]" is constrained to location LAB_X66_Y12_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[9]" is constrained to location LAB_X66_Y12_N0 to improve DDIO timing Info (176467): Node "VD[9]~input" is constrained to location IOIBUF_X67_Y12_N22 to improve DDIO timing Info (176467): Node "VD[9]" is constrained to location PIN R18 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[8]" is constrained to location LAB_X66_Y10_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[8]" is constrained to location LAB_X66_Y10_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[8]" is constrained to location LAB_X66_Y10_N0 to improve DDIO timing Info (176467): Node "VD[8]~input" is constrained to location IOIBUF_X67_Y10_N8 to improve DDIO timing Info (176467): Node "VD[8]" is constrained to location PIN V22 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[7]" is constrained to location LAB_X66_Y11_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[7]" is constrained to location LAB_X66_Y11_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[7]" is constrained to location LAB_X66_Y11_N0 to improve DDIO timing Info (176467): Node "VD[7]~input" is constrained to location IOIBUF_X67_Y11_N1 to improve DDIO timing Info (176467): Node "VD[7]" is constrained to location PIN U21 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[6]" is constrained to location LAB_X66_Y12_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[6]" is constrained to location LAB_X66_Y12_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[6]" is constrained to location LAB_X66_Y12_N0 to improve DDIO timing Info (176467): Node "VD[6]~input" is constrained to location IOIBUF_X67_Y12_N15 to improve DDIO timing Info (176467): Node "VD[6]" is constrained to location PIN R19 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[5]" is constrained to location LAB_X66_Y10_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[5]" is constrained to location LAB_X66_Y10_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[5]" is constrained to location LAB_X66_Y10_N0 to improve DDIO timing Info (176467): Node "VD[5]~input" is constrained to location IOIBUF_X67_Y10_N22 to improve DDIO timing Info (176467): Node "VD[5]" is constrained to location PIN R17 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[4]" is constrained to location LAB_X66_Y14_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[4]" is constrained to location LAB_X66_Y14_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[4]" is constrained to location LAB_X66_Y14_N0 to improve DDIO timing Info (176467): Node "VD[4]~input" is constrained to location IOIBUF_X67_Y14_N1 to improve DDIO timing Info (176467): Node "VD[4]" is constrained to location PIN P21 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[3]" is constrained to location LAB_X66_Y11_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[3]" is constrained to location LAB_X66_Y11_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[3]" is constrained to location LAB_X66_Y11_N0 to improve DDIO timing Info (176467): Node "VD[3]~input" is constrained to location IOIBUF_X67_Y11_N22 to improve DDIO timing Info (176467): Node "VD[3]" is constrained to location PIN R20 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[2]" is constrained to location LAB_X66_Y14_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[2]" is constrained to location LAB_X66_Y14_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[2]" is constrained to location LAB_X66_Y14_N0 to improve DDIO timing Info (176467): Node "VD[2]~input" is constrained to location IOIBUF_X67_Y14_N8 to improve DDIO timing Info (176467): Node "VD[2]" is constrained to location PIN P22 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[1]" is constrained to location LAB_X66_Y18_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[1]" is constrained to location LAB_X66_Y18_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[1]" is constrained to location LAB_X66_Y18_N0 to improve DDIO timing Info (176467): Node "VD[1]~input" is constrained to location IOIBUF_X67_Y18_N1 to improve DDIO timing Info (176467): Node "VD[1]" is constrained to location PIN M21 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[0]" is constrained to location LAB_X66_Y18_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[0]" is constrained to location LAB_X66_Y18_N0 to improve DDIO timing Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[0]" is constrained to location LAB_X66_Y18_N0 to improve DDIO timing Info (176467): Node "VD[0]~input" is constrained to location IOIBUF_X67_Y18_N8 to improve DDIO timing Info (176467): Node "VD[0]" is constrained to location PIN M22 to improve DDIO timing Info (176233): Starting register packing Extra Info (176273): Performing register packing on registers with non-logic cell location assignments Extra Info (176274): Completed register packing on registers with non-logic cell location assignments Extra Info (176236): Started Fast Input/Output/OE register processing Extra Info (176237): Finished Fast Input/Output/OE register processing Extra Info (176248): Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density Extra Info (176249): Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks Info (176235): Finished register packing Extra Info (176219): No registers were packed into other blocks Warning (15062): PLL "altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|pll1" in Source Synchronous mode with compensated output clock set to clk[0] is not fully compensated because it does not feed an I/O input register Warning (15055): PLL "altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|pll1" input clock inclk[0] is not fully compensated and may have reduced jitter performance because it is fed by a non-dedicated input Info (15024): Input port INCLK[0] of node "altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|pll1" is driven by CLK33M~inputclkctrl which is OUTCLK output port of Clock control block type node CLK33M~inputclkctrl Warning (15064): PLL "altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|pll1" output port clk[2] feeds output pin "CLK24M576~output" via non-dedicated routing -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance Warning (15064): PLL "altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|pll1" output port clk[2] feeds output pin "CLK25M~output" via non-dedicated routing -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance Warning (15064): PLL "altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|pll1" output port clk[3] feeds output pin "CLKUSB~output" via non-dedicated routing -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance Warning (15064): PLL "altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|pll1" output port clk[0] feeds output pin "DDR_CLK~output" via non-dedicated routing -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance Warning (15064): PLL "altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|pll1" output port clk[0] feeds output pin "nDDR_CLK~output" via non-dedicated routing -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance Warning (15064): PLL "altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|pll1" output port clk[0] feeds output pin "VDQS[0]~output" via non-dedicated routing -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance Warning (15064): PLL "altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|pll1" output port clk[0] feeds output pin "VDQS[1]~output" via non-dedicated routing -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance Warning (15064): PLL "altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|pll1" output port clk[0] feeds output pin "VDQS[2]~output" via non-dedicated routing -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance Warning (15064): PLL "altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|pll1" output port clk[0] feeds output pin "VDQS[3]~output" via non-dedicated routing -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance Warning (15055): PLL "altpll4:inst22|altpll:altpll_component|altpll_r4n2:auto_generated|pll1" input clock inclk[0] is not fully compensated and may have reduced jitter performance because it is fed by a non-dedicated input Info (15024): Input port INCLK[0] of node "altpll4:inst22|altpll:altpll_component|altpll_r4n2:auto_generated|pll1" is driven by altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[3]~clkctrl which is OUTCLK output port of Clock control block type node altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[3]~clkctrl Info (128000): Starting physical synthesis optimizations for speed Info (128001): Physical synthesis optimizations for speed complete: elapsed CPU time is 00:00:12 Info (171121): Fitter preparation operations ending: elapsed time is 00:00:35 Info (170189): Fitter placement preparation operations beginning Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:20 Info (170191): Fitter placement operations beginning Info (170137): Fitter placement was successful Info (170192): Fitter placement operations ending: elapsed time is 00:01:10 Info (128000): Starting physical synthesis optimizations for speed Info (128001): Physical synthesis optimizations for speed complete: elapsed CPU time is 00:00:09 Info (170193): Fitter routing operations beginning Info (170089): 8e+03 ns of routing delay (approximately 11.2% of available device routing delay) has been added to meet hold timing. For more information, refer to the Estimated Delay Added for Hold Timing section in the Fitter report. Info (170195): Router estimated average interconnect usage is 18% of the available device resources Info (170196): Router estimated peak interconnect usage is 61% of the available device resources in the region that extends from location X34_Y11 to location X44_Y21 Info (188005): Design requires adding a large amount of routing delay for some signals to meet hold time requirements, and there is an excessive demand for the available routing resources. The Fitter is reducing the routing delays of some signals to help the routing algorithm converge, but doing so may cause hold time failures. For more information, refer to the "Estimated Delay Added for Hold Timing" section in the Fitter report. Info (170194): Fitter routing operations ending: elapsed time is 00:13:48 Info (170199): The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. Info (170201): Optimizations that may affect the design's routability were skipped Info (334003): Started post-fitting delay annotation Info (334004): Delay annotation completed successfully Info (334003): Started post-fitting delay annotation Info (334004): Delay annotation completed successfully Warning (15062): PLL "altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|pll1" in Source Synchronous mode with compensated output clock set to clk[1] is not fully compensated because it does not feed an I/O input register Warning (15062): PLL "altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|pll1" in Source Synchronous mode with compensated output clock set to clk[0] is not fully compensated because it does not feed an I/O input register Warning (171167): Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information. Warning (169244): Total number of single-ended output or bi-directional pins in bank 4 have exceeded the recommended amount in a bank where dedicated LVDS, RSDS, or mini-LVDS outputs exists. Refer to the pad placement and DC guidelines section in the Cyclone III Device I/O Features chapter of the Cyclone III Device Handbook for details on this condition. Info (169245): There are 32 output pin(s) with I/O standard 2.5 V and current strength 12mA Info (169220): Location AA13 (pad PAD_208): Pin VD[18] of type bi-directional uses 2.5 V I/O standard Info (169220): Location AB13 (pad PAD_209): Pin VD[29] of type bi-directional uses 2.5 V I/O standard Info (169220): Location AA14 (pad PAD_210): Pin VD[25] of type bi-directional uses 2.5 V I/O standard Info (169220): Location AB14 (pad PAD_211): Pin VD[26] of type bi-directional uses 2.5 V I/O standard Info (169220): Location V12 (pad PAD_213): Pin VD[30] of type bi-directional uses 2.5 V I/O standard Info (169220): Location W13 (pad PAD_218): Pin VD[28] of type bi-directional uses 2.5 V I/O standard Info (169220): Location Y13 (pad PAD_219): Pin VD[17] of type bi-directional uses 2.5 V I/O standard Info (169220): Location AA15 (pad PAD_220): Pin VDQS[0] of type bi-directional uses 2.5 V I/O standard Info (169220): Location AB15 (pad PAD_221): Pin VD[24] of type bi-directional uses 2.5 V I/O standard Info (169220): Location U12 (pad PAD_222): Pin VD[31] of type bi-directional uses 2.5 V I/O standard Info (169220): Location AA16 (pad PAD_224): Pin VDM[0] of type output uses 2.5 V I/O standard Info (169220): Location AB16 (pad PAD_225): Pin VD[23] of type bi-directional uses 2.5 V I/O standard Info (169220): Location T12 (pad PAD_226): Pin VD[16] of type bi-directional uses 2.5 V I/O standard Info (169220): Location V13 (pad PAD_228): Pin VD[27] of type bi-directional uses 2.5 V I/O standard Info (169220): Location W14 (pad PAD_229): Pin VD[22] of type bi-directional uses 2.5 V I/O standard Info (169220): Location U13 (pad PAD_233): Pin VD[20] of type bi-directional uses 2.5 V I/O standard Info (169220): Location V14 (pad PAD_234): Pin VD[19] of type bi-directional uses 2.5 V I/O standard Info (169220): Location U15 (pad PAD_236): Pin VCKE of type output uses 2.5 V I/O standard Info (169220): Location V15 (pad PAD_237): Pin VD[21] of type bi-directional uses 2.5 V I/O standard Info (169220): Location W15 (pad PAD_239): Pin VDQS[1] of type bi-directional uses 2.5 V I/O standard Info (169220): Location AB18 (pad PAD_242): Pin nVCAS of type output uses 2.5 V I/O standard Info (169220): Location AA17 (pad PAD_243): Pin nDDR_CLK of type output uses 2.5 V I/O standard Info (169220): Location AB17 (pad PAD_244): Pin DDR_CLK of type output uses 2.5 V I/O standard Info (169220): Location AA18 (pad PAD_245): Pin VA[12] of type output uses 2.5 V I/O standard Info (169220): Location AA19 (pad PAD_252): Pin BA[1] of type output uses 2.5 V I/O standard Info (169220): Location AB19 (pad PAD_253): Pin VA[9] of type output uses 2.5 V I/O standard Info (169220): Location W17 (pad PAD_257): Pin nVRAS of type output uses 2.5 V I/O standard Info (169220): Location Y17 (pad PAD_258): Pin nVWE of type output uses 2.5 V I/O standard Info (169220): Location AA20 (pad PAD_259): Pin VA[7] of type output uses 2.5 V I/O standard Info (169220): Location AB20 (pad PAD_260): Pin VA[8] of type output uses 2.5 V I/O standard Info (169220): Location V16 (pad PAD_261): Pin VDM[1] of type output uses 2.5 V I/O standard Info (169220): Location T16 (pad PAD_266): Pin VDQS[3] of type bi-directional uses 2.5 V I/O standard Warning (169244): Total number of single-ended output or bi-directional pins in bank 5 have exceeded the recommended amount in a bank where dedicated LVDS, RSDS, or mini-LVDS outputs exists. Refer to the pad placement and DC guidelines section in the Cyclone III Device I/O Features chapter of the Cyclone III Device Handbook for details on this condition. Info (169245): There are 30 output pin(s) with I/O standard 2.5 V and current strength 12mA Info (169220): Location AA22 (pad PAD_273): Pin VA[4] of type output uses 2.5 V I/O standard Info (169220): Location AA21 (pad PAD_274): Pin VA[6] of type output uses 2.5 V I/O standard Info (169220): Location T17 (pad PAD_277): Pin VDM[3] of type output uses 2.5 V I/O standard Info (169220): Location T18 (pad PAD_278): Pin nVCS of type output uses 2.5 V I/O standard Info (169220): Location W20 (pad PAD_280): Pin VA[0] of type output uses 2.5 V I/O standard Info (169220): Location W19 (pad PAD_285): Pin BA[0] of type output uses 2.5 V I/O standard Info (169220): Location Y22 (pad PAD_288): Pin VA[3] of type output uses 2.5 V I/O standard Info (169220): Location Y21 (pad PAD_289): Pin VA[5] of type output uses 2.5 V I/O standard Info (169220): Location U20 (pad PAD_290): Pin VDM[2] of type output uses 2.5 V I/O standard Info (169220): Location U19 (pad PAD_291): Pin VA[11] of type output uses 2.5 V I/O standard Info (169220): Location W22 (pad PAD_292): Pin VA[1] of type output uses 2.5 V I/O standard Info (169220): Location W21 (pad PAD_293): Pin VA[2] of type output uses 2.5 V I/O standard Info (169220): Location R17 (pad PAD_301): Pin VD[5] of type bi-directional uses 2.5 V I/O standard Info (169220): Location P17 (pad PAD_302): Pin VD[10] of type bi-directional uses 2.5 V I/O standard Info (169220): Location V22 (pad PAD_303): Pin VD[8] of type bi-directional uses 2.5 V I/O standard Info (169220): Location V21 (pad PAD_304): Pin VA[10] of type output uses 2.5 V I/O standard Info (169220): Location R20 (pad PAD_305): Pin VD[3] of type bi-directional uses 2.5 V I/O standard Info (169220): Location U22 (pad PAD_307): Pin VDQS[2] of type bi-directional uses 2.5 V I/O standard Info (169220): Location U21 (pad PAD_308): Pin VD[7] of type bi-directional uses 2.5 V I/O standard Info (169220): Location R18 (pad PAD_309): Pin VD[9] of type bi-directional uses 2.5 V I/O standard Info (169220): Location R19 (pad PAD_310): Pin VD[6] of type bi-directional uses 2.5 V I/O standard Info (169220): Location R22 (pad PAD_315): Pin VD[14] of type bi-directional uses 2.5 V I/O standard Info (169220): Location R21 (pad PAD_316): Pin VD[11] of type bi-directional uses 2.5 V I/O standard Info (169220): Location P20 (pad PAD_317): Pin VD[13] of type bi-directional uses 2.5 V I/O standard Info (169220): Location P22 (pad PAD_319): Pin VD[2] of type bi-directional uses 2.5 V I/O standard Info (169220): Location P21 (pad PAD_320): Pin VD[4] of type bi-directional uses 2.5 V I/O standard Info (169220): Location N20 (pad PAD_323): Pin VD[15] of type bi-directional uses 2.5 V I/O standard Info (169220): Location N17 (pad PAD_329): Pin VD[12] of type bi-directional uses 2.5 V I/O standard Info (169220): Location M22 (pad PAD_333): Pin VD[0] of type bi-directional uses 2.5 V I/O standard Info (169220): Location M21 (pad PAD_334): Pin VD[1] of type bi-directional uses 2.5 V I/O standard Warning (169177): 145 pins must meet Altera requirements for 3.3-, 3.0-, and 2.5-V interfaces. For more information, refer to AN 447: Interfacing Cyclone III Devices with 3.3/3.0/2.5-V LVTTL/LVCMOS I/O Systems. Info (169178): Pin nFB_BURST uses I/O standard 3.3-V LVTTL at T3 Info (169178): Pin nACSI_DRQ uses I/O standard 3.3-V LVTTL at K7 Info (169178): Pin nACSI_INT uses I/O standard 3.3-V LVTTL at J4 Info (169178): Pin nSCSI_DRQ uses I/O standard 3.3-V LVTTL at U1 Info (169178): Pin nSCSI_MSG uses I/O standard 3.3-V LVTTL at H2 Info (169178): Pin nDCHG uses I/O standard 3.3-V LVTTL at C17 Info (169178): Pin SD_DATA0 uses I/O standard 3.3-V LVTTL at B16 Info (169178): Pin SD_DATA1 uses I/O standard 3.3-V LVTTL at A16 Info (169178): Pin SD_DATA2 uses I/O standard 3.3-V LVTTL at B17 Info (169178): Pin SD_CARD_DEDECT uses I/O standard 3.3-V LVTTL at M20 Info (169178): Pin SD_WP uses I/O standard 3.3-V LVTTL at M19 Info (169178): Pin nDACK0 uses I/O standard 3.3-V LVTTL at B12 Info (169178): Pin WP_CF_CARD uses I/O standard 3.3-V LVTTL at T1 Info (169178): Pin nSCSI_C_D uses I/O standard 3.3-V LVTTL at H1 Info (169178): Pin nSCSI_I_O uses I/O standard 3.3-V LVTTL at J3 Info (169178): Pin nFB_CS3 uses I/O standard 3.3-V LVTTL at V6 Info (169178): Pin TOUT0 uses I/O standard 3.3-V LVTTL at T22 Info (169178): Pin nMASTER uses I/O standard 3.3-V LVTTL at T21 Info (169178): Pin FB_AD[31] uses I/O standard 3.3-V LVTTL at AA10 Info (169178): Pin FB_AD[30] uses I/O standard 3.3-V LVTTL at Y10 Info (169178): Pin FB_AD[29] uses I/O standard 3.3-V LVTTL at W10 Info (169178): Pin FB_AD[28] uses I/O standard 3.3-V LVTTL at V11 Info (169178): Pin FB_AD[27] uses I/O standard 3.3-V LVTTL at U11 Info (169178): Pin FB_AD[26] uses I/O standard 3.3-V LVTTL at AB9 Info (169178): Pin FB_AD[25] uses I/O standard 3.3-V LVTTL at AA9 Info (169178): Pin FB_AD[24] uses I/O standard 3.3-V LVTTL at T11 Info (169178): Pin FB_AD[23] uses I/O standard 3.3-V LVTTL at AB8 Info (169178): Pin FB_AD[22] uses I/O standard 3.3-V LVTTL at AA8 Info (169178): Pin FB_AD[21] uses I/O standard 3.3-V LVTTL at U10 Info (169178): Pin FB_AD[20] uses I/O standard 3.3-V LVTTL at T10 Info (169178): Pin FB_AD[19] uses I/O standard 3.3-V LVTTL at V10 Info (169178): Pin FB_AD[18] uses I/O standard 3.3-V LVTTL at V9 Info (169178): Pin FB_AD[17] uses I/O standard 3.3-V LVTTL at Y8 Info (169178): Pin FB_AD[16] uses I/O standard 3.3-V LVTTL at AB7 Info (169178): Pin FB_AD[15] uses I/O standard 3.3-V LVTTL at AA7 Info (169178): Pin FB_AD[14] uses I/O standard 3.3-V LVTTL at W8 Info (169178): Pin FB_AD[13] uses I/O standard 3.3-V LVTTL at V8 Info (169178): Pin FB_AD[12] uses I/O standard 3.3-V LVTTL at U9 Info (169178): Pin FB_AD[11] uses I/O standard 3.3-V LVTTL at Y7 Info (169178): Pin FB_AD[10] uses I/O standard 3.3-V LVTTL at W7 Info (169178): Pin FB_AD[9] uses I/O standard 3.3-V LVTTL at AB5 Info (169178): Pin FB_AD[8] uses I/O standard 3.3-V LVTTL at AA5 Info (169178): Pin FB_AD[7] uses I/O standard 3.3-V LVTTL at AB4 Info (169178): Pin FB_AD[6] uses I/O standard 3.3-V LVTTL at AA4 Info (169178): Pin FB_AD[5] uses I/O standard 3.3-V LVTTL at V7 Info (169178): Pin FB_AD[4] uses I/O standard 3.3-V LVTTL at W6 Info (169178): Pin FB_AD[3] uses I/O standard 3.3-V LVTTL at AB3 Info (169178): Pin FB_AD[2] uses I/O standard 3.3-V LVTTL at AA3 Info (169178): Pin FB_AD[1] uses I/O standard 3.3-V LVTTL at Y6 Info (169178): Pin FB_AD[0] uses I/O standard 3.3-V LVTTL at Y3 Info (169178): Pin IO[17] uses I/O standard 3.3-V LVTTL at B13 Info (169178): Pin IO[16] uses I/O standard 3.3-V LVTTL at A13 Info (169178): Pin IO[15] uses I/O standard 3.3-V LVTTL at B14 Info (169178): Pin IO[14] uses I/O standard 3.3-V LVTTL at A14 Info (169178): Pin IO[13] uses I/O standard 3.3-V LVTTL at E13 Info (169178): Pin IO[12] uses I/O standard 3.3-V LVTTL at D13 Info (169178): Pin IO[11] uses I/O standard 3.3-V LVTTL at C13 Info (169178): Pin IO[10] uses I/O standard 3.3-V LVTTL at B15 Info (169178): Pin IO[9] uses I/O standard 3.3-V LVTTL at A15 Info (169178): Pin IO[8] uses I/O standard 3.3-V LVTTL at G10 Info (169178): Pin IO[7] uses I/O standard 3.3-V LVTTL at C7 Info (169178): Pin IO[6] uses I/O standard 3.3-V LVTTL at C8 Info (169178): Pin IO[5] uses I/O standard 3.3-V LVTTL at E9 Info (169178): Pin IO[4] uses I/O standard 3.3-V LVTTL at B6 Info (169178): Pin IO[3] uses I/O standard 3.3-V LVTTL at A6 Info (169178): Pin IO[2] uses I/O standard 3.3-V LVTTL at B7 Info (169178): Pin IO[1] uses I/O standard 3.3-V LVTTL at A7 Info (169178): Pin IO[0] uses I/O standard 3.3-V LVTTL at A8 Info (169178): Pin SRD[15] uses I/O standard 3.3-V LVTTL at H10 Info (169178): Pin SRD[14] uses I/O standard 3.3-V LVTTL at G9 Info (169178): Pin SRD[13] uses I/O standard 3.3-V LVTTL at F10 Info (169178): Pin SRD[12] uses I/O standard 3.3-V LVTTL at D10 Info (169178): Pin SRD[11] uses I/O standard 3.3-V LVTTL at B10 Info (169178): Pin SRD[10] uses I/O standard 3.3-V LVTTL at A9 Info (169178): Pin SRD[9] uses I/O standard 3.3-V LVTTL at A10 Info (169178): Pin SRD[8] uses I/O standard 3.3-V LVTTL at B9 Info (169178): Pin SRD[7] uses I/O standard 3.3-V LVTTL at H11 Info (169178): Pin SRD[6] uses I/O standard 3.3-V LVTTL at E10 Info (169178): Pin SRD[5] uses I/O standard 3.3-V LVTTL at F9 Info (169178): Pin SRD[4] uses I/O standard 3.3-V LVTTL at C10 Info (169178): Pin SRD[3] uses I/O standard 3.3-V LVTTL at G11 Info (169178): Pin SRD[2] uses I/O standard 3.3-V LVTTL at C6 Info (169178): Pin SRD[1] uses I/O standard 3.3-V LVTTL at A5 Info (169178): Pin SRD[0] uses I/O standard 3.3-V LVTTL at B5 Info (169178): Pin SCSI_PAR uses I/O standard 3.3-V LVTTL at M7 Info (169178): Pin nSCSI_SEL uses I/O standard 3.3-V LVTTL at M8 Info (169178): Pin nSCSI_BUSY uses I/O standard 3.3-V LVTTL at N8 Info (169178): Pin nSCSI_RST uses I/O standard 3.3-V LVTTL at N6 Info (169178): Pin SD_CD_DATA3 uses I/O standard 3.3-V LVTTL at F13 Info (169178): Pin SD_CMD_D1 uses I/O standard 3.3-V LVTTL at E14 Info (169178): Pin ACSI_D[7] uses I/O standard 3.3-V LVTTL at H6 Info (169178): Pin ACSI_D[6] uses I/O standard 3.3-V LVTTL at H7 Info (169178): Pin ACSI_D[5] uses I/O standard 3.3-V LVTTL at D2 Info (169178): Pin ACSI_D[4] uses I/O standard 3.3-V LVTTL at C1 Info (169178): Pin ACSI_D[3] uses I/O standard 3.3-V LVTTL at C2 Info (169178): Pin ACSI_D[2] uses I/O standard 3.3-V LVTTL at E3 Info (169178): Pin ACSI_D[1] uses I/O standard 3.3-V LVTTL at G5 Info (169178): Pin ACSI_D[0] uses I/O standard 3.3-V LVTTL at B1 Info (169178): Pin LP_D[7] uses I/O standard 3.3-V LVTTL at G8 Info (169178): Pin LP_D[6] uses I/O standard 3.3-V LVTTL at A3 Info (169178): Pin LP_D[5] uses I/O standard 3.3-V LVTTL at B3 Info (169178): Pin LP_D[4] uses I/O standard 3.3-V LVTTL at D6 Info (169178): Pin LP_D[3] uses I/O standard 3.3-V LVTTL at E7 Info (169178): Pin LP_D[2] uses I/O standard 3.3-V LVTTL at C3 Info (169178): Pin LP_D[1] uses I/O standard 3.3-V LVTTL at C4 Info (169178): Pin LP_D[0] uses I/O standard 3.3-V LVTTL at F7 Info (169178): Pin SCSI_D[7] uses I/O standard 3.3-V LVTTL at K8 Info (169178): Pin SCSI_D[6] uses I/O standard 3.3-V LVTTL at L8 Info (169178): Pin SCSI_D[5] uses I/O standard 3.3-V LVTTL at G3 Info (169178): Pin SCSI_D[4] uses I/O standard 3.3-V LVTTL at G4 Info (169178): Pin SCSI_D[3] uses I/O standard 3.3-V LVTTL at F1 Info (169178): Pin SCSI_D[2] uses I/O standard 3.3-V LVTTL at F2 Info (169178): Pin SCSI_D[1] uses I/O standard 3.3-V LVTTL at E1 Info (169178): Pin SCSI_D[0] uses I/O standard 3.3-V LVTTL at J6 Info (169178): Pin nRSTO_MCF uses I/O standard 3.3-V LVTTL at B11 Info (169178): Pin nFB_WR uses I/O standard 3.3-V LVTTL at T5 Info (169178): Pin nFB_CS1 uses I/O standard 3.3-V LVTTL at T8 Info (169178): Pin FB_SIZE1 uses I/O standard 3.3-V LVTTL at Y4 Info (169178): Pin FB_SIZE0 uses I/O standard 3.3-V LVTTL at U8 Info (169178): Pin FB_ALE uses I/O standard 3.3-V LVTTL at R7 Info (169178): Pin nFB_CS2 uses I/O standard 3.3-V LVTTL at T9 Info (169178): Pin MAIN_CLK uses I/O standard 3.3-V LVTTL at G2 Info (169178): Pin nDACK1 uses I/O standard 3.3-V LVTTL at A12 Info (169178): Pin nFB_OE uses I/O standard 3.3-V LVTTL at R6 Info (169178): Pin IDE_RDY uses I/O standard 3.3-V LVTTL at Y1 Info (169178): Pin CLK33M uses I/O standard 3.3-V LVTTL at AB12 Info (169178): Pin HD_DD uses I/O standard 3.3-V LVTTL at F16 Info (169178): Pin nINDEX uses I/O standard 3.3-V LVTTL at E16 Info (169178): Pin RxD uses I/O standard 3.3-V LVTTL at H15 Info (169178): Pin nWP uses I/O standard 3.3-V LVTTL at D19 Info (169178): Pin LP_BUSY uses I/O standard 3.3-V LVTTL at G7 Info (169178): Pin DCD uses I/O standard 3.3-V LVTTL at A19 Info (169178): Pin CTS uses I/O standard 3.3-V LVTTL at H14 Info (169178): Pin TRACK00 uses I/O standard 3.3-V LVTTL at C19 Info (169178): Pin RI uses I/O standard 3.3-V LVTTL at B19 Info (169178): Pin nPCI_INTD uses I/O standard 3.3-V LVTTL at P6 Info (169178): Pin nPCI_INTC uses I/O standard 3.3-V LVTTL at V3 Info (169178): Pin nPCI_INTB uses I/O standard 3.3-V LVTTL at V4 Info (169178): Pin nPCI_INTA uses I/O standard 3.3-V LVTTL at AA1 Info (169178): Pin DVI_INT uses I/O standard 3.3-V LVTTL at A11 Info (169178): Pin PIC_INT uses I/O standard 3.3-V LVTTL at AA2 Info (169178): Pin MIDI_IN uses I/O standard 3.3-V LVTTL at E12 Info (169178): Pin PIC_AMKB_RX uses I/O standard 3.3-V LVTTL at L7 Info (169178): Pin nRD_DATA uses I/O standard 3.3-V LVTTL at A20 Info (169178): Pin AMKB_RX uses I/O standard 3.3-V LVTTL at Y2 Warning (169064): Following 40 pins have no output enable or a GND or VCC output enable - later changes to this connectivity may change fitting results Info (169065): Pin IO[17] has a permanently enabled output enable Info (169065): Pin IO[16] has a permanently enabled output enable Info (169065): Pin IO[15] has a permanently enabled output enable Info (169065): Pin IO[14] has a permanently enabled output enable Info (169065): Pin IO[13] has a permanently enabled output enable Info (169065): Pin IO[12] has a permanently enabled output enable Info (169065): Pin IO[11] has a permanently enabled output enable Info (169065): Pin IO[10] has a permanently enabled output enable Info (169065): Pin IO[9] has a permanently enabled output enable Info (169065): Pin IO[8] has a permanently enabled output enable Info (169065): Pin IO[7] has a permanently enabled output enable Info (169065): Pin IO[6] has a permanently enabled output enable Info (169065): Pin IO[5] has a permanently enabled output enable Info (169065): Pin IO[4] has a permanently enabled output enable Info (169065): Pin IO[3] has a permanently enabled output enable Info (169065): Pin IO[2] has a permanently enabled output enable Info (169065): Pin IO[1] has a permanently enabled output enable Info (169065): Pin IO[0] has a permanently enabled output enable Info (169065): Pin SCSI_PAR has a permanently disabled output enable Info (169065): Pin nSCSI_SEL has a permanently enabled output enable Info (169065): Pin nSCSI_BUSY has a permanently enabled output enable Info (169065): Pin nSCSI_RST has a permanently disabled output enable Info (169065): Pin SD_CD_DATA3 has a permanently disabled output enable Info (169065): Pin SD_CMD_D1 has a permanently disabled output enable Info (169065): Pin ACSI_D[7] has a permanently disabled output enable Info (169065): Pin ACSI_D[6] has a permanently disabled output enable Info (169065): Pin ACSI_D[5] has a permanently disabled output enable Info (169065): Pin ACSI_D[4] has a permanently disabled output enable Info (169065): Pin ACSI_D[3] has a permanently disabled output enable Info (169065): Pin ACSI_D[2] has a permanently disabled output enable Info (169065): Pin ACSI_D[1] has a permanently disabled output enable Info (169065): Pin ACSI_D[0] has a permanently disabled output enable Info (169065): Pin SCSI_D[7] has a permanently disabled output enable Info (169065): Pin SCSI_D[6] has a permanently disabled output enable Info (169065): Pin SCSI_D[5] has a permanently disabled output enable Info (169065): Pin SCSI_D[4] has a permanently disabled output enable Info (169065): Pin SCSI_D[3] has a permanently disabled output enable Info (169065): Pin SCSI_D[2] has a permanently disabled output enable Info (169065): Pin SCSI_D[1] has a permanently disabled output enable Info (169065): Pin SCSI_D[0] has a permanently disabled output enable Info: Quartus II 32-bit Fitter was successful. 0 errors, 37 warnings Info: Peak virtual memory: 598 megabytes Info: Processing ended: Sat Oct 20 18:39:35 2012 Info: Elapsed time: 00:17:11 Info: Total CPU time (on all processors): 00:17:07