reformatted.
This commit is contained in:
File diff suppressed because it is too large
Load Diff
@@ -98,12 +98,12 @@ VARIABLE
|
|||||||
VDL_LWD[15..0] :DFFE;
|
VDL_LWD[15..0] :DFFE;
|
||||||
VDL_LWD_CS :NODE;
|
VDL_LWD_CS :NODE;
|
||||||
-- DIV. CONTROL REGISTER
|
-- DIV. CONTROL REGISTER
|
||||||
CLUT_TA :DFF; -- BRAUCHT EIN WAITSTAT
|
CLUT_TA :DFF; -- needs one wait state
|
||||||
HSYNC :DFF;
|
HSYNC :DFF;
|
||||||
HSYNC_I[7..0] :DFF;
|
HSYNC_I[7..0] :DFF;
|
||||||
HSY_LEN[7..0] :DFF; -- L<EFBFBD>NGE HSYNC PULS IN PIXEL_CLK
|
HSY_LEN[7..0] :DFF; -- length of hsync pulse in pixel_clk
|
||||||
HSYNC_START :DFF;
|
HSYNC_START :DFF;
|
||||||
LAST :DFF; -- LETZTES PIXEL EINER ZEILE ERREICHT
|
LAST :DFF; -- reached last pixel of a line
|
||||||
VSYNC :DFF;
|
VSYNC :DFF;
|
||||||
VSYNC_START :DFFE;
|
VSYNC_START :DFFE;
|
||||||
VSYNC_I[2..0] :DFFE;
|
VSYNC_I[2..0] :DFFE;
|
||||||
@@ -194,7 +194,7 @@ VARIABLE
|
|||||||
ACP_VCTR6_DUP : NODE;
|
ACP_VCTR6_DUP : NODE;
|
||||||
|
|
||||||
BEGIN
|
BEGIN
|
||||||
-- BYT SELECT 32 BIT
|
-- BYT SELECT 32 BIT
|
||||||
FB_B0 = FB_ADR[1..0]==0; -- ADR==0
|
FB_B0 = FB_ADR[1..0]==0; -- ADR==0
|
||||||
FB_B1 = FB_ADR[1..0]==1 -- ADR==1
|
FB_B1 = FB_ADR[1..0]==1 -- ADR==1
|
||||||
# FB_SIZE1 & !FB_SIZE0 & !FB_ADR1 -- HIGH WORD
|
# FB_SIZE1 & !FB_SIZE0 & !FB_ADR1 -- HIGH WORD
|
||||||
@@ -204,46 +204,75 @@ BEGIN
|
|||||||
FB_B3 = FB_ADR[1..0]==3 -- ADR==3
|
FB_B3 = FB_ADR[1..0]==3 -- ADR==3
|
||||||
# FB_SIZE1 & !FB_SIZE0 & FB_ADR1 -- LOW WORD
|
# FB_SIZE1 & !FB_SIZE0 & FB_ADR1 -- LOW WORD
|
||||||
# FB_SIZE1 & FB_SIZE0 # !FB_SIZE1 & !FB_SIZE0; -- LONG UND LINE
|
# FB_SIZE1 & FB_SIZE0 # !FB_SIZE1 & !FB_SIZE0; -- LONG UND LINE
|
||||||
-- BYT SELECT 16 BIT
|
|
||||||
|
-- BYT SELECT 16 BIT
|
||||||
FB_16B0 = FB_ADR[0]==0; -- ADR==0
|
FB_16B0 = FB_ADR[0]==0; -- ADR==0
|
||||||
FB_16B1 = FB_ADR[0]==1 -- ADR==1
|
FB_16B1 = FB_ADR[0]==1 -- ADR==1
|
||||||
# !(!FB_SIZE1 & FB_SIZE0); -- NOT BYT
|
# !(!FB_SIZE1 & FB_SIZE0); -- NOT BYT
|
||||||
-- ACP CLUT --
|
|
||||||
|
-- ACP CLUT --
|
||||||
ACP_CLUT_CS = !nFB_CS2 & FB_ADR[27..10]==H"0"; -- 0-3FF/1024
|
ACP_CLUT_CS = !nFB_CS2 & FB_ADR[27..10]==H"0"; -- 0-3FF/1024
|
||||||
ACP_CLUT_RD = ACP_CLUT_CS & !nFB_OE;
|
ACP_CLUT_RD = ACP_CLUT_CS & !nFB_OE;
|
||||||
ACP_CLUT_WR[] = FB_B[] & ACP_CLUT_CS & !nFB_WR;
|
ACP_CLUT_WR[] = FB_B[] & ACP_CLUT_CS & !nFB_WR;
|
||||||
CLUT_TA.CLK = MAIN_CLK;
|
|
||||||
|
CLUT_TA.CLK = MAIN_CLK;
|
||||||
CLUT_TA = (ACP_CLUT_CS # FALCON_CLUT_CS # ST_CLUT_CS) & !VIDEO_MOD_TA;
|
CLUT_TA = (ACP_CLUT_CS # FALCON_CLUT_CS # ST_CLUT_CS) & !VIDEO_MOD_TA;
|
||||||
--FALCON CLUT --
|
|
||||||
|
|
||||||
|
--FALCON CLUT --
|
||||||
FALCON_CLUT_CS = !nFB_CS1 & FB_ADR[19..10]==H"3E6"; -- $F9800/$400
|
FALCON_CLUT_CS = !nFB_CS1 & FB_ADR[19..10]==H"3E6"; -- $F9800/$400
|
||||||
FALCON_CLUT_RDH = FALCON_CLUT_CS & !nFB_OE & !FB_ADR1; -- HIGH WORD
|
FALCON_CLUT_RDH = FALCON_CLUT_CS & !nFB_OE & !FB_ADR1; -- HIGH WORD
|
||||||
FALCON_CLUT_RDL = FALCON_CLUT_CS & !nFB_OE & FB_ADR1; -- LOW WORD
|
FALCON_CLUT_RDL = FALCON_CLUT_CS & !nFB_OE & FB_ADR1; -- LOW WORD
|
||||||
FALCON_CLUT_WR[1..0] = FB_16B[] & !FB_ADR1 & FALCON_CLUT_CS & !nFB_WR;
|
FALCON_CLUT_WR[1..0] = FB_16B[] & !FB_ADR1 & FALCON_CLUT_CS & !nFB_WR;
|
||||||
FALCON_CLUT_WR[3..2] = FB_16B[] & FB_ADR1 & FALCON_CLUT_CS & !nFB_WR;
|
FALCON_CLUT_WR[3..2] = FB_16B[] & FB_ADR1 & FALCON_CLUT_CS & !nFB_WR;
|
||||||
-- ST CLUT --
|
|
||||||
|
|
||||||
|
-- ST CLUT --
|
||||||
ST_CLUT_CS = !nFB_CS1 & FB_ADR[19..5]==H"7C12"; -- $F8240/$20
|
ST_CLUT_CS = !nFB_CS1 & FB_ADR[19..5]==H"7C12"; -- $F8240/$20
|
||||||
ST_CLUT_RD = ST_CLUT_CS & !nFB_OE;
|
ST_CLUT_RD = ST_CLUT_CS & !nFB_OE;
|
||||||
ST_CLUT_WR[] = FB_16B[] & ST_CLUT_CS & !nFB_WR;
|
ST_CLUT_WR[] = FB_16B[] & ST_CLUT_CS & !nFB_WR;
|
||||||
-- ST SHIFT MODE
|
|
||||||
|
|
||||||
|
-- ST SHIFT MODE
|
||||||
ST_SHIFT_MODE[].CLK = MAIN_CLK;
|
ST_SHIFT_MODE[].CLK = MAIN_CLK;
|
||||||
ST_SHIFT_MODE_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C130"; -- $F8260/2
|
ST_SHIFT_MODE_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C130"; -- $F8260/2
|
||||||
ST_SHIFT_MODE[] = FB_AD[25..24];
|
ST_SHIFT_MODE[] = FB_AD[25..24];
|
||||||
ST_SHIFT_MODE[].ENA = ST_SHIFT_MODE_CS & !nFB_WR & FB_B0;
|
ST_SHIFT_MODE[].ENA = ST_SHIFT_MODE_CS & !nFB_WR & FB_B0;
|
||||||
COLOR1 = ST_SHIFT_MODE[]==B"10" & !COLOR8 & ST_VIDEO & !ACP_VIDEO_ON; -- MONO
|
|
||||||
|
COLOR1 = ST_SHIFT_MODE[]==B"10" & !COLOR8 & ST_VIDEO & !ACP_VIDEO_ON; -- MONO
|
||||||
COLOR2 = ST_SHIFT_MODE[]==B"01" & !COLOR8 & ST_VIDEO & !ACP_VIDEO_ON; -- 4 FARBEN
|
COLOR2 = ST_SHIFT_MODE[]==B"01" & !COLOR8 & ST_VIDEO & !ACP_VIDEO_ON; -- 4 FARBEN
|
||||||
COLOR4 = ST_SHIFT_MODE[]==B"00" & !COLOR8 & ST_VIDEO & !ACP_VIDEO_ON; -- 16 FARBEN
|
COLOR4 = ST_SHIFT_MODE[]==B"00" & !COLOR8 & ST_VIDEO & !ACP_VIDEO_ON; -- 16 FARBEN
|
||||||
-- FALCON SHIFT MODE
|
|
||||||
|
|
||||||
|
-- FALCON SHIFT MODE
|
||||||
FALCON_SHIFT_MODE[].CLK = MAIN_CLK;
|
FALCON_SHIFT_MODE[].CLK = MAIN_CLK;
|
||||||
FALCON_SHIFT_MODE_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C133"; -- $F8266/2
|
FALCON_SHIFT_MODE_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C133"; -- $F8266/2
|
||||||
FALCON_SHIFT_MODE[] = FB_AD[26..16];
|
FALCON_SHIFT_MODE[] = FB_AD[26..16];
|
||||||
FALCON_SHIFT_MODE[10..8].ENA = FALCON_SHIFT_MODE_CS & !nFB_WR & FB_B2;
|
FALCON_SHIFT_MODE[10..8].ENA = FALCON_SHIFT_MODE_CS & !nFB_WR & FB_B2;
|
||||||
FALCON_SHIFT_MODE[7..0].ENA = FALCON_SHIFT_MODE_CS & !nFB_WR & FB_B3;
|
FALCON_SHIFT_MODE[7..0].ENA = FALCON_SHIFT_MODE_CS & !nFB_WR & FB_B3;
|
||||||
CLUT_OFF[3..0] = FALCON_SHIFT_MODE[3..0] & COLOR4;
|
|
||||||
COLOR1 = FALCON_SHIFT_MODE10 & !COLOR16 & !COLOR8 & FALCON_VIDEO & !ACP_VIDEO_ON;
|
CLUT_OFF[3..0] = FALCON_SHIFT_MODE[3..0] & COLOR4;
|
||||||
|
|
||||||
|
COLOR1 = FALCON_SHIFT_MODE10 & !COLOR16 & !COLOR8 & FALCON_VIDEO & !ACP_VIDEO_ON;
|
||||||
COLOR8 = FALCON_SHIFT_MODE4 & !COLOR16 & FALCON_VIDEO & !ACP_VIDEO_ON;
|
COLOR8 = FALCON_SHIFT_MODE4 & !COLOR16 & FALCON_VIDEO & !ACP_VIDEO_ON;
|
||||||
COLOR16 = FALCON_SHIFT_MODE8 & FALCON_VIDEO & !ACP_VIDEO_ON;
|
COLOR16 = FALCON_SHIFT_MODE8 & FALCON_VIDEO & !ACP_VIDEO_ON;
|
||||||
COLOR4 = !COLOR1 & !COLOR16 & !COLOR8 & FALCON_VIDEO & !ACP_VIDEO_ON;
|
COLOR4 = !COLOR1 & !COLOR16 & !COLOR8 & FALCON_VIDEO & !ACP_VIDEO_ON;
|
||||||
-- ACP VIDEO CONTROL BIT 0=ACP VIDEO ON, 1=POWER ON VIDEO DAC, 2=ACP 24BIT,3=ACP 16BIT,4=ACP 8BIT,5=ACP 1BIT, 6=FALCON SHIFT MODE;7=ST SHIFT MODE;9..8= VCLK FREQUENZ;15=-SYNC ALLOWED; 31..16=VIDEO_RAM_CTR,25=RANDFARBE EINSCHALTEN, 26=STANDARD ATARI SYNCS
|
|
||||||
|
|
||||||
|
-- ACP VIDEO CONTROL
|
||||||
|
-- BIT 0=ACP VIDEO ON,
|
||||||
|
-- 1=POWER ON VIDEO DAC,
|
||||||
|
-- 2=ACP 24BIT,
|
||||||
|
-- 3=ACP 16BIT,
|
||||||
|
-- 4=ACP 8BIT,
|
||||||
|
-- 5=ACP 1BIT,
|
||||||
|
-- 6=FALCON SHIFT MODE,
|
||||||
|
-- 7=ST SHIFT MODE,
|
||||||
|
-- 9..8= VCLK FREQUENZ,
|
||||||
|
-- 15=-SYNC ALLOWED,
|
||||||
|
-- 31..16=VIDEO_RAM_CTR,
|
||||||
|
-- 25=RANDFARBE EINSCHALTEN,
|
||||||
|
-- 26=STANDARD ATARI SYNCS
|
||||||
ACP_VCTR[].CLK = MAIN_CLK;
|
ACP_VCTR[].CLK = MAIN_CLK;
|
||||||
ACP_VCTR_CS = !nFB_CS2 & FB_ADR[27..2]==H"100"; -- $400/4
|
ACP_VCTR_CS = !nFB_CS2 & FB_ADR[27..2]==H"100"; -- $400/4
|
||||||
ACP_VCTR[31..8] = FB_AD[31..8];
|
ACP_VCTR[31..8] = FB_AD[31..8];
|
||||||
@@ -254,9 +283,11 @@ BEGIN
|
|||||||
ACP_VCTR[5..0].ENA = ACP_VCTR_CS & FB_B3 & !nFB_WR;
|
ACP_VCTR[5..0].ENA = ACP_VCTR_CS & FB_B3 & !nFB_WR;
|
||||||
ACP_VIDEO_ON = ACP_VCTR0;
|
ACP_VIDEO_ON = ACP_VCTR0;
|
||||||
nPD_VGA = ACP_VCTR1;
|
nPD_VGA = ACP_VCTR1;
|
||||||
-- ATARI MODUS
|
|
||||||
|
-- ATARI MODUS
|
||||||
ATARI_SYNC = ACP_VCTR26; -- WENN 1 AUTOMATISCHE AUFL<46>SUNG
|
ATARI_SYNC = ACP_VCTR26; -- WENN 1 AUTOMATISCHE AUFL<46>SUNG
|
||||||
-- HORIZONTAL TIMING 640x480
|
|
||||||
|
-- HORIZONTAL TIMING 640x480
|
||||||
ATARI_HH[].CLK = MAIN_CLK;
|
ATARI_HH[].CLK = MAIN_CLK;
|
||||||
ATARI_HH_CS = !nFB_CS2 & FB_ADR[27..2]==H"104"; -- $410/4
|
ATARI_HH_CS = !nFB_CS2 & FB_ADR[27..2]==H"104"; -- $410/4
|
||||||
ATARI_HH[] = FB_AD[];
|
ATARI_HH[] = FB_AD[];
|
||||||
@@ -264,7 +295,8 @@ BEGIN
|
|||||||
ATARI_HH[23..16].ENA = ATARI_HH_CS & FB_B1 & !nFB_WR;
|
ATARI_HH[23..16].ENA = ATARI_HH_CS & FB_B1 & !nFB_WR;
|
||||||
ATARI_HH[15..8].ENA = ATARI_HH_CS & FB_B2 & !nFB_WR;
|
ATARI_HH[15..8].ENA = ATARI_HH_CS & FB_B2 & !nFB_WR;
|
||||||
ATARI_HH[7..0].ENA = ATARI_HH_CS & FB_B3 & !nFB_WR;
|
ATARI_HH[7..0].ENA = ATARI_HH_CS & FB_B3 & !nFB_WR;
|
||||||
-- VERTIKAL TIMING 640x480
|
|
||||||
|
-- VERTIKAL TIMING 640x480
|
||||||
ATARI_VH[].CLK = MAIN_CLK;
|
ATARI_VH[].CLK = MAIN_CLK;
|
||||||
ATARI_VH_CS = !nFB_CS2 & FB_ADR[27..2]==H"105"; -- $414/4
|
ATARI_VH_CS = !nFB_CS2 & FB_ADR[27..2]==H"105"; -- $414/4
|
||||||
ATARI_VH[] = FB_AD[];
|
ATARI_VH[] = FB_AD[];
|
||||||
@@ -272,7 +304,8 @@ BEGIN
|
|||||||
ATARI_VH[23..16].ENA = ATARI_VH_CS & FB_B1 & !nFB_WR;
|
ATARI_VH[23..16].ENA = ATARI_VH_CS & FB_B1 & !nFB_WR;
|
||||||
ATARI_VH[15..8].ENA = ATARI_VH_CS & FB_B2 & !nFB_WR;
|
ATARI_VH[15..8].ENA = ATARI_VH_CS & FB_B2 & !nFB_WR;
|
||||||
ATARI_VH[7..0].ENA = ATARI_VH_CS & FB_B3 & !nFB_WR;
|
ATARI_VH[7..0].ENA = ATARI_VH_CS & FB_B3 & !nFB_WR;
|
||||||
-- HORIZONTAL TIMING 320x240
|
|
||||||
|
-- HORIZONTAL TIMING 320x240
|
||||||
ATARI_HL[].CLK = MAIN_CLK;
|
ATARI_HL[].CLK = MAIN_CLK;
|
||||||
ATARI_HL_CS = !nFB_CS2 & FB_ADR[27..2]==H"106"; -- $418/4
|
ATARI_HL_CS = !nFB_CS2 & FB_ADR[27..2]==H"106"; -- $418/4
|
||||||
ATARI_HL[] = FB_AD[];
|
ATARI_HL[] = FB_AD[];
|
||||||
@@ -280,7 +313,8 @@ BEGIN
|
|||||||
ATARI_HL[23..16].ENA = ATARI_HL_CS & FB_B1 & !nFB_WR;
|
ATARI_HL[23..16].ENA = ATARI_HL_CS & FB_B1 & !nFB_WR;
|
||||||
ATARI_HL[15..8].ENA = ATARI_HL_CS & FB_B2 & !nFB_WR;
|
ATARI_HL[15..8].ENA = ATARI_HL_CS & FB_B2 & !nFB_WR;
|
||||||
ATARI_HL[7..0].ENA = ATARI_HL_CS & FB_B3 & !nFB_WR;
|
ATARI_HL[7..0].ENA = ATARI_HL_CS & FB_B3 & !nFB_WR;
|
||||||
-- VERTIKAL TIMING 320x240
|
|
||||||
|
-- VERTIKAL TIMING 320x240
|
||||||
ATARI_VL[].CLK = MAIN_CLK;
|
ATARI_VL[].CLK = MAIN_CLK;
|
||||||
ATARI_VL_CS = !nFB_CS2 & FB_ADR[27..2]==H"107"; -- $41C/4
|
ATARI_VL_CS = !nFB_CS2 & FB_ADR[27..2]==H"107"; -- $41C/4
|
||||||
ATARI_VL[] = FB_AD[];
|
ATARI_VL[] = FB_AD[];
|
||||||
@@ -288,7 +322,9 @@ BEGIN
|
|||||||
ATARI_VL[23..16].ENA = ATARI_VL_CS & FB_B1 & !nFB_WR;
|
ATARI_VL[23..16].ENA = ATARI_VL_CS & FB_B1 & !nFB_WR;
|
||||||
ATARI_VL[15..8].ENA = ATARI_VL_CS & FB_B2 & !nFB_WR;
|
ATARI_VL[15..8].ENA = ATARI_VL_CS & FB_B2 & !nFB_WR;
|
||||||
ATARI_VL[7..0].ENA = ATARI_VL_CS & FB_B3 & !nFB_WR;
|
ATARI_VL[7..0].ENA = ATARI_VL_CS & FB_B3 & !nFB_WR;
|
||||||
-- VIDEO PLL CONFIG
|
|
||||||
|
|
||||||
|
-- VIDEO PLL CONFIG
|
||||||
VIDEO_PLL_CONFIG_CS = !nFB_CS2 & FB_ADR[27..9]==H"3" & FB_B0 & FB_B1; -- $(F)000'0600-7FF ->6/2 WORD RESP LONG ONLY
|
VIDEO_PLL_CONFIG_CS = !nFB_CS2 & FB_ADR[27..9]==H"3" & FB_B0 & FB_B1; -- $(F)000'0600-7FF ->6/2 WORD RESP LONG ONLY
|
||||||
VR_WR.CLK = MAIN_CLK;
|
VR_WR.CLK = MAIN_CLK;
|
||||||
VR_WR = VIDEO_PLL_CONFIG_CS & !nFB_WR & !VR_BUSY & !VR_WR;
|
VR_WR = VIDEO_PLL_CONFIG_CS & !nFB_WR & !VR_BUSY & !VR_WR;
|
||||||
@@ -299,22 +335,26 @@ BEGIN
|
|||||||
VR_FRQ[].CLK = MAIN_CLK;
|
VR_FRQ[].CLK = MAIN_CLK;
|
||||||
VR_FRQ[].ENA = VR_WR & FB_ADR[8..0]==H"04";
|
VR_FRQ[].ENA = VR_WR & FB_ADR[8..0]==H"04";
|
||||||
VR_FRQ[] = FB_AD[23..16];
|
VR_FRQ[] = FB_AD[23..16];
|
||||||
-- VIDEO PLL RECONFIG
|
|
||||||
|
-- VIDEO PLL RECONFIG
|
||||||
VIDEO_PLL_RECONFIG_CS = !nFB_CS2 & FB_ADR[27..0]==H"800" & FB_B0; -- $(F)000'0800
|
VIDEO_PLL_RECONFIG_CS = !nFB_CS2 & FB_ADR[27..0]==H"800" & FB_B0; -- $(F)000'0800
|
||||||
VIDEO_RECONFIG.CLK = MAIN_CLK;
|
VIDEO_RECONFIG.CLK = MAIN_CLK;
|
||||||
VIDEO_RECONFIG = VIDEO_PLL_RECONFIG_CS & !nFB_WR & !VR_BUSY & !VIDEO_RECONFIG;
|
VIDEO_RECONFIG = VIDEO_PLL_RECONFIG_CS & !nFB_WR & !VR_BUSY & !VIDEO_RECONFIG;
|
||||||
------------------------------------------------------------------------------------------------------------------------
|
|
||||||
|
------------------------------------------------------------------------------------------------------------------------
|
||||||
VIDEO_RAM_CTR[] = ACP_VCTR[31..16];
|
VIDEO_RAM_CTR[] = ACP_VCTR[31..16];
|
||||||
-------------- COLOR MODE IM ACP SETZEN
|
|
||||||
|
-------------- COLOR MODE IM ACP SETZEN
|
||||||
COLOR1 = ACP_VCTR5 & !ACP_VCTR4 & !ACP_VCTR3 & !ACP_VCTR2 & ACP_VIDEO_ON;
|
COLOR1 = ACP_VCTR5 & !ACP_VCTR4 & !ACP_VCTR3 & !ACP_VCTR2 & ACP_VIDEO_ON;
|
||||||
COLOR8 = ACP_VCTR4 & !ACP_VCTR3 & !ACP_VCTR2 & ACP_VIDEO_ON;
|
COLOR8 = ACP_VCTR4 & !ACP_VCTR3 & !ACP_VCTR2 & ACP_VIDEO_ON;
|
||||||
COLOR16 = ACP_VCTR3 & !ACP_VCTR2 & ACP_VIDEO_ON;
|
COLOR16 = ACP_VCTR3 & !ACP_VCTR2 & ACP_VIDEO_ON;
|
||||||
COLOR24 = ACP_VCTR2 & ACP_VIDEO_ON;
|
COLOR24 = ACP_VCTR2 & ACP_VIDEO_ON;
|
||||||
ACP_CLUT = ACP_VIDEO_ON & (COLOR1 # COLOR8) # ST_VIDEO & COLOR1;
|
ACP_CLUT = ACP_VIDEO_ON & (COLOR1 # COLOR8) # ST_VIDEO & COLOR1;
|
||||||
-- ST ODER FALCON SHIFT MODE SETZEN WENN WRITE X..SHIFT REGISTER
|
|
||||||
|
-- ST ODER FALCON SHIFT MODE SETZEN WENN WRITE X..SHIFT REGISTER
|
||||||
ACP_VCTR7 = FALCON_SHIFT_MODE_CS & !nFB_WR & !ACP_VIDEO_ON;
|
ACP_VCTR7 = FALCON_SHIFT_MODE_CS & !nFB_WR & !ACP_VIDEO_ON;
|
||||||
|
|
||||||
-- duplicate ACP_VCTR6 according to TimeQuest reccomendations
|
-- duplicate ACP_VCTR6 according to TimeQuest recommendations
|
||||||
ACP_VCTR6_DUP = ST_SHIFT_MODE_CS & !nFB_WR & !ACP_VIDEO_ON;
|
ACP_VCTR6_DUP = ST_SHIFT_MODE_CS & !nFB_WR & !ACP_VIDEO_ON;
|
||||||
ACP_VCTR6 = ACP_VCTR6_DUP;
|
ACP_VCTR6 = ACP_VCTR6_DUP;
|
||||||
ACP_VCTR[7..6].ENA = FALCON_SHIFT_MODE_CS & !nFB_WR # ST_SHIFT_MODE_CS & !nFB_WR # ACP_VCTR_CS & FB_B3 & !nFB_WR & FB_AD0;
|
ACP_VCTR[7..6].ENA = FALCON_SHIFT_MODE_CS & !nFB_WR # ST_SHIFT_MODE_CS & !nFB_WR # ACP_VCTR_CS & FB_B3 & !nFB_WR & FB_AD0;
|
||||||
@@ -329,118 +369,140 @@ BEGIN
|
|||||||
# B"101" & COLOR16
|
# B"101" & COLOR16
|
||||||
# B"110" & COLOR24
|
# B"110" & COLOR24
|
||||||
# B"111" & RAND_ON;
|
# B"111" & RAND_ON;
|
||||||
-- DIVERSE (VIDEO)-REGISTER ----------------------------
|
|
||||||
-- RANDFARBE
|
-- DIVERSE (VIDEO)-REGISTER ----------------------------
|
||||||
|
|
||||||
|
-- RANDFARBE
|
||||||
CCR[].CLK = MAIN_CLK;
|
CCR[].CLK = MAIN_CLK;
|
||||||
CCR_CS = !nFB_CS2 & FB_ADR[27..2]==H"101"; -- $404/4
|
CCR_CS = !nFB_CS2 & FB_ADR[27..2]==H"101"; -- $404/4
|
||||||
CCR[] = FB_AD[23..0];
|
CCR[] = FB_AD[23..0];
|
||||||
CCR[23..16].ENA = CCR_CS & FB_B1 & !nFB_WR;
|
CCR[23..16].ENA = CCR_CS & FB_B1 & !nFB_WR;
|
||||||
CCR[15..8].ENA = CCR_CS & FB_B2 & !nFB_WR;
|
CCR[15..8].ENA = CCR_CS & FB_B2 & !nFB_WR;
|
||||||
CCR[7..0].ENA = CCR_CS & FB_B3 & !nFB_WR;
|
CCR[7..0].ENA = CCR_CS & FB_B3 & !nFB_WR;
|
||||||
--SYS CTR
|
|
||||||
|
--SYS CTR
|
||||||
SYS_CTR_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C003"; -- $8006/2
|
SYS_CTR_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C003"; -- $8006/2
|
||||||
SYS_CTR[].CLK = MAIN_CLK;
|
SYS_CTR[].CLK = MAIN_CLK;
|
||||||
SYS_CTR[6..0] = FB_AD[22..16];
|
SYS_CTR[6..0] = FB_AD[22..16];
|
||||||
SYS_CTR[6..0].ENA = SYS_CTR_CS & !nFB_WR & FB_B3;
|
SYS_CTR[6..0].ENA = SYS_CTR_CS & !nFB_WR & FB_B3;
|
||||||
BLITTER_ON = !SYS_CTR3;
|
BLITTER_ON = !SYS_CTR3;
|
||||||
--VDL_LOF
|
|
||||||
|
--VDL_LOF
|
||||||
VDL_LOF_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C107"; -- $820E/2
|
VDL_LOF_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C107"; -- $820E/2
|
||||||
VDL_LOF[].CLK = MAIN_CLK;
|
VDL_LOF[].CLK = MAIN_CLK;
|
||||||
VDL_LOF[] = FB_AD[31..16];
|
VDL_LOF[] = FB_AD[31..16];
|
||||||
VDL_LOF[15..8].ENA = VDL_LOF_CS & !nFB_WR & FB_B2;
|
VDL_LOF[15..8].ENA = VDL_LOF_CS & !nFB_WR & FB_B2;
|
||||||
VDL_LOF[7..0].ENA = VDL_LOF_CS & !nFB_WR & FB_B3;
|
VDL_LOF[7..0].ENA = VDL_LOF_CS & !nFB_WR & FB_B3;
|
||||||
--VDL_LWD
|
|
||||||
|
--VDL_LWD
|
||||||
VDL_LWD_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C108"; -- $8210/2
|
VDL_LWD_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C108"; -- $8210/2
|
||||||
VDL_LWD[].CLK = MAIN_CLK;
|
VDL_LWD[].CLK = MAIN_CLK;
|
||||||
VDL_LWD[] = FB_AD[31..16];
|
VDL_LWD[] = FB_AD[31..16];
|
||||||
VDL_LWD[15..8].ENA = VDL_LWD_CS & !nFB_WR & FB_B0;
|
VDL_LWD[15..8].ENA = VDL_LWD_CS & !nFB_WR & FB_B0;
|
||||||
VDL_LWD[7..0].ENA = VDL_LWD_CS & !nFB_WR & FB_B1;
|
VDL_LWD[7..0].ENA = VDL_LWD_CS & !nFB_WR & FB_B1;
|
||||||
-- HORIZONTAL
|
|
||||||
-- VDL_HHT
|
-- HORIZONTAL
|
||||||
|
|
||||||
|
-- VDL_HHT
|
||||||
VDL_HHT_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C141"; -- $8282/2
|
VDL_HHT_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C141"; -- $8282/2
|
||||||
VDL_HHT[].CLK = MAIN_CLK;
|
VDL_HHT[].CLK = MAIN_CLK;
|
||||||
VDL_HHT[] = FB_AD[27..16];
|
VDL_HHT[] = FB_AD[27..16];
|
||||||
VDL_HHT[11..8].ENA = VDL_HHT_CS & !nFB_WR & FB_B2;
|
VDL_HHT[11..8].ENA = VDL_HHT_CS & !nFB_WR & FB_B2;
|
||||||
VDL_HHT[7..0].ENA = VDL_HHT_CS & !nFB_WR & FB_B3;
|
VDL_HHT[7..0].ENA = VDL_HHT_CS & !nFB_WR & FB_B3;
|
||||||
-- VDL_HBE
|
|
||||||
|
-- VDL_HBE
|
||||||
VDL_HBE_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C143"; -- $8286/2
|
VDL_HBE_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C143"; -- $8286/2
|
||||||
VDL_HBE[].CLK = MAIN_CLK;
|
VDL_HBE[].CLK = MAIN_CLK;
|
||||||
VDL_HBE[] = FB_AD[27..16];
|
VDL_HBE[] = FB_AD[27..16];
|
||||||
VDL_HBE[11..8].ENA = VDL_HBE_CS & !nFB_WR & FB_B2;
|
VDL_HBE[11..8].ENA = VDL_HBE_CS & !nFB_WR & FB_B2;
|
||||||
VDL_HBE[7..0].ENA = VDL_HBE_CS & !nFB_WR & FB_B3;
|
VDL_HBE[7..0].ENA = VDL_HBE_CS & !nFB_WR & FB_B3;
|
||||||
-- VDL_HDB
|
|
||||||
|
-- VDL_HDB
|
||||||
VDL_HDB_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C144"; -- $8288/2
|
VDL_HDB_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C144"; -- $8288/2
|
||||||
VDL_HDB[].CLK = MAIN_CLK;
|
VDL_HDB[].CLK = MAIN_CLK;
|
||||||
VDL_HDB[] = FB_AD[27..16];
|
VDL_HDB[] = FB_AD[27..16];
|
||||||
VDL_HDB[11..8].ENA = VDL_HDB_CS & !nFB_WR & FB_B0;
|
VDL_HDB[11..8].ENA = VDL_HDB_CS & !nFB_WR & FB_B0;
|
||||||
VDL_HDB[7..0].ENA = VDL_HDB_CS & !nFB_WR & FB_B1;
|
VDL_HDB[7..0].ENA = VDL_HDB_CS & !nFB_WR & FB_B1;
|
||||||
-- VDL_HDE
|
|
||||||
|
-- VDL_HDE
|
||||||
VDL_HDE_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C145"; -- $828A/2
|
VDL_HDE_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C145"; -- $828A/2
|
||||||
VDL_HDE[].CLK = MAIN_CLK;
|
VDL_HDE[].CLK = MAIN_CLK;
|
||||||
VDL_HDE[] = FB_AD[27..16];
|
VDL_HDE[] = FB_AD[27..16];
|
||||||
VDL_HDE[11..8].ENA = VDL_HDE_CS & !nFB_WR & FB_B2;
|
VDL_HDE[11..8].ENA = VDL_HDE_CS & !nFB_WR & FB_B2;
|
||||||
VDL_HDE[7..0].ENA = VDL_HDE_CS & !nFB_WR & FB_B3;
|
VDL_HDE[7..0].ENA = VDL_HDE_CS & !nFB_WR & FB_B3;
|
||||||
-- VDL_HBB
|
|
||||||
|
-- VDL_HBB
|
||||||
VDL_HBB_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C142"; -- $8284/2
|
VDL_HBB_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C142"; -- $8284/2
|
||||||
VDL_HBB[].CLK = MAIN_CLK;
|
VDL_HBB[].CLK = MAIN_CLK;
|
||||||
VDL_HBB[] = FB_AD[27..16];
|
VDL_HBB[] = FB_AD[27..16];
|
||||||
VDL_HBB[11..8].ENA = VDL_HBB_CS & !nFB_WR & FB_B0;
|
VDL_HBB[11..8].ENA = VDL_HBB_CS & !nFB_WR & FB_B0;
|
||||||
VDL_HBB[7..0].ENA = VDL_HBB_CS & !nFB_WR & FB_B1;
|
VDL_HBB[7..0].ENA = VDL_HBB_CS & !nFB_WR & FB_B1;
|
||||||
-- VDL_HSS
|
|
||||||
|
-- VDL_HSS
|
||||||
VDL_HSS_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C146"; -- $828C/2
|
VDL_HSS_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C146"; -- $828C/2
|
||||||
VDL_HSS[].CLK = MAIN_CLK;
|
VDL_HSS[].CLK = MAIN_CLK;
|
||||||
VDL_HSS[] = FB_AD[27..16];
|
VDL_HSS[] = FB_AD[27..16];
|
||||||
VDL_HSS[11..8].ENA = VDL_HSS_CS & !nFB_WR & FB_B0;
|
VDL_HSS[11..8].ENA = VDL_HSS_CS & !nFB_WR & FB_B0;
|
||||||
VDL_HSS[7..0].ENA = VDL_HSS_CS & !nFB_WR & FB_B1;
|
VDL_HSS[7..0].ENA = VDL_HSS_CS & !nFB_WR & FB_B1;
|
||||||
-- VERTIKAL
|
|
||||||
-- VDL_VBE
|
-- VERTIKAL
|
||||||
|
|
||||||
|
-- VDL_VBE
|
||||||
VDL_VBE_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C153"; -- $82A6/2
|
VDL_VBE_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C153"; -- $82A6/2
|
||||||
VDL_VBE[].CLK = MAIN_CLK;
|
VDL_VBE[].CLK = MAIN_CLK;
|
||||||
VDL_VBE[] = FB_AD[26..16];
|
VDL_VBE[] = FB_AD[26..16];
|
||||||
VDL_VBE[10..8].ENA = VDL_VBE_CS & !nFB_WR & FB_B2;
|
VDL_VBE[10..8].ENA = VDL_VBE_CS & !nFB_WR & FB_B2;
|
||||||
VDL_VBE[7..0].ENA = VDL_VBE_CS & !nFB_WR & FB_B3;
|
VDL_VBE[7..0].ENA = VDL_VBE_CS & !nFB_WR & FB_B3;
|
||||||
-- VDL_VDB
|
|
||||||
|
-- VDL_VDB
|
||||||
VDL_VDB_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C154"; -- $82A8/2
|
VDL_VDB_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C154"; -- $82A8/2
|
||||||
VDL_VDB[].CLK = MAIN_CLK;
|
VDL_VDB[].CLK = MAIN_CLK;
|
||||||
VDL_VDB[] = FB_AD[26..16];
|
VDL_VDB[] = FB_AD[26..16];
|
||||||
VDL_VDB[10..8].ENA = VDL_VDB_CS & !nFB_WR & FB_B0;
|
VDL_VDB[10..8].ENA = VDL_VDB_CS & !nFB_WR & FB_B0;
|
||||||
VDL_VDB[7..0].ENA = VDL_VDB_CS & !nFB_WR & FB_B1;
|
VDL_VDB[7..0].ENA = VDL_VDB_CS & !nFB_WR & FB_B1;
|
||||||
-- VDL_VDE
|
|
||||||
|
-- VDL_VDE
|
||||||
VDL_VDE_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C155"; -- $82AA/2
|
VDL_VDE_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C155"; -- $82AA/2
|
||||||
VDL_VDE[].CLK = MAIN_CLK;
|
VDL_VDE[].CLK = MAIN_CLK;
|
||||||
VDL_VDE[] = FB_AD[26..16];
|
VDL_VDE[] = FB_AD[26..16];
|
||||||
VDL_VDE[10..8].ENA = VDL_VDE_CS & !nFB_WR & FB_B2;
|
VDL_VDE[10..8].ENA = VDL_VDE_CS & !nFB_WR & FB_B2;
|
||||||
VDL_VDE[7..0].ENA = VDL_VDE_CS & !nFB_WR & FB_B3;
|
VDL_VDE[7..0].ENA = VDL_VDE_CS & !nFB_WR & FB_B3;
|
||||||
-- VDL_VBB
|
|
||||||
|
-- VDL_VBB
|
||||||
VDL_VBB_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C152"; -- $82A4/2
|
VDL_VBB_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C152"; -- $82A4/2
|
||||||
VDL_VBB[].CLK = MAIN_CLK;
|
VDL_VBB[].CLK = MAIN_CLK;
|
||||||
VDL_VBB[] = FB_AD[26..16];
|
VDL_VBB[] = FB_AD[26..16];
|
||||||
VDL_VBB[10..8].ENA = VDL_VBB_CS & !nFB_WR & FB_B0;
|
VDL_VBB[10..8].ENA = VDL_VBB_CS & !nFB_WR & FB_B0;
|
||||||
VDL_VBB[7..0].ENA = VDL_VBB_CS & !nFB_WR & FB_B1;
|
VDL_VBB[7..0].ENA = VDL_VBB_CS & !nFB_WR & FB_B1;
|
||||||
-- VDL_VSS
|
|
||||||
|
-- VDL_VSS
|
||||||
VDL_VSS_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C156"; -- $82AC/2
|
VDL_VSS_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C156"; -- $82AC/2
|
||||||
VDL_VSS[].CLK = MAIN_CLK;
|
VDL_VSS[].CLK = MAIN_CLK;
|
||||||
VDL_VSS[] = FB_AD[26..16];
|
VDL_VSS[] = FB_AD[26..16];
|
||||||
VDL_VSS[10..8].ENA = VDL_VSS_CS & !nFB_WR & FB_B0;
|
VDL_VSS[10..8].ENA = VDL_VSS_CS & !nFB_WR & FB_B0;
|
||||||
VDL_VSS[7..0].ENA = VDL_VSS_CS & !nFB_WR & FB_B1;
|
VDL_VSS[7..0].ENA = VDL_VSS_CS & !nFB_WR & FB_B1;
|
||||||
-- VDL_VFT
|
|
||||||
|
-- VDL_VFT
|
||||||
VDL_VFT_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C151"; -- $82A2/2
|
VDL_VFT_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C151"; -- $82A2/2
|
||||||
VDL_VFT[].CLK = MAIN_CLK;
|
VDL_VFT[].CLK = MAIN_CLK;
|
||||||
VDL_VFT[] = FB_AD[26..16];
|
VDL_VFT[] = FB_AD[26..16];
|
||||||
VDL_VFT[10..8].ENA = VDL_VFT_CS & !nFB_WR & FB_B2;
|
VDL_VFT[10..8].ENA = VDL_VFT_CS & !nFB_WR & FB_B2;
|
||||||
VDL_VFT[7..0].ENA = VDL_VFT_CS & !nFB_WR & FB_B3;
|
VDL_VFT[7..0].ENA = VDL_VFT_CS & !nFB_WR & FB_B3;
|
||||||
-- VDL_VCT
|
|
||||||
|
-- VDL_VCT
|
||||||
VDL_VCT_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C160"; -- $82C0/2
|
VDL_VCT_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C160"; -- $82C0/2
|
||||||
VDL_VCT[].CLK = MAIN_CLK;
|
VDL_VCT[].CLK = MAIN_CLK;
|
||||||
VDL_VCT[] = FB_AD[24..16];
|
VDL_VCT[] = FB_AD[24..16];
|
||||||
VDL_VCT[8].ENA = VDL_VCT_CS & !nFB_WR & FB_B0;
|
VDL_VCT[8].ENA = VDL_VCT_CS & !nFB_WR & FB_B0;
|
||||||
VDL_VCT[7..0].ENA = VDL_VCT_CS & !nFB_WR & FB_B1;
|
VDL_VCT[7..0].ENA = VDL_VCT_CS & !nFB_WR & FB_B1;
|
||||||
-- VDL_VMD
|
|
||||||
|
-- VDL_VMD
|
||||||
VDL_VMD_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C161"; -- $82C2/2
|
VDL_VMD_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C161"; -- $82C2/2
|
||||||
VDL_VMD[].CLK = MAIN_CLK;
|
VDL_VMD[].CLK = MAIN_CLK;
|
||||||
VDL_VMD[] = FB_AD[19..16];
|
VDL_VMD[] = FB_AD[19..16];
|
||||||
VDL_VMD[3..0].ENA = VDL_VMD_CS & !nFB_WR & FB_B3;
|
VDL_VMD[3..0].ENA = VDL_VMD_CS & !nFB_WR & FB_B3;
|
||||||
--- REGISTER OUT
|
|
||||||
|
--- REGISTER OUT
|
||||||
FB_AD[31..16] = lpm_bustri_WORD(
|
FB_AD[31..16] = lpm_bustri_WORD(
|
||||||
ST_SHIFT_MODE_CS & (0,ST_SHIFT_MODE[],B"00000000")
|
ST_SHIFT_MODE_CS & (0,ST_SHIFT_MODE[],B"00000000")
|
||||||
# FALCON_SHIFT_MODE_CS & (0,FALCON_SHIFT_MODE[])
|
# FALCON_SHIFT_MODE_CS & (0,FALCON_SHIFT_MODE[])
|
||||||
@@ -488,7 +550,8 @@ BEGIN
|
|||||||
# ATARI_HH_CS # ATARI_VH_CS # ATARI_HL_CS # ATARI_VL_CS
|
# ATARI_HH_CS # ATARI_VH_CS # ATARI_HL_CS # ATARI_VL_CS
|
||||||
# VDL_VBE_CS # VDL_VDB_CS # VDL_VDE_CS # VDL_VBB_CS # VDL_VSS_CS # VDL_VFT_CS # VDL_VCT_CS # VDL_VMD_CS;
|
# VDL_VBE_CS # VDL_VDB_CS # VDL_VDE_CS # VDL_VBB_CS # VDL_VSS_CS # VDL_VFT_CS # VDL_VCT_CS # VDL_VMD_CS;
|
||||||
|
|
||||||
-- VIDEO AUSGABE SETZEN
|
|
||||||
|
-- VIDEO AUSGABE SETZEN
|
||||||
CLK17M.CLK = CLK33M;
|
CLK17M.CLK = CLK33M;
|
||||||
CLK17M = !CLK17M;
|
CLK17M = !CLK17M;
|
||||||
CLK13M.CLK = CLK25M;
|
CLK13M.CLK = CLK25M;
|
||||||
@@ -500,9 +563,10 @@ BEGIN
|
|||||||
# CLK25M & ACP_VIDEO_ON & ACP_VCTR[9..8]==B"00"
|
# CLK25M & ACP_VIDEO_ON & ACP_VCTR[9..8]==B"00"
|
||||||
# CLK33M & ACP_VIDEO_ON & ACP_VCTR[9..8]==B"01"
|
# CLK33M & ACP_VIDEO_ON & ACP_VCTR[9..8]==B"01"
|
||||||
# CLK_VIDEO & ACP_VIDEO_ON & ACP_VCTR[9];
|
# CLK_VIDEO & ACP_VIDEO_ON & ACP_VCTR[9];
|
||||||
--------------------------------------------------------------
|
|
||||||
-- HORIZONTALE SYNC L<>NGE in PIXEL_CLK
|
--------------------------------------------------------------
|
||||||
----------------------------------------------------------------
|
-- HORIZONTALE SYNC L<>NGE in PIXEL_CLK
|
||||||
|
----------------------------------------------------------------
|
||||||
HSY_LEN[].CLK = MAIN_CLK;
|
HSY_LEN[].CLK = MAIN_CLK;
|
||||||
HSY_LEN[] = 14 & !ACP_VIDEO_ON & (FALCON_VIDEO # ST_VIDEO) & ( VDL_VMD2 & VDL_VCT2 # VDL_VCT0)
|
HSY_LEN[] = 14 & !ACP_VIDEO_ON & (FALCON_VIDEO # ST_VIDEO) & ( VDL_VMD2 & VDL_VCT2 # VDL_VCT0)
|
||||||
# 16 & !ACP_VIDEO_ON & (FALCON_VIDEO # ST_VIDEO) & ( VDL_VMD2 & !VDL_VCT2 # VDL_VCT0)
|
# 16 & !ACP_VIDEO_ON & (FALCON_VIDEO # ST_VIDEO) & ( VDL_VMD2 & !VDL_VCT2 # VDL_VCT0)
|
||||||
@@ -521,7 +585,8 @@ BEGIN
|
|||||||
HDIS_LEN[] = 320 & VDL_VMD2 -- BREITE IN PIXELN
|
HDIS_LEN[] = 320 & VDL_VMD2 -- BREITE IN PIXELN
|
||||||
# 640 & !VDL_VMD2;
|
# 640 & !VDL_VMD2;
|
||||||
|
|
||||||
-- DOPPELZEILENMODUS
|
|
||||||
|
-- DOPPELZEILENMODUS
|
||||||
DOP_ZEI.CLK = MAIN_CLK;
|
DOP_ZEI.CLK = MAIN_CLK;
|
||||||
DOP_ZEI = VDL_VMD0 & ST_VIDEO; -- ZEILENVERDOPPELUNG EIN AUS
|
DOP_ZEI = VDL_VMD0 & ST_VIDEO; -- ZEILENVERDOPPELUNG EIN AUS
|
||||||
INTER_ZEI.CLK = PIXEL_CLK;
|
INTER_ZEI.CLK = PIXEL_CLK;
|
||||||
@@ -570,7 +635,8 @@ BEGIN
|
|||||||
# ATARI_VL[26..16] & !ACP_VIDEO_ON & ATARI_SYNC & VDL_VMD2
|
# ATARI_VL[26..16] & !ACP_VIDEO_ON & ATARI_SYNC & VDL_VMD2
|
||||||
# ATARI_VH[26..16] & !ACP_VIDEO_ON & ATARI_SYNC & !VDL_VMD2
|
# ATARI_VH[26..16] & !ACP_VIDEO_ON & ATARI_SYNC & !VDL_VMD2
|
||||||
# (0,VDL_VFT[10..1]) & !ACP_VIDEO_ON & !ATARI_SYNC;
|
# (0,VDL_VFT[10..1]) & !ACP_VIDEO_ON & !ATARI_SYNC;
|
||||||
-- Z<>HLER
|
|
||||||
|
-- Z<>HLER
|
||||||
LAST.CLK = PIXEL_CLK;
|
LAST.CLK = PIXEL_CLK;
|
||||||
LAST = VHCNT[]==(H_TOTAL[]-2);
|
LAST = VHCNT[]==(H_TOTAL[]-2);
|
||||||
VHCNT[].CLK = PIXEL_CLK;
|
VHCNT[].CLK = PIXEL_CLK;
|
||||||
@@ -578,7 +644,8 @@ BEGIN
|
|||||||
VVCNT[].CLK = PIXEL_CLK;
|
VVCNT[].CLK = PIXEL_CLK;
|
||||||
VVCNT[].ENA = LAST;
|
VVCNT[].ENA = LAST;
|
||||||
VVCNT[] = (VVCNT[] + 1) & (VVCNT[]!=V_TOTAL[]-1);
|
VVCNT[] = (VVCNT[] + 1) & (VVCNT[]!=V_TOTAL[]-1);
|
||||||
-- DISPLAY ON OFF
|
|
||||||
|
-- DISPLAY ON OFF
|
||||||
DPO_ZL.CLK = PIXEL_CLK;
|
DPO_ZL.CLK = PIXEL_CLK;
|
||||||
DPO_ZL = (VVCNT[]>RAND_OBEN[]-1) & (VVCNT[]<RAND_UNTEN[]-1); -- 1 ZEILE DAVOR ON OFF
|
DPO_ZL = (VVCNT[]>RAND_OBEN[]-1) & (VVCNT[]<RAND_UNTEN[]-1); -- 1 ZEILE DAVOR ON OFF
|
||||||
DPO_ZL.ENA = LAST; -- AM ZEILENENDE <20>BERNEHMEN
|
DPO_ZL.ENA = LAST; -- AM ZEILENENDE <20>BERNEHMEN
|
||||||
@@ -589,7 +656,8 @@ BEGIN
|
|||||||
DISP_ON.CLK = PIXEL_CLK;
|
DISP_ON.CLK = PIXEL_CLK;
|
||||||
DISP_ON = DISP_ON & !DPO_OFF
|
DISP_ON = DISP_ON & !DPO_OFF
|
||||||
# DPO_ON & DPO_ZL;
|
# DPO_ON & DPO_ZL;
|
||||||
-- DATENTRANSFER ON OFF
|
|
||||||
|
-- DATENTRANSFER ON OFF
|
||||||
VDO_ON.CLK = PIXEL_CLK;
|
VDO_ON.CLK = PIXEL_CLK;
|
||||||
VDO_ON = VHCNT[]==(HDIS_START[]-1); -- BESSER EINZELN WEGEN TIMING
|
VDO_ON = VHCNT[]==(HDIS_START[]-1); -- BESSER EINZELN WEGEN TIMING
|
||||||
VDO_OFF.CLK = PIXEL_CLK;
|
VDO_OFF.CLK = PIXEL_CLK;
|
||||||
@@ -600,20 +668,23 @@ BEGIN
|
|||||||
VDTRON.CLK = PIXEL_CLK;
|
VDTRON.CLK = PIXEL_CLK;
|
||||||
VDTRON = VDTRON & !VDO_OFF
|
VDTRON = VDTRON & !VDO_OFF
|
||||||
# VDO_ON & VDO_ZL;
|
# VDO_ON & VDO_ZL;
|
||||||
-- VERZ<52>GERUNG UND SYNC
|
|
||||||
|
-- VERZ<52>GERUNG UND SYNC
|
||||||
HSYNC_START.CLK = PIXEL_CLK;
|
HSYNC_START.CLK = PIXEL_CLK;
|
||||||
HSYNC_START = VHCNT[]==HS_START[]-3;
|
HSYNC_START = VHCNT[]==HS_START[]-3;
|
||||||
HSYNC_I[].CLK = PIXEL_CLK;
|
HSYNC_I[].CLK = PIXEL_CLK;
|
||||||
HSYNC_I[] = HSY_LEN[] & HSYNC_START
|
HSYNC_I[] = HSY_LEN[] & HSYNC_START
|
||||||
# (HSYNC_I[]-1) & !HSYNC_START & HSYNC_I[]!=0;
|
# (HSYNC_I[]-1) & !HSYNC_START & HSYNC_I[]!=0;
|
||||||
VSYNC_START.CLK = PIXEL_CLK;
|
|
||||||
|
VSYNC_START.CLK = PIXEL_CLK;
|
||||||
VSYNC_START.ENA = LAST;
|
VSYNC_START.ENA = LAST;
|
||||||
VSYNC_START = VVCNT[]==(VS_START[]-3); -- start am ende der Zeile vor dem vsync
|
VSYNC_START = VVCNT[]==(VS_START[]-3); -- start am ende der Zeile vor dem vsync
|
||||||
VSYNC_I[].CLK = PIXEL_CLK;
|
VSYNC_I[].CLK = PIXEL_CLK;
|
||||||
VSYNC_I[].ENA = LAST; -- start am ende der Zeile vor dem vsync
|
VSYNC_I[].ENA = LAST; -- start am ende der Zeile vor dem vsync
|
||||||
VSYNC_I[] = 3 & VSYNC_START -- 3 zeilen vsync length
|
VSYNC_I[] = 3 & VSYNC_START -- 3 zeilen vsync length
|
||||||
# (VSYNC_I[]-1) & !VSYNC_START & VSYNC_I[]!=0; -- runterz<72>hlen bis 0
|
# (VSYNC_I[]-1) & !VSYNC_START & VSYNC_I[]!=0; -- runterz<72>hlen bis 0
|
||||||
VERZ[][].CLK = PIXEL_CLK;
|
|
||||||
|
VERZ[][].CLK = PIXEL_CLK;
|
||||||
VERZ[][1] = VERZ[][0];
|
VERZ[][1] = VERZ[][0];
|
||||||
VERZ[][2] = VERZ[][1];
|
VERZ[][2] = VERZ[][1];
|
||||||
VERZ[][3] = VERZ[][2];
|
VERZ[][3] = VERZ[][2];
|
||||||
@@ -623,20 +694,25 @@ BEGIN
|
|||||||
VERZ[][7] = VERZ[][6];
|
VERZ[][7] = VERZ[][6];
|
||||||
VERZ[][8] = VERZ[][7];
|
VERZ[][8] = VERZ[][7];
|
||||||
VERZ[][9] = VERZ[][8];
|
VERZ[][9] = VERZ[][8];
|
||||||
VERZ[0][0] = DISP_ON;
|
|
||||||
|
VERZ[0][0] = DISP_ON;
|
||||||
VERZ[1][0] = HSYNC_I[]!=0;
|
VERZ[1][0] = HSYNC_I[]!=0;
|
||||||
VERZ[1][0] = (!ACP_VCTR15 # !VDL_VCT6) & HSYNC_I[]!=0
|
VERZ[1][0] = (!ACP_VCTR15 # !VDL_VCT6) & HSYNC_I[]!=0
|
||||||
# ACP_VCTR15 & VDL_VCT6 & HSYNC_I[]==0; -- NUR M<>GLICH WENN BEIDE
|
# ACP_VCTR15 & VDL_VCT6 & HSYNC_I[]==0; -- NUR M<>GLICH WENN BEIDE
|
||||||
VERZ[2][0] = (!ACP_VCTR15 # !VDL_VCT5) & VSYNC_I[]!=0
|
VERZ[2][0] = (!ACP_VCTR15 # !VDL_VCT5) & VSYNC_I[]!=0
|
||||||
# ACP_VCTR15 & VDL_VCT5 & VSYNC_I[]==0; -- NUR M<>GLICH WENN BEIDE
|
# ACP_VCTR15 & VDL_VCT5 & VSYNC_I[]==0; -- NUR M<>GLICH WENN BEIDE
|
||||||
nBLANK.CLK = PIXEL_CLK;
|
|
||||||
|
nBLANK.CLK = PIXEL_CLK;
|
||||||
nBLANK = VERZ[0][8];
|
nBLANK = VERZ[0][8];
|
||||||
HSYNC.CLK = PIXEL_CLK;
|
|
||||||
|
HSYNC.CLK = PIXEL_CLK;
|
||||||
HSYNC = VERZ[1][9];
|
HSYNC = VERZ[1][9];
|
||||||
VSYNC.CLK = PIXEL_CLK;
|
VSYNC.CLK = PIXEL_CLK;
|
||||||
VSYNC = VERZ[2][9];
|
VSYNC = VERZ[2][9];
|
||||||
nSYNC = GND;
|
|
||||||
-- RANDFARBE MACHEN ------------------------------------
|
nSYNC = GND;
|
||||||
|
|
||||||
|
-- RANDFARBE MACHEN ------------------------------------
|
||||||
RAND[].CLK = PIXEL_CLK;
|
RAND[].CLK = PIXEL_CLK;
|
||||||
RAND[0] = DISP_ON & !VDTRON & ACP_VCTR25;
|
RAND[0] = DISP_ON & !VDTRON & ACP_VCTR25;
|
||||||
RAND[1] = RAND[0];
|
RAND[1] = RAND[0];
|
||||||
@@ -646,7 +722,8 @@ BEGIN
|
|||||||
RAND[5] = RAND[4];
|
RAND[5] = RAND[4];
|
||||||
RAND[6] = RAND[5];
|
RAND[6] = RAND[5];
|
||||||
RAND_ON = RAND[6];
|
RAND_ON = RAND[6];
|
||||||
----------------------------------------------------------
|
|
||||||
|
----------------------------------------------------------
|
||||||
CLR_FIFO.CLK = PIXEL_CLK;
|
CLR_FIFO.CLK = PIXEL_CLK;
|
||||||
CLR_FIFO.ENA = LAST;
|
CLR_FIFO.ENA = LAST;
|
||||||
CLR_FIFO = VVCNT[]==V_TOTAL[]-2; -- IN LETZTER ZEILE L<>SCHEN
|
CLR_FIFO = VVCNT[]==V_TOTAL[]-2; -- IN LETZTER ZEILE L<>SCHEN
|
||||||
|
|||||||
580
Video/Video.bdf
580
Video/Video.bdf
@@ -7075,7 +7075,7 @@ applicable agreement for further details.
|
|||||||
)
|
)
|
||||||
)
|
)
|
||||||
(block
|
(block
|
||||||
(rect 1664 1664 2016 2600)
|
(rect 1664 1656 2016 2592)
|
||||||
(text "VIDEO_MOD_MUX_CLUTCTR" (rect 5 5 183 18)(font "Arial" (font_size 8))) (text "i_video_mod_mux_clutctr" (rect 5 922 132 933)(font "Arial" )) (block_io "nRSTO" (input))
|
(text "VIDEO_MOD_MUX_CLUTCTR" (rect 5 5 183 18)(font "Arial" (font_size 8))) (text "i_video_mod_mux_clutctr" (rect 5 922 132 933)(font "Arial" )) (block_io "nRSTO" (input))
|
||||||
(block_io "MAIN_CLK" (input))
|
(block_io "MAIN_CLK" (input))
|
||||||
(block_io "nFB_CS1" (input))
|
(block_io "nFB_CS1" (input))
|
||||||
@@ -10305,191 +10305,6 @@ applicable agreement for further details.
|
|||||||
(pt 1640 1384)
|
(pt 1640 1384)
|
||||||
(pt 1712 1384)
|
(pt 1712 1384)
|
||||||
)
|
)
|
||||||
(connector
|
|
||||||
(text "nFB_BURST" (rect 1570 1896 1634 1907)(font "Arial" ))
|
|
||||||
(pt 1560 1912)
|
|
||||||
(pt 1664 1912)
|
|
||||||
)
|
|
||||||
(connector
|
|
||||||
(text "nFB_OE" (rect 1570 1920 1613 1931)(font "Arial" ))
|
|
||||||
(pt 1560 1936)
|
|
||||||
(pt 1664 1936)
|
|
||||||
)
|
|
||||||
(connector
|
|
||||||
(text "nRSTO" (rect 1570 1704 1608 1715)(font "Arial" ))
|
|
||||||
(pt 1560 1720)
|
|
||||||
(pt 1664 1720)
|
|
||||||
)
|
|
||||||
(connector
|
|
||||||
(text "MAIN_CLK" (rect 1570 1728 1627 1739)(font "Arial" ))
|
|
||||||
(pt 1664 1744)
|
|
||||||
(pt 1560 1744)
|
|
||||||
)
|
|
||||||
(connector
|
|
||||||
(text "nFB_CS1" (rect 1570 1752 1618 1763)(font "Arial" ))
|
|
||||||
(pt 1560 1768)
|
|
||||||
(pt 1664 1768)
|
|
||||||
)
|
|
||||||
(connector
|
|
||||||
(text "nFB_CS2" (rect 1570 1776 1619 1787)(font "Arial" ))
|
|
||||||
(pt 1560 1792)
|
|
||||||
(pt 1664 1792)
|
|
||||||
)
|
|
||||||
(connector
|
|
||||||
(text "nFB_CS3" (rect 1570 1800 1619 1811)(font "Arial" ))
|
|
||||||
(pt 1560 1816)
|
|
||||||
(pt 1664 1816)
|
|
||||||
)
|
|
||||||
(connector
|
|
||||||
(text "nFB_WR" (rect 1570 1824 1616 1835)(font "Arial" ))
|
|
||||||
(pt 1560 1840)
|
|
||||||
(pt 1664 1840)
|
|
||||||
)
|
|
||||||
(connector
|
|
||||||
(text "FB_SIZE0" (rect 1570 1848 1621 1859)(font "Arial" ))
|
|
||||||
(pt 1560 1864)
|
|
||||||
(pt 1664 1864)
|
|
||||||
)
|
|
||||||
(connector
|
|
||||||
(text "FB_SIZE1" (rect 1570 1872 1620 1883)(font "Arial" ))
|
|
||||||
(pt 1560 1888)
|
|
||||||
(pt 1664 1888)
|
|
||||||
)
|
|
||||||
(connector
|
|
||||||
(text "FB_AD[31..0]" (rect 2026 1720 2092 1731)(font "Arial" ))
|
|
||||||
(pt 2136 1736)
|
|
||||||
(pt 2016 1736)
|
|
||||||
(bus)
|
|
||||||
)
|
|
||||||
(connector
|
|
||||||
(text "FB_ADR[31..0]" (rect 1570 1944 1644 1955)(font "Arial" ))
|
|
||||||
(pt 1664 1960)
|
|
||||||
(pt 1560 1960)
|
|
||||||
(bus)
|
|
||||||
)
|
|
||||||
(connector
|
|
||||||
(text "ACP_CLUT_RD" (rect 2026 1968 2107 1979)(font "Arial" ))
|
|
||||||
(pt 2016 1984)
|
|
||||||
(pt 2112 1984)
|
|
||||||
)
|
|
||||||
(connector
|
|
||||||
(text "PIXEL_CLK" (rect 2026 2224 2087 2235)(font "Arial" ))
|
|
||||||
(pt 2104 2240)
|
|
||||||
(pt 2016 2240)
|
|
||||||
)
|
|
||||||
(connector
|
|
||||||
(text "ST_CLUT_RD" (rect 2026 2096 2099 2107)(font "Arial" ))
|
|
||||||
(pt 2016 2112)
|
|
||||||
(pt 2120 2112)
|
|
||||||
)
|
|
||||||
(connector
|
|
||||||
(text "FALCON_CLUT_RDH" (rect 2026 2024 2135 2035)(font "Arial" ))
|
|
||||||
(pt 2016 2040)
|
|
||||||
(pt 2120 2040)
|
|
||||||
)
|
|
||||||
(connector
|
|
||||||
(text "FALCON_CLUT_RDL" (rect 2026 2000 2135 2011)(font "Arial" ))
|
|
||||||
(pt 2016 2016)
|
|
||||||
(pt 2120 2016)
|
|
||||||
)
|
|
||||||
(connector
|
|
||||||
(text "ST_CLUT_WR[1..0]" (rect 2026 2120 2123 2131)(font "Arial" ))
|
|
||||||
(pt 2016 2136)
|
|
||||||
(pt 2112 2136)
|
|
||||||
(bus)
|
|
||||||
)
|
|
||||||
(connector
|
|
||||||
(text "CLUT_MUX_ADR[3..0]" (rect 2026 2152 2139 2163)(font "Arial" ))
|
|
||||||
(pt 2016 2168)
|
|
||||||
(pt 2120 2168)
|
|
||||||
(bus)
|
|
||||||
)
|
|
||||||
(connector
|
|
||||||
(text "HSYNC" (rect 2026 2248 2066 2259)(font "Arial" ))
|
|
||||||
(pt 2104 2264)
|
|
||||||
(pt 2016 2264)
|
|
||||||
)
|
|
||||||
(connector
|
|
||||||
(text "VSYNC" (rect 2026 2272 2066 2283)(font "Arial" ))
|
|
||||||
(pt 2104 2288)
|
|
||||||
(pt 2016 2288)
|
|
||||||
)
|
|
||||||
(connector
|
|
||||||
(text "nBLANK" (rect 2026 2296 2070 2307)(font "Arial" ))
|
|
||||||
(pt 2104 2312)
|
|
||||||
(pt 2016 2312)
|
|
||||||
)
|
|
||||||
(connector
|
|
||||||
(text "nSYNC" (rect 2026 2320 2064 2331)(font "Arial" ))
|
|
||||||
(pt 2104 2336)
|
|
||||||
(pt 2016 2336)
|
|
||||||
)
|
|
||||||
(connector
|
|
||||||
(text "nPD_VGA" (rect 2026 2344 2080 2355)(font "Arial" ))
|
|
||||||
(pt 2104 2360)
|
|
||||||
(pt 2016 2360)
|
|
||||||
)
|
|
||||||
(connector
|
|
||||||
(text "CLUT_OFF[3..0]" (rect 2026 2072 2107 2083)(font "Arial" ))
|
|
||||||
(pt 2016 2088)
|
|
||||||
(pt 2112 2088)
|
|
||||||
(bus)
|
|
||||||
)
|
|
||||||
(connector
|
|
||||||
(text "BLITTER_ON" (rect 2026 2488 2094 2499)(font "Arial" ))
|
|
||||||
(pt 2096 2504)
|
|
||||||
(pt 2016 2504)
|
|
||||||
)
|
|
||||||
(connector
|
|
||||||
(text "VIDEO_RAM_CTR[15..0]" (rect 2026 2368 2151 2379)(font "Arial" ))
|
|
||||||
(pt 2144 2384)
|
|
||||||
(pt 2016 2384)
|
|
||||||
(bus)
|
|
||||||
)
|
|
||||||
(connector
|
|
||||||
(text "CCR[23..0]" (rect 2026 2176 2081 2187)(font "Arial" ))
|
|
||||||
(pt 2096 2192)
|
|
||||||
(pt 2016 2192)
|
|
||||||
(bus)
|
|
||||||
)
|
|
||||||
(connector
|
|
||||||
(text "CCSEL[2..0]" (rect 2026 2200 2087 2211)(font "Arial" ))
|
|
||||||
(pt 2016 2216)
|
|
||||||
(pt 2096 2216)
|
|
||||||
(bus)
|
|
||||||
)
|
|
||||||
(connector
|
|
||||||
(text "ACP_CLUT_WR[3..0]" (rect 2026 1944 2133 1955)(font "Arial" ))
|
|
||||||
(pt 2136 1960)
|
|
||||||
(pt 2016 1960)
|
|
||||||
(bus)
|
|
||||||
)
|
|
||||||
(connector
|
|
||||||
(text "FALCON_CLUT_WR[3..0]" (rect 2026 2048 2154 2059)(font "Arial" ))
|
|
||||||
(pt 2144 2064)
|
|
||||||
(pt 2016 2064)
|
|
||||||
(bus)
|
|
||||||
)
|
|
||||||
(connector
|
|
||||||
(text "INTER_ZEI" (rect 2026 2400 2082 2411)(font "Arial" ))
|
|
||||||
(pt 2016 2416)
|
|
||||||
(pt 2136 2416)
|
|
||||||
)
|
|
||||||
(connector
|
|
||||||
(text "DOP_FIFO_CLR" (rect 2026 2424 2110 2435)(font "Arial" ))
|
|
||||||
(pt 2016 2440)
|
|
||||||
(pt 2128 2440)
|
|
||||||
)
|
|
||||||
(connector
|
|
||||||
(text "VIDEO_MOD_TA" (rect 2026 2520 2115 2531)(font "Arial" ))
|
|
||||||
(pt 2088 2536)
|
|
||||||
(pt 2016 2536)
|
|
||||||
)
|
|
||||||
(connector
|
|
||||||
(text "BLITTER_RUN" (rect 1546 2528 1621 2539)(font "Arial" ))
|
|
||||||
(pt 1664 2544)
|
|
||||||
(pt 1536 2544)
|
|
||||||
)
|
|
||||||
(connector
|
(connector
|
||||||
(pt 1888 1120)
|
(pt 1888 1120)
|
||||||
(pt 1888 1160)
|
(pt 1888 1160)
|
||||||
@@ -10539,92 +10354,343 @@ applicable agreement for further details.
|
|||||||
(pt 1752 1304)
|
(pt 1752 1304)
|
||||||
(pt 1856 1304)
|
(pt 1856 1304)
|
||||||
)
|
)
|
||||||
(connector
|
|
||||||
(text "CLK33M" (rect 1586 2168 1630 2179)(font "Arial" ))
|
|
||||||
(pt 1512 2184)
|
|
||||||
(pt 1664 2184)
|
|
||||||
)
|
|
||||||
(connector
|
|
||||||
(text "CLK25M" (rect 1586 2192 1630 2203)(font "Arial" ))
|
|
||||||
(pt 1512 2208)
|
|
||||||
(pt 1664 2208)
|
|
||||||
)
|
|
||||||
(connector
|
|
||||||
(text "CLK_VIDEO" (rect 1570 2144 1633 2155)(font "Arial" ))
|
|
||||||
(pt 1512 2160)
|
|
||||||
(pt 1664 2160)
|
|
||||||
)
|
|
||||||
(connector
|
(connector
|
||||||
(text "VIDEO_MOD_TA" (rect 258 1816 347 1827)(font "Arial" ))
|
(text "VIDEO_MOD_TA" (rect 258 1816 347 1827)(font "Arial" ))
|
||||||
(pt 264 1832)
|
(pt 264 1832)
|
||||||
(pt 360 1832)
|
(pt 360 1832)
|
||||||
)
|
)
|
||||||
(connector
|
|
||||||
(text "COLOR8" (rect 2026 1912 2073 1923)(font "Arial" ))
|
|
||||||
(pt 2016 1928)
|
|
||||||
(pt 2120 1928)
|
|
||||||
)
|
|
||||||
(connector
|
|
||||||
(text "COLOR4" (rect 2026 1896 2073 1907)(font "Arial" ))
|
|
||||||
(pt 2016 1912)
|
|
||||||
(pt 2120 1912)
|
|
||||||
)
|
|
||||||
(connector
|
|
||||||
(text "COLOR2" (rect 2026 1880 2073 1891)(font "Arial" ))
|
|
||||||
(pt 2016 1896)
|
|
||||||
(pt 2120 1896)
|
|
||||||
)
|
|
||||||
(connector
|
|
||||||
(text "COLOR1" (rect 2026 1864 2072 1875)(font "Arial" ))
|
|
||||||
(pt 2016 1880)
|
|
||||||
(pt 2120 1880)
|
|
||||||
)
|
|
||||||
(connector
|
|
||||||
(text "FIFO_RDE" (rect 2026 1736 2082 1747)(font "Arial" ))
|
|
||||||
(pt 2104 1752)
|
|
||||||
(pt 2016 1752)
|
|
||||||
)
|
|
||||||
(connector
|
|
||||||
(text "VIDEO_RECONFIG" (rect 2026 1688 2126 1699)(font "Arial" ))
|
|
||||||
(pt 2144 1704)
|
|
||||||
(pt 2016 1704)
|
|
||||||
)
|
|
||||||
(connector
|
|
||||||
(text "VR_WR" (rect 2026 1784 2067 1795)(font "Arial" ))
|
|
||||||
(pt 2016 1800)
|
|
||||||
(pt 2112 1800)
|
|
||||||
)
|
|
||||||
(connector
|
|
||||||
(text "VR_RD" (rect 2026 1800 2064 1811)(font "Arial" ))
|
|
||||||
(pt 2016 1816)
|
|
||||||
(pt 2112 1816)
|
|
||||||
)
|
|
||||||
(connector
|
|
||||||
(text "VR_D[8..0]" (rect 1570 2120 1624 2131)(font "Arial" ))
|
|
||||||
(pt 1512 2136)
|
|
||||||
(pt 1664 2136)
|
|
||||||
(bus)
|
|
||||||
)
|
|
||||||
(connector
|
|
||||||
(text "VR_BUSY" (rect 1578 2104 1632 2115)(font "Arial" ))
|
|
||||||
(pt 1512 2120)
|
|
||||||
(pt 1664 2120)
|
|
||||||
)
|
|
||||||
(connector
|
(connector
|
||||||
(text "CLR_FIFO" (rect 202 2216 257 2227)(font "Arial" ))
|
(text "CLR_FIFO" (rect 202 2216 257 2227)(font "Arial" ))
|
||||||
(pt 296 2232)
|
(pt 296 2232)
|
||||||
(pt 192 2232)
|
(pt 192 2232)
|
||||||
)
|
)
|
||||||
(connector
|
|
||||||
(text "CLR_FIFO" (rect 2026 1752 2081 1763)(font "Arial" ))
|
|
||||||
(pt 2016 1768)
|
|
||||||
(pt 2112 1768)
|
|
||||||
)
|
|
||||||
(connector
|
(connector
|
||||||
(text "CLR_FIFO" (rect 1634 1456 1689 1467)(font "Arial" ))
|
(text "CLR_FIFO" (rect 1634 1456 1689 1467)(font "Arial" ))
|
||||||
(pt 1712 1472)
|
(pt 1712 1472)
|
||||||
(pt 1632 1472)
|
(pt 1632 1472)
|
||||||
)
|
)
|
||||||
|
(connector
|
||||||
|
(text "nFB_BURST" (rect 1570 1888 1634 1899)(font "Arial" ))
|
||||||
|
(pt 1560 1904)
|
||||||
|
(pt 1664 1904)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(text "nFB_OE" (rect 1570 1912 1613 1923)(font "Arial" ))
|
||||||
|
(pt 1560 1928)
|
||||||
|
(pt 1664 1928)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(text "nRSTO" (rect 1570 1696 1608 1707)(font "Arial" ))
|
||||||
|
(pt 1560 1712)
|
||||||
|
(pt 1664 1712)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(text "MAIN_CLK" (rect 1570 1720 1627 1731)(font "Arial" ))
|
||||||
|
(pt 1664 1736)
|
||||||
|
(pt 1560 1736)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(text "nFB_CS1" (rect 1570 1744 1618 1755)(font "Arial" ))
|
||||||
|
(pt 1560 1760)
|
||||||
|
(pt 1664 1760)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(text "nFB_CS2" (rect 1570 1768 1619 1779)(font "Arial" ))
|
||||||
|
(pt 1560 1784)
|
||||||
|
(pt 1664 1784)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(text "nFB_CS3" (rect 1570 1792 1619 1803)(font "Arial" ))
|
||||||
|
(pt 1560 1808)
|
||||||
|
(pt 1664 1808)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(text "nFB_WR" (rect 1570 1816 1616 1827)(font "Arial" ))
|
||||||
|
(pt 1560 1832)
|
||||||
|
(pt 1664 1832)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(text "FB_SIZE0" (rect 1570 1840 1621 1851)(font "Arial" ))
|
||||||
|
(pt 1560 1856)
|
||||||
|
(pt 1664 1856)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(text "FB_SIZE1" (rect 1570 1864 1620 1875)(font "Arial" ))
|
||||||
|
(pt 1560 1880)
|
||||||
|
(pt 1664 1880)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(text "FB_AD[31..0]" (rect 2026 1712 2092 1723)(font "Arial" ))
|
||||||
|
(pt 2136 1728)
|
||||||
|
(pt 2016 1728)
|
||||||
|
(bus)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(text "FB_ADR[31..0]" (rect 1570 1936 1644 1947)(font "Arial" ))
|
||||||
|
(pt 1664 1952)
|
||||||
|
(pt 1560 1952)
|
||||||
|
(bus)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(text "ACP_CLUT_RD" (rect 2026 1960 2107 1971)(font "Arial" ))
|
||||||
|
(pt 2016 1976)
|
||||||
|
(pt 2112 1976)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(text "PIXEL_CLK" (rect 2026 2216 2087 2227)(font "Arial" ))
|
||||||
|
(pt 2104 2232)
|
||||||
|
(pt 2016 2232)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(text "ST_CLUT_RD" (rect 2026 2088 2099 2099)(font "Arial" ))
|
||||||
|
(pt 2016 2104)
|
||||||
|
(pt 2120 2104)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(text "FALCON_CLUT_RDH" (rect 2026 2016 2135 2027)(font "Arial" ))
|
||||||
|
(pt 2016 2032)
|
||||||
|
(pt 2120 2032)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(text "FALCON_CLUT_RDL" (rect 2026 1992 2135 2003)(font "Arial" ))
|
||||||
|
(pt 2016 2008)
|
||||||
|
(pt 2120 2008)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(text "ST_CLUT_WR[1..0]" (rect 2026 2112 2123 2123)(font "Arial" ))
|
||||||
|
(pt 2016 2128)
|
||||||
|
(pt 2112 2128)
|
||||||
|
(bus)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(text "CLUT_MUX_ADR[3..0]" (rect 2026 2144 2139 2155)(font "Arial" ))
|
||||||
|
(pt 2016 2160)
|
||||||
|
(pt 2120 2160)
|
||||||
|
(bus)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(text "HSYNC" (rect 2026 2240 2066 2251)(font "Arial" ))
|
||||||
|
(pt 2104 2256)
|
||||||
|
(pt 2016 2256)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(text "VSYNC" (rect 2026 2264 2066 2275)(font "Arial" ))
|
||||||
|
(pt 2104 2280)
|
||||||
|
(pt 2016 2280)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(text "nBLANK" (rect 2026 2288 2070 2299)(font "Arial" ))
|
||||||
|
(pt 2104 2304)
|
||||||
|
(pt 2016 2304)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(text "nSYNC" (rect 2026 2312 2064 2323)(font "Arial" ))
|
||||||
|
(pt 2104 2328)
|
||||||
|
(pt 2016 2328)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(text "nPD_VGA" (rect 2026 2336 2080 2347)(font "Arial" ))
|
||||||
|
(pt 2104 2352)
|
||||||
|
(pt 2016 2352)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(text "CLUT_OFF[3..0]" (rect 2026 2064 2107 2075)(font "Arial" ))
|
||||||
|
(pt 2016 2080)
|
||||||
|
(pt 2112 2080)
|
||||||
|
(bus)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(text "BLITTER_ON" (rect 2026 2480 2094 2491)(font "Arial" ))
|
||||||
|
(pt 2096 2496)
|
||||||
|
(pt 2016 2496)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(text "VIDEO_RAM_CTR[15..0]" (rect 2026 2360 2151 2371)(font "Arial" ))
|
||||||
|
(pt 2144 2376)
|
||||||
|
(pt 2016 2376)
|
||||||
|
(bus)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(text "CCR[23..0]" (rect 2026 2168 2081 2179)(font "Arial" ))
|
||||||
|
(pt 2096 2184)
|
||||||
|
(pt 2016 2184)
|
||||||
|
(bus)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(text "CCSEL[2..0]" (rect 2026 2192 2087 2203)(font "Arial" ))
|
||||||
|
(pt 2016 2208)
|
||||||
|
(pt 2096 2208)
|
||||||
|
(bus)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(text "ACP_CLUT_WR[3..0]" (rect 2026 1936 2133 1947)(font "Arial" ))
|
||||||
|
(pt 2136 1952)
|
||||||
|
(pt 2016 1952)
|
||||||
|
(bus)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(text "FALCON_CLUT_WR[3..0]" (rect 2026 2040 2154 2051)(font "Arial" ))
|
||||||
|
(pt 2144 2056)
|
||||||
|
(pt 2016 2056)
|
||||||
|
(bus)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(text "INTER_ZEI" (rect 2026 2392 2082 2403)(font "Arial" ))
|
||||||
|
(pt 2016 2408)
|
||||||
|
(pt 2136 2408)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(text "DOP_FIFO_CLR" (rect 2026 2416 2110 2427)(font "Arial" ))
|
||||||
|
(pt 2016 2432)
|
||||||
|
(pt 2128 2432)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(text "VIDEO_MOD_TA" (rect 2026 2512 2115 2523)(font "Arial" ))
|
||||||
|
(pt 2088 2528)
|
||||||
|
(pt 2016 2528)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(text "BLITTER_RUN" (rect 1546 2520 1621 2531)(font "Arial" ))
|
||||||
|
(pt 1664 2536)
|
||||||
|
(pt 1536 2536)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 1520 2160)
|
||||||
|
(pt 1520 2152)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 1512 2160)
|
||||||
|
(pt 1520 2160)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(text "CLK_VIDEO" (rect 1570 2136 1633 2147)(font "Arial" ))
|
||||||
|
(pt 1520 2152)
|
||||||
|
(pt 1664 2152)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 1528 2184)
|
||||||
|
(pt 1528 2176)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 1512 2184)
|
||||||
|
(pt 1528 2184)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(text "CLK33M" (rect 1586 2160 1630 2171)(font "Arial" ))
|
||||||
|
(pt 1528 2176)
|
||||||
|
(pt 1664 2176)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 1536 2208)
|
||||||
|
(pt 1536 2200)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 1512 2208)
|
||||||
|
(pt 1536 2208)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(text "CLK25M" (rect 1586 2184 1630 2195)(font "Arial" ))
|
||||||
|
(pt 1536 2200)
|
||||||
|
(pt 1664 2200)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(text "COLOR8" (rect 2026 1904 2073 1915)(font "Arial" ))
|
||||||
|
(pt 2016 1920)
|
||||||
|
(pt 2120 1920)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(text "COLOR4" (rect 2026 1888 2073 1899)(font "Arial" ))
|
||||||
|
(pt 2016 1904)
|
||||||
|
(pt 2120 1904)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(text "COLOR2" (rect 2026 1872 2073 1883)(font "Arial" ))
|
||||||
|
(pt 2016 1888)
|
||||||
|
(pt 2120 1888)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(text "COLOR1" (rect 2026 1856 2072 1867)(font "Arial" ))
|
||||||
|
(pt 2016 1872)
|
||||||
|
(pt 2120 1872)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(text "FIFO_RDE" (rect 2026 1728 2082 1739)(font "Arial" ))
|
||||||
|
(pt 2104 1744)
|
||||||
|
(pt 2016 1744)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 2136 1704)
|
||||||
|
(pt 2136 1696)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 2144 1704)
|
||||||
|
(pt 2136 1704)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(text "VIDEO_RECONFIG" (rect 2026 1680 2126 1691)(font "Arial" ))
|
||||||
|
(pt 2136 1696)
|
||||||
|
(pt 2016 1696)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 2104 1800)
|
||||||
|
(pt 2104 1792)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 2112 1800)
|
||||||
|
(pt 2104 1800)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(text "VR_WR" (rect 2026 1776 2067 1787)(font "Arial" ))
|
||||||
|
(pt 2104 1792)
|
||||||
|
(pt 2016 1792)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 2096 1816)
|
||||||
|
(pt 2096 1808)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 2112 1816)
|
||||||
|
(pt 2096 1816)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(text "VR_RD" (rect 2026 1792 2064 1803)(font "Arial" ))
|
||||||
|
(pt 2096 1808)
|
||||||
|
(pt 2016 1808)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 1544 2120)
|
||||||
|
(pt 1544 2112)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 1512 2120)
|
||||||
|
(pt 1544 2120)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(text "VR_BUSY" (rect 1578 2096 1632 2107)(font "Arial" ))
|
||||||
|
(pt 1544 2112)
|
||||||
|
(pt 1664 2112)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 1552 2136)
|
||||||
|
(pt 1552 2128)
|
||||||
|
(bus)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(pt 1512 2136)
|
||||||
|
(pt 1552 2136)
|
||||||
|
(bus)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(text "VR_D[8..0]" (rect 1570 2112 1624 2123)(font "Arial" ))
|
||||||
|
(pt 1552 2128)
|
||||||
|
(pt 1664 2128)
|
||||||
|
(bus)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(text "CLR_FIFO" (rect 2026 1744 2081 1755)(font "Arial" ))
|
||||||
|
(pt 2016 1760)
|
||||||
|
(pt 2112 1760)
|
||||||
|
)
|
||||||
(junction (pt 2984 1688))
|
(junction (pt 2984 1688))
|
||||||
(junction (pt 792 1192))
|
(junction (pt 792 1192))
|
||||||
(junction (pt 792 1312))
|
(junction (pt 792 1312))
|
||||||
|
|||||||
64
firebee1.bdf
64
firebee1.bdf
@@ -860,7 +860,7 @@ applicable agreement for further details.
|
|||||||
(input)
|
(input)
|
||||||
(rect 168 296 336 312)
|
(rect 168 296 336 312)
|
||||||
(text "INPUT" (rect 133 0 162 10)(font "Arial" (font_size 6)))
|
(text "INPUT" (rect 133 0 162 10)(font "Arial" (font_size 6)))
|
||||||
(text "MAIN_CLK" (rect 5 0 63 11)(font "Arial" ))
|
(text "MAIN_CLK" (rect 5 0 62 11)(font "Arial" ))
|
||||||
(pt 168 8)
|
(pt 168 8)
|
||||||
(drawing
|
(drawing
|
||||||
(line (pt 92 12)(pt 117 12))
|
(line (pt 92 12)(pt 117 12))
|
||||||
@@ -2978,7 +2978,7 @@ applicable agreement for further details.
|
|||||||
)
|
)
|
||||||
)
|
)
|
||||||
(symbol
|
(symbol
|
||||||
(rect 448 -352 752 -104)
|
(rect 456 -352 760 -104)
|
||||||
(text "altpll2" (rect 132 1 174 17)(font "Arial" (font_size 10)))
|
(text "altpll2" (rect 132 1 174 17)(font "Arial" (font_size 10)))
|
||||||
(text "i_ddr_clk_pll" (rect 8 229 70 240)(font "Arial" ))
|
(text "i_ddr_clk_pll" (rect 8 229 70 240)(font "Arial" ))
|
||||||
(port
|
(port
|
||||||
@@ -5387,31 +5387,6 @@ applicable agreement for further details.
|
|||||||
(pt 744 32)
|
(pt 744 32)
|
||||||
(pt 864 32)
|
(pt 864 32)
|
||||||
)
|
)
|
||||||
(connector
|
|
||||||
(text "DDRCLK[0]" (rect 762 -296 819 -285)(font "Arial" ))
|
|
||||||
(pt 752 -280)
|
|
||||||
(pt 848 -280)
|
|
||||||
)
|
|
||||||
(connector
|
|
||||||
(text "DDRCLK[1]" (rect 762 -272 819 -261)(font "Arial" ))
|
|
||||||
(pt 752 -256)
|
|
||||||
(pt 848 -256)
|
|
||||||
)
|
|
||||||
(connector
|
|
||||||
(text "DDRCLK[2]" (rect 762 -248 819 -237)(font "Arial" ))
|
|
||||||
(pt 752 -232)
|
|
||||||
(pt 848 -232)
|
|
||||||
)
|
|
||||||
(connector
|
|
||||||
(text "DDRCLK[3]" (rect 762 -224 819 -213)(font "Arial" ))
|
|
||||||
(pt 752 -208)
|
|
||||||
(pt 848 -208)
|
|
||||||
)
|
|
||||||
(connector
|
|
||||||
(text "DDR_SYNC_66M" (rect 762 -200 851 -189)(font "Arial" ))
|
|
||||||
(pt 752 -184)
|
|
||||||
(pt 848 -184)
|
|
||||||
)
|
|
||||||
(connector
|
(connector
|
||||||
(pt 408 672)
|
(pt 408 672)
|
||||||
(pt 472 672)
|
(pt 472 672)
|
||||||
@@ -5421,11 +5396,6 @@ applicable agreement for further details.
|
|||||||
(pt 192 512)
|
(pt 192 512)
|
||||||
(pt 64 512)
|
(pt 64 512)
|
||||||
)
|
)
|
||||||
(connector
|
|
||||||
(text "MAIN_CLK" (rect 330 -296 387 -285)(font "Arial" ))
|
|
||||||
(pt 264 -280)
|
|
||||||
(pt 448 -280)
|
|
||||||
)
|
|
||||||
(connector
|
(connector
|
||||||
(pt 408 640)
|
(pt 408 640)
|
||||||
(pt 472 640)
|
(pt 472 640)
|
||||||
@@ -5814,6 +5784,36 @@ applicable agreement for further details.
|
|||||||
(pt 1200 3000)
|
(pt 1200 3000)
|
||||||
(pt 1264 3000)
|
(pt 1264 3000)
|
||||||
)
|
)
|
||||||
|
(connector
|
||||||
|
(text "DDRCLK[0]" (rect 770 -296 827 -285)(font "Arial" ))
|
||||||
|
(pt 760 -280)
|
||||||
|
(pt 856 -280)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(text "DDRCLK[1]" (rect 770 -272 827 -261)(font "Arial" ))
|
||||||
|
(pt 760 -256)
|
||||||
|
(pt 856 -256)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(text "DDRCLK[2]" (rect 770 -248 827 -237)(font "Arial" ))
|
||||||
|
(pt 760 -232)
|
||||||
|
(pt 856 -232)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(text "DDRCLK[3]" (rect 770 -224 827 -213)(font "Arial" ))
|
||||||
|
(pt 760 -208)
|
||||||
|
(pt 856 -208)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(text "DDR_SYNC_66M" (rect 770 -200 859 -189)(font "Arial" ))
|
||||||
|
(pt 760 -184)
|
||||||
|
(pt 856 -184)
|
||||||
|
)
|
||||||
|
(connector
|
||||||
|
(text "MAIN_CLK" (rect 338 -296 395 -285)(font "Arial" ))
|
||||||
|
(pt 272 -280)
|
||||||
|
(pt 456 -280)
|
||||||
|
)
|
||||||
(junction (pt 2504 760))
|
(junction (pt 2504 760))
|
||||||
(junction (pt 400 248))
|
(junction (pt 400 248))
|
||||||
(junction (pt 1856 -64))
|
(junction (pt 1856 -64))
|
||||||
|
|||||||
@@ -19,7 +19,7 @@
|
|||||||
## PROGRAM "Quartus II"
|
## PROGRAM "Quartus II"
|
||||||
## VERSION "Version 13.1.4 Build 182 03/12/2014 SJ Web Edition"
|
## VERSION "Version 13.1.4 Build 182 03/12/2014 SJ Web Edition"
|
||||||
|
|
||||||
## DATE "Sun Sep 20 10:41:57 2015"
|
## DATE "Sun Sep 20 14:58:25 2015"
|
||||||
|
|
||||||
##
|
##
|
||||||
## DEVICE "EP3C40F484C6"
|
## DEVICE "EP3C40F484C6"
|
||||||
@@ -47,6 +47,7 @@ create_clock -name {MAIN_CLK} -period 30.303 -waveform { 0.000 15.151 } [get_por
|
|||||||
|
|
||||||
derive_pll_clocks
|
derive_pll_clocks
|
||||||
|
|
||||||
|
|
||||||
#**************************************************************
|
#**************************************************************
|
||||||
# Set Clock Latency
|
# Set Clock Latency
|
||||||
#**************************************************************
|
#**************************************************************
|
||||||
@@ -83,6 +84,10 @@ set_clock_uncertainty -rise_from [get_clocks {MAIN_CLK}] -fall_to [get_clocks {M
|
|||||||
# Set False Path
|
# Set False Path
|
||||||
#**************************************************************
|
#**************************************************************
|
||||||
|
|
||||||
|
set_false_path -from [get_clocks {MAIN_CLK}] -to [get_clocks {i_video_clock_pll|altpll_component|auto_generated|pll1|clk[0]}]
|
||||||
|
set_false_path -from [get_clocks {i_atari_clk_pll|altpll_component|auto_generated|pll1|clk[2]}] -to [get_clocks {i_video_clock_pll|altpll_component|auto_generated|pll1|clk[0]}]
|
||||||
|
set_false_path -from [get_clocks {i_atari_clk_pll|altpll_component|auto_generated|pll1|clk[2]}] -to [get_clocks {i_ddr_clk_pll|altpll_component|auto_generated|pll1|clk[0]}]
|
||||||
|
set_false_path -from [get_clocks {i_ddr_clk_pll|altpll_component|auto_generated|pll1|clk[4]}] -to [get_clocks {MAIN_CLK}]
|
||||||
set_false_path -from [get_keepers {*rdptr_g*}] -to [get_keepers {*ws_dgrp|dffpipe_id9:dffpipe17|dffe18a*}]
|
set_false_path -from [get_keepers {*rdptr_g*}] -to [get_keepers {*ws_dgrp|dffpipe_id9:dffpipe17|dffe18a*}]
|
||||||
set_false_path -from [get_keepers {*delayed_wrptr_g*}] -to [get_keepers {*rs_dgwp|dffpipe_hd9:dffpipe12|dffe13a*}]
|
set_false_path -from [get_keepers {*delayed_wrptr_g*}] -to [get_keepers {*rs_dgwp|dffpipe_hd9:dffpipe12|dffe13a*}]
|
||||||
set_false_path -from [get_keepers {*rdptr_g*}] -to [get_keepers {*ws_dgrp|dffpipe_kd9:dffpipe15|dffe16a*}]
|
set_false_path -from [get_keepers {*rdptr_g*}] -to [get_keepers {*ws_dgrp|dffpipe_kd9:dffpipe15|dffe16a*}]
|
||||||
@@ -94,7 +99,9 @@ set_false_path -from [get_keepers {*rdptr_g*}] -to [get_keepers {*ws_dgrp|dffpip
|
|||||||
# Set Multicycle Path
|
# Set Multicycle Path
|
||||||
#**************************************************************
|
#**************************************************************
|
||||||
|
|
||||||
|
set_multicycle_path -setup -start -from [get_clocks {MAIN_CLK}] -to [get_clocks {i_atari_clk_pll|altpll_component|auto_generated|pll1|clk[2]}] 8
|
||||||
set_multicycle_path -hold -end -from [get_clocks {MAIN_CLK}] -to [get_keepers {Video:i_video|DDR_CTR:i_ddr_ctr|MCS[0]}] 2
|
set_multicycle_path -hold -end -from [get_clocks {MAIN_CLK}] -to [get_keepers {Video:i_video|DDR_CTR:i_ddr_ctr|MCS[0]}] 2
|
||||||
|
set_multicycle_path -setup -end -from [get_keepers {Video:i_video|video_mod_mux_clutctr:i_video_mod_mux_clutctr|VDL_VMD[2]}] -to [get_keepers {Video:i_video|video_mod_mux_clutctr:i_video_mod_mux_clutctr|DPO_OFF}] 8
|
||||||
|
|
||||||
|
|
||||||
#**************************************************************
|
#**************************************************************
|
||||||
|
|||||||
Reference in New Issue
Block a user