fixed formatting
This commit is contained in:
@@ -723,7 +723,6 @@ set_global_assignment -name SOURCE_FILE ../../../rtl/vhdl/Firebee_V1/altpll1.cmp
|
|||||||
set_global_assignment -name VHDL_FILE ../../../rtl/vhdl/Firebee_V1/Firebee_V1_pkg.vhd
|
set_global_assignment -name VHDL_FILE ../../../rtl/vhdl/Firebee_V1/Firebee_V1_pkg.vhd
|
||||||
set_global_assignment -name QIP_FILE ../../../rtl/vhdl/Firebee_V1/altpll_reconfig1.qip
|
set_global_assignment -name QIP_FILE ../../../rtl/vhdl/Firebee_V1/altpll_reconfig1.qip
|
||||||
set_global_assignment -name VHDL_FILE ../../../testbenches/ddr_ram_model.vhd
|
set_global_assignment -name VHDL_FILE ../../../testbenches/ddr_ram_model.vhd
|
||||||
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to AMKB_TX
|
|
||||||
set_instance_assignment -name PAD_TO_CORE_DELAY 0 -to CLK_DDR_OUT
|
set_instance_assignment -name PAD_TO_CORE_DELAY 0 -to CLK_DDR_OUT
|
||||||
set_instance_assignment -name CURRENT_STRENGTH_NEW 12MA -to CLK_DDR_OUTn
|
set_instance_assignment -name CURRENT_STRENGTH_NEW 12MA -to CLK_DDR_OUTn
|
||||||
set_instance_assignment -name IO_STANDARD "2.5 V" -to CLK_DDR_OUTn
|
set_instance_assignment -name IO_STANDARD "2.5 V" -to CLK_DDR_OUTn
|
||||||
|
|||||||
@@ -133,7 +133,7 @@ begin
|
|||||||
-- BIT31 = INT7, Bit30 = INT6, Bit29 = INT5, Bit28 = INT4, Bit27 = INT3, Bit26 = INT2
|
-- BIT31 = INT7, Bit30 = INT6, Bit29 = INT5, Bit28 = INT4, Bit27 = INT3, Bit26 = INT2
|
||||||
-- The interrupt clear register is write only; 1 = interrupt clear.
|
-- The interrupt clear register is write only; 1 = interrupt clear.
|
||||||
begin
|
begin
|
||||||
wait until CLK_MAIN = '1' and CLK_MAIN' event;
|
wait until rising_edge(CLK_MAIN);
|
||||||
if INT_CTR_CS = '1' and FB_B(0) = '1' and FB_WRn = '0' then
|
if INT_CTR_CS = '1' and FB_B(0) = '1' and FB_WRn = '0' then
|
||||||
INT_CTR(31 downto 24) <= FB_AD_IN(31 downto 24);
|
INT_CTR(31 downto 24) <= FB_AD_IN(31 downto 24);
|
||||||
elsif INT_CTR_CS = '1' and FB_B(1) = '1' and FB_WRn = '0' then
|
elsif INT_CTR_CS = '1' and FB_B(1) = '1' and FB_WRn = '0' then
|
||||||
@@ -196,7 +196,7 @@ begin
|
|||||||
|
|
||||||
P_INT_LATCH : process
|
P_INT_LATCH : process
|
||||||
begin
|
begin
|
||||||
wait until CLK_MAIN = '1' and CLK_MAIN' event;
|
wait until rising_edge(CLK_MAIN);
|
||||||
if RESETn = '0' then
|
if RESETn = '0' then
|
||||||
INT_L <= (others => '0');
|
INT_L <= (others => '0');
|
||||||
else
|
else
|
||||||
@@ -263,7 +263,7 @@ begin
|
|||||||
P_FBEE_CONFIG : process
|
P_FBEE_CONFIG : process
|
||||||
-- Firebee configuration register: BIT 31 -> 0 = CF 1 = IDE
|
-- Firebee configuration register: BIT 31 -> 0 = CF 1 = IDE
|
||||||
begin
|
begin
|
||||||
wait until CLK_MAIN = '1' and CLK_MAIN' event;
|
wait until rising_edge(CLK_MAIN);
|
||||||
if FBEE_CONF_CS = '1' and FB_B(0) = '1' and FB_WRn = '0' then
|
if FBEE_CONF_CS = '1' and FB_B(0) = '1' and FB_WRn = '0' then
|
||||||
FBEE_CONF_REG(31 downto 24) <= FB_AD_IN(31 downto 24);
|
FBEE_CONF_REG(31 downto 24) <= FB_AD_IN(31 downto 24);
|
||||||
elsif FBEE_CONF_CS = '1' and FB_B(1) = '1' and FB_WRn = '0' then
|
elsif FBEE_CONF_CS = '1' and FB_B(1) = '1' and FB_WRn = '0' then
|
||||||
|
|||||||
@@ -210,7 +210,6 @@ architecture BEHAVIOUR of VIDEO_SYSTEM is
|
|||||||
|
|
||||||
begin
|
begin
|
||||||
CLK_PIXEL <= CLK_PIXEL_I;
|
CLK_PIXEL <= CLK_PIXEL_I;
|
||||||
|
|
||||||
FIFO_CLR <= FIFO_CLR_I;
|
FIFO_CLR <= FIFO_CLR_I;
|
||||||
|
|
||||||
P_CLUT_ST_MC: process
|
P_CLUT_ST_MC: process
|
||||||
@@ -223,7 +222,7 @@ begin
|
|||||||
clut_fa_index := to_integer(unsigned(FB_ADR(9 downto 2)));
|
clut_fa_index := to_integer(unsigned(FB_ADR(9 downto 2)));
|
||||||
clut_fi_index := to_integer(unsigned(FB_ADR(9 downto 2)));
|
clut_fi_index := to_integer(unsigned(FB_ADR(9 downto 2)));
|
||||||
|
|
||||||
wait until CLK_MAIN = '1' and CLK_MAIN' event;
|
wait until rising_edge(CLK_MAIN);
|
||||||
if CLUT_ST_WR(0) = '1' then
|
if CLUT_ST_WR(0) = '1' then
|
||||||
CLUT_ST(clut_st_index)(11 downto 8) <= FB_AD_IN(27 downto 24);
|
CLUT_ST(clut_st_index)(11 downto 8) <= FB_AD_IN(27 downto 24);
|
||||||
end if;
|
end if;
|
||||||
@@ -436,7 +435,7 @@ begin
|
|||||||
|
|
||||||
DFF_CLK2: process
|
DFF_CLK2: process
|
||||||
begin
|
begin
|
||||||
wait until CLK_DDR2 = '1' and CLK_DDR2' event;
|
wait until rising_edge(CLK_DDR2);
|
||||||
VDMP <= SR_VDMP;
|
VDMP <= SR_VDMP;
|
||||||
end process DFF_CLK2;
|
end process DFF_CLK2;
|
||||||
|
|
||||||
|
|||||||
Reference in New Issue
Block a user