remove unneeded component declarations

This commit is contained in:
Markus Fröschle
2016-04-27 11:32:14 +00:00
parent 8510d5aa39
commit 9141e2aa3b
6 changed files with 1005 additions and 1549 deletions

View File

@@ -20,11 +20,11 @@
-- Generated by Quartus II Version 8.1 (Build Build 163 10/28/2008)
-- Created on Fri Oct 16 15:40:59 2009
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
ENTITY blitter IS
entity blitter is
-- {{ALTERA_IO_BEGIN}} DO NOT REMOVE THIS LINE!
PORT
(
@@ -50,7 +50,7 @@ ENTITY blitter IS
BLITTER_ADR : OUT std_logic_vector(31 DOWNTO 0);
BLITTER_SIG : OUT std_logic;
BLITTER_WR : OUT std_logic;
BLITTER_TA : OUT std_logic;
blitter_ta : OUT std_logic;
FB_AD : INOUT std_logic_vector(31 DOWNTO 0)
);
-- {{ALTERA_IO_END}} DO NOT REMOVE THIS LINE!
@@ -67,6 +67,6 @@ BEGIN
BLITTER_ADR <= x"76543210";
BLITTER_SIG <= '0';
BLITTER_WR <= '0';
BLITTER_TA <= '0';
blitter_ta <= '0';
END rtl;