removed all dependencies to obsolete ieee.std_logic_arith and ieee.std_logic_unsigned in favour of ieee.numeric_std. There are a few things that still need to be fixed because of that, however.

This commit is contained in:
Markus Fröschle
2014-08-04 17:23:47 +00:00
parent d96e0b82bc
commit 4c2be14e28
42 changed files with 2695 additions and 2662 deletions

View File

@@ -44,7 +44,7 @@
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
entity IDE_CF_SD_ROM is
port(
@@ -85,7 +85,7 @@ entity IDE_CF_SD_ROM is
ROM4n : out std_logic;
ROM3n : out std_logic;
CF_WP : in bit;
CF_WP : in std_logic;
CF_CSn : out std_logic_vector(1 downto 0)
);
end entity IDE_CF_SD_ROM;