From 1a6b187a68a6b5eb0f9d7310a851cbbda290eee8 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Markus=20Fr=C3=B6schle?= Date: Fri, 16 Nov 2012 19:32:30 +0000 Subject: [PATCH] new run of quartus --- firebee1.asm.rpt | 256 +- firebee1.done | 2 +- firebee1.fit.rpt | 14562 ++++++++++++++++++---------------- firebee1.fit.summary | 32 +- firebee1.flow.rpt | 759 +- firebee1.map.rpt | 17221 +++++++++++++++++++++-------------------- firebee1.map.summary | 28 +- firebee1.pin | 1111 ++- firebee1.qsf | 362 +- firebee1.qws | Bin 90 -> 613 bytes firebee1.rbf | Bin 428953 -> 433717 bytes firebee1.sof | Bin 1171297 -> 1171305 bytes 12 files changed, 17600 insertions(+), 16733 deletions(-) diff --git a/firebee1.asm.rpt b/firebee1.asm.rpt index 7ffb13e..dad8328 100644 --- a/firebee1.asm.rpt +++ b/firebee1.asm.rpt @@ -1,128 +1,128 @@ -Assembler report for firebee1 -Wed Dec 15 02:25:13 2010 -Quartus II Version 9.1 Build 350 03/24/2010 Service Pack 2 SJ Web Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Assembler Summary - 3. Assembler Settings - 4. Assembler Generated Files - 5. Assembler Device Options: C:/FireBee/FPGA/firebee1.sof - 6. Assembler Device Options: C:/FireBee/FPGA/firebee1.rbf - 7. Assembler Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 1991-2010 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. - - - -+---------------------------------------------------------------+ -; Assembler Summary ; -+-----------------------+---------------------------------------+ -; Assembler Status ; Successful - Wed Dec 15 02:25:13 2010 ; -; Revision Name ; firebee1 ; -; Top-level Entity Name ; firebee1 ; -; Family ; Cyclone III ; -; Device ; EP3C40F484C6 ; -+-----------------------+---------------------------------------+ - - -+----------------------------------------------------------------------------------------------------------+ -; Assembler Settings ; -+-----------------------------------------------------------------------------+------------+---------------+ -; Option ; Setting ; Default Value ; -+-----------------------------------------------------------------------------+------------+---------------+ -; Generate Raw Binary File (.rbf) For Target Device ; On ; Off ; -; Hexadecimal Output File start address ; 0XE0700000 ; 0 ; -; Use smart compilation ; Off ; Off ; -; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; -; Enable compact report table ; Off ; Off ; -; Generate compressed bitstreams ; On ; On ; -; Compression mode ; Off ; Off ; -; Clock source for configuration device ; Internal ; Internal ; -; Clock frequency of the configuration device ; 10 MHZ ; 10 MHz ; -; Divide clock frequency by ; 1 ; 1 ; -; Auto user code ; Off ; Off ; -; Use configuration device ; Off ; Off ; -; Configuration device ; Auto ; Auto ; -; Configuration device auto user code ; Off ; Off ; -; Generate Tabular Text File (.ttf) For Target Device ; Off ; Off ; -; Generate Hexadecimal (Intel-Format) Output File (.hexout) for Target Device ; Off ; Off ; -; Hexadecimal Output File count direction ; Up ; Up ; -; Release clears before tri-states ; Off ; Off ; -; Auto-restart configuration after error ; On ; On ; -; Enable OCT_DONE ; Off ; Off ; -; Generate Serial Vector Format File (.svf) for Target Device ; Off ; Off ; -; Generate a JEDEC STAPL Format File (.jam) for Target Device ; Off ; Off ; -; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; Off ; Off ; -; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; On ; On ; -+-----------------------------------------------------------------------------+------------+---------------+ - - -+------------------------------+ -; Assembler Generated Files ; -+------------------------------+ -; File Name ; -+------------------------------+ -; C:/FireBee/FPGA/firebee1.sof ; -; C:/FireBee/FPGA/firebee1.rbf ; -+------------------------------+ - - -+--------------------------------------------------------+ -; Assembler Device Options: C:/FireBee/FPGA/firebee1.sof ; -+----------------+---------------------------------------+ -; Option ; Setting ; -+----------------+---------------------------------------+ -; Device ; EP3C40F484C6 ; -; JTAG usercode ; 0xFFFFFFFF ; -; Checksum ; 0x0085E8C6 ; -+----------------+---------------------------------------+ - - -+--------------------------------------------------------+ -; Assembler Device Options: C:/FireBee/FPGA/firebee1.rbf ; -+---------------------+----------------------------------+ -; Option ; Setting ; -+---------------------+----------------------------------+ -; Raw Binary File ; ; -; Compression Ratio ; 2 ; -+---------------------+----------------------------------+ - - -+--------------------+ -; Assembler Messages ; -+--------------------+ -Info: ******************************************************************* -Info: Running Quartus II Assembler - Info: Version 9.1 Build 350 03/24/2010 Service Pack 2 SJ Web Edition - Info: Processing started: Wed Dec 15 02:25:08 2010 -Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off firebeei1 -c firebee1 -Info: Writing out detailed assembly data for power analysis -Info: Assembler is generating device programming files -Info: Quartus II Assembler was successful. 0 errors, 0 warnings - Info: Peak virtual memory: 291 megabytes - Info: Processing ended: Wed Dec 15 02:25:13 2010 - Info: Elapsed time: 00:00:05 - Info: Total CPU time (on all processors): 00:00:05 - - +Assembler report for firebee1 +Sat Oct 20 18:39:48 2012 +Quartus II 32-bit Version 12.0 Build 263 08/02/2012 Service Pack 2 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Assembler Summary + 3. Assembler Settings + 4. Assembler Generated Files + 5. Assembler Device Options: firebee1.sof + 6. Assembler Device Options: firebee1.rbf + 7. Assembler Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2012 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++---------------------------------------------------------------+ +; Assembler Summary ; ++-----------------------+---------------------------------------+ +; Assembler Status ; Successful - Sat Oct 20 18:39:48 2012 ; +; Revision Name ; firebee1 ; +; Top-level Entity Name ; firebee1 ; +; Family ; Cyclone III ; +; Device ; EP3C40F484C6 ; ++-----------------------+---------------------------------------+ + + ++----------------------------------------------------------------------------------------------------------+ +; Assembler Settings ; ++-----------------------------------------------------------------------------+------------+---------------+ +; Option ; Setting ; Default Value ; ++-----------------------------------------------------------------------------+------------+---------------+ +; Generate Raw Binary File (.rbf) For Target Device ; On ; Off ; +; Hexadecimal Output File start address ; 0XE0700000 ; 0 ; +; Use smart compilation ; Off ; Off ; +; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; +; Enable compact report table ; Off ; Off ; +; Generate compressed bitstreams ; On ; On ; +; Compression mode ; Off ; Off ; +; Clock source for configuration device ; Internal ; Internal ; +; Clock frequency of the configuration device ; 10 MHZ ; 10 MHz ; +; Divide clock frequency by ; 1 ; 1 ; +; Auto user code ; Off ; Off ; +; Use configuration device ; Off ; Off ; +; Configuration device ; Auto ; Auto ; +; Configuration device auto user code ; Off ; Off ; +; Generate Tabular Text File (.ttf) For Target Device ; Off ; Off ; +; Generate Hexadecimal (Intel-Format) Output File (.hexout) for Target Device ; Off ; Off ; +; Hexadecimal Output File count direction ; Up ; Up ; +; Release clears before tri-states ; Off ; Off ; +; Auto-restart configuration after error ; On ; On ; +; Enable OCT_DONE ; Off ; Off ; +; Generate Serial Vector Format File (.svf) for Target Device ; Off ; Off ; +; Generate a JEDEC STAPL Format File (.jam) for Target Device ; Off ; Off ; +; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; Off ; Off ; +; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; On ; On ; ++-----------------------------------------------------------------------------+------------+---------------+ + + ++---------------------------+ +; Assembler Generated Files ; ++---------------------------+ +; File Name ; ++---------------------------+ +; firebee1.sof ; +; firebee1.rbf ; ++---------------------------+ + + ++----------------------------------------+ +; Assembler Device Options: firebee1.sof ; ++----------------+-----------------------+ +; Option ; Setting ; ++----------------+-----------------------+ +; Device ; EP3C40F484C6 ; +; JTAG usercode ; 0xFFFFFFFF ; +; Checksum ; 0x008CFA9D ; ++----------------+-----------------------+ + + ++----------------------------------------+ +; Assembler Device Options: firebee1.rbf ; ++---------------------+------------------+ +; Option ; Setting ; ++---------------------+------------------+ +; Raw Binary File ; ; +; Compression Ratio ; 2 ; ++---------------------+------------------+ + + ++--------------------+ +; Assembler Messages ; ++--------------------+ +Info: ******************************************************************* +Info: Running Quartus II 32-bit Assembler + Info: Version 12.0 Build 263 08/02/2012 Service Pack 2 SJ Web Edition + Info: Processing started: Sat Oct 20 18:39:42 2012 +Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off firebeei1 -c firebee1 +Info (115031): Writing out detailed assembly data for power analysis +Info (115030): Assembler is generating device programming files +Info: Quartus II 32-bit Assembler was successful. 0 errors, 0 warnings + Info: Peak virtual memory: 373 megabytes + Info: Processing ended: Sat Oct 20 18:39:49 2012 + Info: Elapsed time: 00:00:07 + Info: Total CPU time (on all processors): 00:00:06 + + diff --git a/firebee1.done b/firebee1.done index 1674c93..15080ec 100644 --- a/firebee1.done +++ b/firebee1.done @@ -1 +1 @@ -Wed Dec 15 02:25:24 2010 +Sat Oct 20 18:40:36 2012 diff --git a/firebee1.fit.rpt b/firebee1.fit.rpt index e3df129..db891cb 100644 --- a/firebee1.fit.rpt +++ b/firebee1.fit.rpt @@ -1,6866 +1,7696 @@ -Fitter report for firebee1 -Wed Dec 15 02:25:03 2010 -Quartus II Version 9.1 Build 350 03/24/2010 Service Pack 2 SJ Web Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Fitter Summary - 3. Fitter Settings - 4. Parallel Compilation - 5. I/O Assignment Warnings - 6. Fitter Netlist Optimizations - 7. Ignored Assignments - 8. Incremental Compilation Preservation Summary - 9. Incremental Compilation Partition Settings - 10. Incremental Compilation Placement Preservation - 11. Pin-Out File - 12. Fitter Resource Usage Summary - 13. Input Pins - 14. Output Pins - 15. Bidir Pins - 16. Dual Purpose and Dedicated Pins - 17. I/O Bank Usage - 18. All Package Pins - 19. PLL Summary - 20. PLL Usage - 21. Output Pin Default Load For Reported TCO - 22. Fitter Resource Utilization by Entity - 23. Delay Chain Summary - 24. Pad To Core Delay Chain Fanout - 25. Control Signals - 26. Global & Other Fast Signals - 27. Non-Global High Fan-Out Signals - 28. Fitter RAM Summary - 29. Fitter DSP Block Usage Summary - 30. DSP Block Details - 31. Interconnect Usage Summary - 32. LAB Logic Elements - 33. LAB-wide Signals - 34. LAB Signals Sourced - 35. LAB Signals Sourced Out - 36. LAB Distinct Inputs - 37. I/O Rules Summary - 38. I/O Rules Details - 39. I/O Rules Matrix - 40. Fitter Device Options - 41. Operating Settings and Conditions - 42. Estimated Delay Added for Hold Timing - 43. Fitter Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 1991-2010 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. - - - -+-----------------------------------------------------------------------------------+ -; Fitter Summary ; -+------------------------------------+----------------------------------------------+ -; Fitter Status ; Successful - Wed Dec 15 02:25:02 2010 ; -; Quartus II Version ; 9.1 Build 350 03/24/2010 SP 2 SJ Web Edition ; -; Revision Name ; firebee1 ; -; Top-level Entity Name ; firebee1 ; -; Family ; Cyclone III ; -; Device ; EP3C40F484C6 ; -; Timing Models ; Final ; -; Total logic elements ; 9,526 / 39,600 ( 24 % ) ; -; Total combinational functions ; 8,061 / 39,600 ( 20 % ) ; -; Dedicated logic registers ; 4,563 / 39,600 ( 12 % ) ; -; Total registers ; 4749 ; -; Total pins ; 295 / 332 ( 89 % ) ; -; Total virtual pins ; 0 ; -; Total memory bits ; 109,344 / 1,161,216 ( 9 % ) ; -; Embedded Multiplier 9-bit elements ; 6 / 252 ( 2 % ) ; -; Total PLLs ; 4 / 4 ( 100 % ) ; -+------------------------------------+----------------------------------------------+ - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fitter Settings ; -+----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+ -; Option ; Setting ; Default Value ; -+----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+ -; Device ; EP3C40F484C6 ; ; -; Use TimeQuest Timing Analyzer ; Off ; On ; -; Nominal Core Supply Voltage ; 1.2V ; ; -; Minimum Core Junction Temperature ; 0 ; ; -; Maximum Core Junction Temperature ; 85 ; ; -; Fit Attempts to Skip ; 0 ; 0.0 ; -; Device I/O Standard ; 3.3-V LVTTL ; ; -; Perform Physical Synthesis for Combinational Logic for Fitting ; On ; Off ; -; Perform Physical Synthesis for Combinational Logic for Performance ; On ; Off ; -; Perform Register Duplication for Performance ; On ; Off ; -; Physical Synthesis Effort Level ; Fast ; Normal ; -; Use smart compilation ; Off ; Off ; -; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; -; Enable compact report table ; Off ; Off ; -; Router Timing Optimization Level ; Normal ; Normal ; -; Placement Effort Multiplier ; 1.0 ; 1.0 ; -; Router Effort Multiplier ; 1.0 ; 1.0 ; -; Optimize Hold Timing ; All Paths ; All Paths ; -; Optimize Multi-Corner Timing ; Off ; Off ; -; PowerPlay Power Optimization ; Normal compilation ; Normal compilation ; -; SSN Optimization ; Off ; Off ; -; Optimize Timing ; Normal compilation ; Normal compilation ; -; Optimize Timing for ECOs ; Off ; Off ; -; Regenerate full fit report during ECO compiles ; Off ; Off ; -; Optimize IOC Register Placement for Timing ; On ; On ; -; Limit to One Fitting Attempt ; Off ; Off ; -; Final Placement Optimizations ; Automatically ; Automatically ; -; Fitter Aggressive Routability Optimizations ; Automatically ; Automatically ; -; Fitter Initial Placement Seed ; 1 ; 1 ; -; PCI I/O ; Off ; Off ; -; Weak Pull-Up Resistor ; Off ; Off ; -; Enable Bus-Hold Circuitry ; Off ; Off ; -; Auto Packed Registers ; Auto ; Auto ; -; Auto Delay Chains ; On ; On ; -; Allow Single-ended Buffer for Differential-XSTL Input ; Off ; Off ; -; Treat Bidirectional Pin as Output Pin ; Off ; Off ; -; Auto Merge PLLs ; On ; On ; -; Perform Logic to Memory Mapping for Fitting ; Off ; Off ; -; Perform Register Retiming for Performance ; Off ; Off ; -; Perform Asynchronous Signal Pipelining ; Off ; Off ; -; Fitter Effort ; Auto Fit ; Auto Fit ; -; Logic Cell Insertion - Logic Duplication ; Auto ; Auto ; -; Auto Register Duplication ; Auto ; Auto ; -; Auto Global Clock ; On ; On ; -; Auto Global Register Control Signals ; On ; On ; -; Reserve all unused pins ; As input tri-stated with weak pull-up ; As input tri-stated with weak pull-up ; -; Stop After Congestion Map Generation ; Off ; Off ; -; Save Intermediate Fitting Results ; Off ; Off ; -; Synchronizer Identification ; Off ; Off ; -; Enable Beneficial Skew Optimization ; On ; On ; -; Optimize Design for Metastability ; On ; On ; -; Force Fitter to Avoid Periphery Placement Warnings ; Off ; Off ; -; Use Best Effort Settings for Compilation ; Off ; Off ; -+----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+ - - -Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. -+-------------------------------------+ -; Parallel Compilation ; -+----------------------------+--------+ -; Processors ; Number ; -+----------------------------+--------+ -; Number detected on machine ; 4 ; -; Maximum allowed ; 1 ; -+----------------------------+--------+ - - -+------------------------------------------------------+ -; I/O Assignment Warnings ; -+---------------+--------------------------------------+ -; Pin Name ; Reason ; -+---------------+--------------------------------------+ -; LP_STR ; Missing drive strength ; -; nACSI_ACK ; Missing drive strength ; -; nACSI_RESET ; Missing drive strength ; -; nACSI_CS ; Missing drive strength ; -; ACSI_DIR ; Missing drive strength ; -; ACSI_A1 ; Missing drive strength ; -; nSCSI_ACK ; Missing drive strength ; -; nSCSI_ATN ; Missing drive strength ; -; SCSI_DIR ; Missing drive strength ; -; MIDI_OLR ; Missing drive strength ; -; MIDI_TLR ; Missing drive strength ; -; TxD ; Missing drive strength ; -; RTS ; Missing drive strength ; -; DTR ; Missing drive strength ; -; IDE_RES ; Missing drive strength ; -; nIDE_CS0 ; Missing drive strength ; -; nIDE_CS1 ; Missing drive strength ; -; nIDE_WR ; Missing drive strength ; -; nIDE_RD ; Missing drive strength ; -; nCF_CS0 ; Missing drive strength ; -; nCF_CS1 ; Missing drive strength ; -; nROM3 ; Missing drive strength ; -; nROM4 ; Missing drive strength ; -; nRP_UDS ; Missing drive strength ; -; nRP_LDS ; Missing drive strength ; -; nSDSEL ; Missing drive strength ; -; nWR_GATE ; Missing drive strength ; -; nWR ; Missing drive strength ; -; YM_QA ; Missing drive strength ; -; YM_QB ; Missing drive strength ; -; YM_QC ; Missing drive strength ; -; SD_CLK ; Missing drive strength ; -; DSA_D ; Missing drive strength ; -; nVWE ; Missing slew rate ; -; nVCAS ; Missing slew rate ; -; nVRAS ; Missing slew rate ; -; nVCS ; Missing slew rate ; -; TIN0 ; Missing drive strength ; -; nDREQ1 ; Missing drive strength ; -; LED_FPGA_OK ; Missing slew rate ; -; VCKE ; Missing slew rate ; -; nFB_TA ; Missing drive strength ; -; nDDR_CLK ; Missing slew rate ; -; DDR_CLK ; Missing slew rate ; -; VSYNC_PAD ; Missing slew rate ; -; HSYNC_PAD ; Missing slew rate ; -; nBLANK_PAD ; Missing slew rate ; -; PIXEL_CLK_PAD ; Missing slew rate ; -; nSYNC ; Missing slew rate ; -; nMOT_ON ; Missing drive strength ; -; nSTEP_DIR ; Missing drive strength ; -; nSTEP ; Missing drive strength ; -; LPDIR ; Missing drive strength ; -; BA[1] ; Missing slew rate ; -; BA[0] ; Missing slew rate ; -; nIRQ[7] ; Missing drive strength ; -; nIRQ[6] ; Missing drive strength ; -; nIRQ[5] ; Missing drive strength ; -; nIRQ[4] ; Missing drive strength and slew rate ; -; nIRQ[3] ; Missing drive strength and slew rate ; -; nIRQ[2] ; Missing drive strength and slew rate ; -; VA[12] ; Missing slew rate ; -; VA[11] ; Missing slew rate ; -; VA[10] ; Missing slew rate ; -; VA[9] ; Missing slew rate ; -; VA[8] ; Missing slew rate ; -; VA[7] ; Missing slew rate ; -; VA[6] ; Missing slew rate ; -; VA[5] ; Missing slew rate ; -; VA[4] ; Missing slew rate ; -; VA[3] ; Missing slew rate ; -; VA[2] ; Missing slew rate ; -; VA[1] ; Missing slew rate ; -; VA[0] ; Missing slew rate ; -; VB[7] ; Missing slew rate ; -; VB[6] ; Missing slew rate ; -; VB[5] ; Missing slew rate ; -; VB[4] ; Missing slew rate ; -; VB[3] ; Missing slew rate ; -; VB[2] ; Missing slew rate ; -; VB[1] ; Missing slew rate ; -; VB[0] ; Missing slew rate ; -; VDM[3] ; Missing slew rate ; -; VDM[2] ; Missing slew rate ; -; VDM[1] ; Missing slew rate ; -; VDM[0] ; Missing slew rate ; -; VG[7] ; Missing slew rate ; -; VG[6] ; Missing slew rate ; -; VG[5] ; Missing slew rate ; -; VG[4] ; Missing slew rate ; -; VG[3] ; Missing slew rate ; -; VG[2] ; Missing slew rate ; -; VG[1] ; Missing slew rate ; -; VG[0] ; Missing slew rate ; -; VR[7] ; Missing slew rate ; -; VR[6] ; Missing slew rate ; -; VR[5] ; Missing slew rate ; -; VR[4] ; Missing slew rate ; -; VR[3] ; Missing slew rate ; -; VR[2] ; Missing slew rate ; -; VR[1] ; Missing slew rate ; -; VR[0] ; Missing slew rate ; -; VD[31] ; Missing slew rate ; -; VD[30] ; Missing slew rate ; -; VD[29] ; Missing slew rate ; -; VD[28] ; Missing slew rate ; -; VD[27] ; Missing slew rate ; -; VD[26] ; Missing slew rate ; -; VD[25] ; Missing slew rate ; -; VD[24] ; Missing slew rate ; -; VD[23] ; Missing slew rate ; -; VD[22] ; Missing slew rate ; -; VD[21] ; Missing slew rate ; -; VD[20] ; Missing slew rate ; -; VD[19] ; Missing slew rate ; -; VD[18] ; Missing slew rate ; -; VD[17] ; Missing slew rate ; -; VD[16] ; Missing slew rate ; -; VD[15] ; Missing slew rate ; -; VD[14] ; Missing slew rate ; -; VD[13] ; Missing slew rate ; -; VD[12] ; Missing slew rate ; -; VD[11] ; Missing slew rate ; -; VD[10] ; Missing slew rate ; -; VD[9] ; Missing slew rate ; -; VD[8] ; Missing slew rate ; -; VD[7] ; Missing slew rate ; -; VD[6] ; Missing slew rate ; -; VD[5] ; Missing slew rate ; -; VD[4] ; Missing slew rate ; -; VD[3] ; Missing slew rate ; -; VD[2] ; Missing slew rate ; -; VD[1] ; Missing slew rate ; -; VD[0] ; Missing slew rate ; -; VDQS[3] ; Missing slew rate ; -; VDQS[2] ; Missing slew rate ; -; VDQS[1] ; Missing slew rate ; -; VDQS[0] ; Missing slew rate ; -; SCSI_PAR ; Missing drive strength ; -; nSCSI_SEL ; Missing drive strength ; -; nSCSI_BUSY ; Missing drive strength ; -; nSCSI_RST ; Missing drive strength ; -; SD_CD_DATA3 ; Missing drive strength ; -; SD_CMD_D1 ; Missing drive strength ; -; ACSI_D[7] ; Missing drive strength ; -; ACSI_D[6] ; Missing drive strength ; -; ACSI_D[5] ; Missing drive strength ; -; ACSI_D[4] ; Missing drive strength ; -; ACSI_D[3] ; Missing drive strength ; -; ACSI_D[2] ; Missing drive strength ; -; ACSI_D[1] ; Missing drive strength ; -; ACSI_D[0] ; Missing drive strength ; -; LP_D[7] ; Missing drive strength ; -; LP_D[6] ; Missing drive strength ; -; LP_D[5] ; Missing drive strength ; -; LP_D[4] ; Missing drive strength ; -; LP_D[3] ; Missing drive strength ; -; LP_D[2] ; Missing drive strength ; -; LP_D[1] ; Missing drive strength ; -; LP_D[0] ; Missing drive strength ; -; SCSI_D[7] ; Missing drive strength ; -; SCSI_D[6] ; Missing drive strength ; -; SCSI_D[5] ; Missing drive strength ; -; SCSI_D[4] ; Missing drive strength ; -; SCSI_D[3] ; Missing drive strength ; -; SCSI_D[2] ; Missing drive strength ; -; SCSI_D[1] ; Missing drive strength ; -; SCSI_D[0] ; Missing drive strength ; -+---------------+--------------------------------------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fitter Netlist Optimizations ; -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-----------------+--------------------+-----------------------------------+-----------+----------------+----------------------------------------------------------------------------------------------------------------------------------+------------------+-----------------------+ -; Node ; Action ; Operation ; Reason ; Node Port ; Node Port Name ; Destination Node ; Destination Port ; Destination Port Name ; -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-----------------+--------------------+-----------------------------------+-----------+----------------+----------------------------------------------------------------------------------------------------------------------------------+------------------+-----------------------+ -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|DIR ; Duplicated ; Register Packing ; Timing optimization ; Q ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|DIR~_Duplicate_1 ; Q ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|DIR ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; nSTEP_DIR~output ; I ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|DIR ; Inverted ; Register Packing ; Timing optimization ; Q ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|MO ; Duplicated ; Register Packing ; Timing optimization ; Q ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|MO~_Duplicate_1 ; Q ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|MO ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; nMOT_ON~output ; I ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|MO ; Inverted ; Register Packing ; Timing optimization ; Q ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|STEP ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; nSTEP~output ; I ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|STEP ; Inverted ; Register Packing ; Timing optimization ; Q ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|WG ; Duplicated ; Register Packing ; Timing optimization ; Q ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|WG~_Duplicate_1 ; Q ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|WG ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; nWR_GATE~output ; I ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|WG ; Inverted ; Register Packing ; Timing optimization ; Q ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL|RD_In ; Packed Register ; Register Packing ; PLL Source Synchronous assignment ; Q ; ; nRD_DATA~input ; O ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|MFM_In ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; nWR~output ; I ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_A[0] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; nSDSEL~output ; I ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_A[1] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; DSA_D~output ; I ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_A[3] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; RTS~output ; I ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_A[4] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; DTR~output ; I ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_A[5] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; LP_STR~output ; I ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_A[6] ; Duplicated ; Register Packing ; Timing optimization ; Q ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_A[6]~_Duplicate_1 ; Q ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_A[6] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; LPDIR~output ; I ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_B[0] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; LP_D[0]~output ; I ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_B[1] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; LP_D[1]~output ; I ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_B[2] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; LP_D[2]~output ; I ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_B[3] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; LP_D[3]~output ; I ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_B[4] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; LP_D[4]~output ; I ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_B[5] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; LP_D[5]~output ; I ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_B[6] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; LP_D[6]~output ; I ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_B[7] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; LP_D[7]~output ; I ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|BSY_OUTn ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; nSCSI_BUSY~output ; I ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|nIDE_RD~reg0 ; Duplicated ; Register Packing ; Timing optimization ; Q ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|nIDE_RD~reg0_Duplicate_1 ; Q ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|nIDE_RD~reg0 ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; nIDE_RD~output ; I ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|nIDE_RD~reg0SLOAD_MUX ; Created ; Register Packing ; Timing optimization ; COMBOUT ; ; ; ; ; -; Video:Fredi_Aschwanden|inst90 ; Duplicated ; Register Packing ; Timing optimization ; Q ; ; Video:Fredi_Aschwanden|inst90~_Duplicate_1 ; Q ; ; -; Video:Fredi_Aschwanden|inst90 ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; VDQS[3]~output ; OE ; ; -; Video:Fredi_Aschwanden|inst90 ; Inverted ; Register Packing ; Timing optimization ; Q ; ; ; ; ; -; Video:Fredi_Aschwanden|inst90~_Duplicate_1 ; Duplicated ; Register Packing ; Timing optimization ; Q ; ; Video:Fredi_Aschwanden|inst90~_Duplicate_2 ; Q ; ; -; Video:Fredi_Aschwanden|inst90~_Duplicate_1 ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; VDQS[2]~output ; OE ; ; -; Video:Fredi_Aschwanden|inst90~_Duplicate_1 ; Inverted ; Register Packing ; Timing optimization ; Q ; ; ; ; ; -; Video:Fredi_Aschwanden|inst90~_Duplicate_2 ; Duplicated ; Register Packing ; Timing optimization ; Q ; ; Video:Fredi_Aschwanden|inst90~_Duplicate_3 ; Q ; ; -; Video:Fredi_Aschwanden|inst90~_Duplicate_2 ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; VDQS[1]~output ; OE ; ; -; Video:Fredi_Aschwanden|inst90~_Duplicate_2 ; Inverted ; Register Packing ; Timing optimization ; Q ; ; ; ; ; -; Video:Fredi_Aschwanden|inst90~_Duplicate_3 ; Duplicated ; Register Packing ; Timing optimization ; Q ; ; Video:Fredi_Aschwanden|inst90~_Duplicate_4 ; Q ; ; -; Video:Fredi_Aschwanden|inst90~_Duplicate_3 ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; VDQS[0]~output ; OE ; ; -; Video:Fredi_Aschwanden|inst90~_Duplicate_3 ; Inverted ; Register Packing ; Timing optimization ; Q ; ; ; ; ; -; Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[28] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; FB_AD[28]~input ; O ; ; -; Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[29] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; FB_AD[29]~input ; O ; ; -; Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[30] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; FB_AD[30]~input ; O ; ; -; Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[31] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; FB_AD[31]~input ; O ; ; -; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[0] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; FB_AD[0]~input ; O ; ; -; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[1] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; FB_AD[1]~input ; O ; ; -; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[2] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; FB_AD[2]~input ; O ; ; -; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[3] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; FB_AD[3]~input ; O ; ; -; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[4] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; FB_AD[4]~input ; O ; ; -; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[5] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; FB_AD[5]~input ; O ; ; -; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[6] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; FB_AD[6]~input ; O ; ; -; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[7] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; FB_AD[7]~input ; O ; ; -; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[8] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; FB_AD[8]~input ; O ; ; -; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[9] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; FB_AD[9]~input ; O ; ; -; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[10] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; FB_AD[10]~input ; O ; ; -; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[11] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; FB_AD[11]~input ; O ; ; -; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[12] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; FB_AD[12]~input ; O ; ; -; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[13] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; FB_AD[13]~input ; O ; ; -; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[14] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; FB_AD[14]~input ; O ; ; -; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[15] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; FB_AD[15]~input ; O ; ; -; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[16] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; FB_AD[16]~input ; O ; ; -; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[17] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; FB_AD[17]~input ; O ; ; -; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[18] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; FB_AD[18]~input ; O ; ; -; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[19] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; FB_AD[19]~input ; O ; ; -; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[20] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; FB_AD[20]~input ; O ; ; -; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[21] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; FB_AD[21]~input ; O ; ; -; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[22] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; FB_AD[22]~input ; O ; ; -; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[23] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; FB_AD[23]~input ; O ; ; -; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[24] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; FB_AD[24]~input ; O ; ; -; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[25] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; FB_AD[25]~input ; O ; ; -; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[26] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; FB_AD[26]~input ; O ; ; -; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[27] ; Packed Register ; Register Packing ; Timing optimization ; Q ; ; FB_AD[27]~input ; O ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_DATEN_CS~0 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_DATEN_CS~0_RESYN24_BDD25 ; Created ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[16]~53 ; Deleted ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[16]~54 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[16]~54_RESYN0_BDD1 ; Created ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[18]~168 ; Deleted ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[18]~177 ; Deleted ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[18]~178 ; Deleted ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[18]~180 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[18]~180_RESYN2_BDD3 ; Created ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[18]~180_RESYN4_BDD5 ; Created ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[18]~180_RESYN6_BDD7 ; Created ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[28]~368 ; Deleted ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[28]~369 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[28]~369_RESYN18_BDD19 ; Created ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[29]~358 ; Deleted ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[29]~359 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[29]~359_RESYN10_BDD11 ; Created ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[29]~359_RESYN12_BDD13 ; Created ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[29]~359_RESYN14_BDD15 ; Created ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[29]~359_RESYN14_RESYN50_BDD51 ; Created ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[29]~359_RESYN16_BDD17 ; Created ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~0 ; Deleted ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~1 ; Deleted ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~2 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~2_RESYN20_BDD21 ; Created ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~2_RESYN22_BDD23 ; Created ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|SNDCS ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|SNDCS_RESYN56_BDD57 ; Created ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|Add0~0 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|Add0~1 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|Add7~1 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|Add8~1 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CNT~1 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|DELCNT~54 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|DELCNT~55 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|Selector96~0 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL|Add2~1 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL|Add3~1 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL|Add3~30 ; Deleted ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL|Add3~31 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|Add0~1 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|Add1~2 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|Add1~30 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|SECTOR_REG[0]~0 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|Add1~0 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|Add1~1 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|Add1~16 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|MFM_01_STRB~1 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|MFM_10_STRB~2 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|Add1~12 ; Deleted ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|Add3~12 ; Deleted ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|Add5~12 ; Deleted ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|Add8~3 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|Add8~4 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|Add8~17 ; Deleted ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|Add8~18 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|Add10~1 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|Add11~3 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_CLK~16 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LessThan6~14 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LessThan7~14 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LessThan8~14 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|Mux84~1 ; Deleted ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|Mux92~1 ; Deleted ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|Mux100~1 ; Deleted ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|VOL_ENV[0]~3 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|\MUSICGENERATOR:CNT_CH_A[11]~1 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|\MUSICGENERATOR:CNT_CH_B[11]~1 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|\MUSICGENERATOR:CNT_CH_C[11]~1 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|Add2~1 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|Add2~16 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|Add0~1 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|Add0~15 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|Add2~1 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|Add2~12 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|Add0~1 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|Add0~15 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|DATA_OUT[3]~162 ; Deleted ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|DATA_OUT[3]~163 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|DATA_OUT[3]~163_RESYN8_BDD9 ; Created ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|Add0~1 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|Add0~2 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|Add1~1 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|Add1~2 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|Add2~1 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|Add2~3 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|Add3~1 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|Add3~3 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|Add4~0 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|Add4~1 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|Add5~0 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|Add5~1 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|Add6~1 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|Add6~2 ; Deleted ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|Add7~1 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|Add7~2 ; Deleted ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|Mux88~0 ; Deleted ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|Mux88~1 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|Mux88~3 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|Mux98~0 ; Deleted ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|Mux98~1 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|Mux98~3 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|PRESCALE~0 ; Deleted ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|PRESCALE~1 ; Deleted ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|PRESCALE~2 ; Deleted ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|PRESCALE~3 ; Deleted ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_A~1 ; Deleted ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_A~3 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_A~4 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_B~1 ; Deleted ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_B~3 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_B~4 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_C[0]~0 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_D[0]~2 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|\PRESCALE_A:PRESCALE[3]~0 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|\PRESCALE_B:PRESCALE[3]~0 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|\PRESCALE_C:PRESCALE[3]~0 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|\PRESCALE_D:PRESCALE[3]~0 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|STRB_LOCK~0 ; Deleted ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|\CLKDIV:STRB_LOCK~0 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT|SHIFT_REG[6]~1 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT|SHIFT_REG~13 ; Deleted ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT|STRB_LOCK~0 ; Deleted ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT|\CLKDIV:STRB_LOCK~0 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|CPU_REQ~0 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|CPU_REQ~0_RESYN30_BDD31 ; Created ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA_S[10]~5 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA_S[10]~5_RESYN26_BDD27 ; Created ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA_S[10]~5_RESYN28_BDD29 ; Created ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VCAS~2 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VCAS~2_RESYN52_BDD53 ; Created ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VCAS~2_RESYN54_BDD55 ; Created ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|HSYNC_START~5 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDIS_END[10] ; Deleted ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDIS_START[1]~19 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDIS_START[10]~1 ; Deleted ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~28 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~28_RESYN32_BDD33 ; Created ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|op_7~1 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|op_7~29 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|op_7~32 ; Deleted ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|op_8~1 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|op_8~17 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|op_9~1 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|op_9~29 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|op_9~32 ; Deleted ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|op_15~1 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|op_17~15 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|op_17~43 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|op_26~22 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|op_27~22 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|op_28~20 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|op_30~20 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|op_31~1 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; interrupt_handler:nobody|_~472 ; Deleted ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; interrupt_handler:nobody|_~478 ; Deleted ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; interrupt_handler:nobody|_~479 ; Deleted ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; interrupt_handler:nobody|_~481 ; Deleted ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; interrupt_handler:nobody|_~482 ; Deleted ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; interrupt_handler:nobody|lpm_bustri_BYT:$00000|lpm_bustri:lpm_bustri_component|dout[5]~10 ; Deleted ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; interrupt_handler:nobody|lpm_bustri_BYT:$00000|lpm_bustri:lpm_bustri_component|dout[5]~11 ; Deleted ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; interrupt_handler:nobody|lpm_bustri_BYT:$00004|lpm_bustri:lpm_bustri_component|dout[0]~15 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; interrupt_handler:nobody|lpm_bustri_BYT:$00004|lpm_bustri:lpm_bustri_component|dout[0]~15_RESYN42_BDD43 ; Created ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; interrupt_handler:nobody|lpm_bustri_BYT:$00004|lpm_bustri:lpm_bustri_component|dout[0]~15_RESYN44_BDD45 ; Created ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; interrupt_handler:nobody|lpm_bustri_BYT:$00004|lpm_bustri:lpm_bustri_component|dout[0]~15_RESYN46_BDD47 ; Created ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; interrupt_handler:nobody|lpm_bustri_BYT:$00004|lpm_bustri:lpm_bustri_component|dout[0]~15_RESYN48_BDD49 ; Created ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; interrupt_handler:nobody|lpm_bustri_BYT:$00004|lpm_bustri:lpm_bustri_component|dout[1]~13 ; Modified ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; interrupt_handler:nobody|lpm_bustri_BYT:$00004|lpm_bustri:lpm_bustri_component|dout[1]~13_RESYN34_BDD35 ; Created ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; interrupt_handler:nobody|lpm_bustri_BYT:$00004|lpm_bustri:lpm_bustri_component|dout[1]~13_RESYN36_BDD37 ; Created ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; interrupt_handler:nobody|lpm_bustri_BYT:$00004|lpm_bustri:lpm_bustri_component|dout[1]~13_RESYN38_BDD39 ; Created ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -; interrupt_handler:nobody|lpm_bustri_BYT:$00004|lpm_bustri:lpm_bustri_component|dout[1]~13_RESYN40_BDD41 ; Created ; Physical Synthesis ; Timing optimization ; ; ; ; ; ; -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-----------------+--------------------+-----------------------------------+-----------+----------------+----------------------------------------------------------------------------------------------------------------------------------+------------------+-----------------------+ - - -+------------------------------------------------------------------------------------------------------------------------------------------------+ -; Ignored Assignments ; -+-----------------------------+----------------+--------------+----------------------------+------------------------+----------------------------+ -; Name ; Ignored Entity ; Ignored From ; Ignored To ; Ignored Value ; Ignored Source ; -+-----------------------------+----------------+--------------+----------------------------+------------------------+----------------------------+ -; DDIO_INPUT_REGISTER ; altddio_bidir ; ; input_cell_H ; HIGH ; Compiler or HDL Assignment ; -; DDIO_INPUT_REGISTER ; altddio_bidir ; ; input_cell_L ; LOW ; Compiler or HDL Assignment ; -; Synchronizer Identification ; dcfifo_0hh1 ; ; rdemp_eq_comp_lsb_aeb ; FORCED_IF_ASYNCHRONOUS ; Compiler or HDL Assignment ; -; Synchronizer Identification ; dcfifo_0hh1 ; ; rdemp_eq_comp_msb_aeb ; FORCED_IF_ASYNCHRONOUS ; Compiler or HDL Assignment ; -; Synchronizer Identification ; dcfifo_0hh1 ; ; rs_dgwp_reg ; FORCED_IF_ASYNCHRONOUS ; Compiler or HDL Assignment ; -; Synchronizer Identification ; dcfifo_0hh1 ; ; wrfull_eq_comp_lsb_mux_reg ; FORCED_IF_ASYNCHRONOUS ; Compiler or HDL Assignment ; -; Synchronizer Identification ; dcfifo_0hh1 ; ; wrfull_eq_comp_msb_mux_reg ; FORCED_IF_ASYNCHRONOUS ; Compiler or HDL Assignment ; -; Synchronizer Identification ; dcfifo_0hh1 ; ; ws_dgrp_reg ; FORCED_IF_ASYNCHRONOUS ; Compiler or HDL Assignment ; -; Synchronizer Identification ; dcfifo_3fh1 ; ; rdemp_eq_comp_lsb_aeb ; FORCED_IF_ASYNCHRONOUS ; Compiler or HDL Assignment ; -; Synchronizer Identification ; dcfifo_3fh1 ; ; rdemp_eq_comp_msb_aeb ; FORCED_IF_ASYNCHRONOUS ; Compiler or HDL Assignment ; -; Synchronizer Identification ; dcfifo_3fh1 ; ; rs_dgwp_reg ; FORCED_IF_ASYNCHRONOUS ; Compiler or HDL Assignment ; -; Synchronizer Identification ; dcfifo_3fh1 ; ; wrfull_eq_comp_lsb_mux_reg ; FORCED_IF_ASYNCHRONOUS ; Compiler or HDL Assignment ; -; Synchronizer Identification ; dcfifo_3fh1 ; ; wrfull_eq_comp_msb_mux_reg ; FORCED_IF_ASYNCHRONOUS ; Compiler or HDL Assignment ; -; Synchronizer Identification ; dcfifo_3fh1 ; ; ws_dgrp_reg ; FORCED_IF_ASYNCHRONOUS ; Compiler or HDL Assignment ; -; Synchronizer Identification ; dcfifo_8fi1 ; ; rdemp_eq_comp_lsb_aeb ; FORCED_IF_ASYNCHRONOUS ; Compiler or HDL Assignment ; -; Synchronizer Identification ; dcfifo_8fi1 ; ; rdemp_eq_comp_msb_aeb ; FORCED_IF_ASYNCHRONOUS ; Compiler or HDL Assignment ; -; Synchronizer Identification ; dcfifo_8fi1 ; ; rs_dgwp_reg ; FORCED_IF_ASYNCHRONOUS ; Compiler or HDL Assignment ; -; Synchronizer Identification ; dcfifo_8fi1 ; ; wrfull_eq_comp_lsb_mux_reg ; FORCED_IF_ASYNCHRONOUS ; Compiler or HDL Assignment ; -; Synchronizer Identification ; dcfifo_8fi1 ; ; wrfull_eq_comp_msb_mux_reg ; FORCED_IF_ASYNCHRONOUS ; Compiler or HDL Assignment ; -; Synchronizer Identification ; dcfifo_8fi1 ; ; ws_dgrp_reg ; FORCED_IF_ASYNCHRONOUS ; Compiler or HDL Assignment ; -+-----------------------------+----------------+--------------+----------------------------+------------------------+----------------------------+ - - -+------------------------------------------------+ -; Incremental Compilation Preservation Summary ; -+-------------------------+----------------------+ -; Type ; Value ; -+-------------------------+----------------------+ -; Netlist ; ; -; -- Requested ; 0 / 0 ( 0.00 % ) ; -; -- Achieved ; 0 / 0 ( 0.00 % ) ; -; ; ; -; Placement ; ; -; -- Requested ; 0 / 13829 ( 0.00 % ) ; -; -- Achieved ; 0 / 13829 ( 0.00 % ) ; -; ; ; -; Routing (by Connection) ; ; -; -- Requested ; 0 / 0 ( 0.00 % ) ; -; -- Achieved ; 0 / 0 ( 0.00 % ) ; -+-------------------------+----------------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------+ -; Incremental Compilation Partition Settings ; -+----------------+----------------+-------------------+-------------------------+------------------------+------------------------------+----------+ -; Partition Name ; Partition Type ; Netlist Type Used ; Preservation Level Used ; Netlist Type Requested ; Preservation Level Requested ; Contents ; -+----------------+----------------+-------------------+-------------------------+------------------------+------------------------------+----------+ -; Top ; User-created ; Source File ; N/A ; Source File ; N/A ; ; -+----------------+----------------+-------------------+-------------------------+------------------------+------------------------------+----------+ - - -+--------------------------------------------------------------------------------------------+ -; Incremental Compilation Placement Preservation ; -+----------------+---------+-------------------+-------------------------+-------------------+ -; Partition Name ; # Nodes ; # Preserved Nodes ; Preservation Level Used ; Netlist Type Used ; -+----------------+---------+-------------------+-------------------------+-------------------+ -; Top ; 13829 ; 0 ; N/A ; Source File ; -+----------------+---------+-------------------+-------------------------+-------------------+ - - -+--------------+ -; Pin-Out File ; -+--------------+ -The pin-out file can be found in C:/FireBee/FPGA/firebee1.pin. - - -+----------------------------------------------------------------------------+ -; Fitter Resource Usage Summary ; -+---------------------------------------------+------------------------------+ -; Resource ; Usage ; -+---------------------------------------------+------------------------------+ -; Total logic elements ; 9,526 / 39,600 ( 24 % ) ; -; -- Combinational with no register ; 4963 ; -; -- Register only ; 1465 ; -; -- Combinational with a register ; 3098 ; -; ; ; -; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 4959 ; -; -- 3 input functions ; 1861 ; -; -- <=2 input functions ; 1241 ; -; -- Register only ; 1465 ; -; ; ; -; Logic elements by mode ; ; -; -- normal mode ; 7262 ; -; -- arithmetic mode ; 799 ; -; ; ; -; Total registers* ; 4,749 / 41,185 ( 12 % ) ; -; -- Dedicated logic registers ; 4,563 / 39,600 ( 12 % ) ; -; -- I/O registers ; 186 / 1,585 ( 12 % ) ; -; ; ; -; Total LABs: partially or completely used ; 756 / 2,475 ( 31 % ) ; -; User inserted logic elements ; 0 ; -; Virtual pins ; 0 ; -; I/O pins ; 295 / 332 ( 89 % ) ; -; -- Clock pins ; 7 / 8 ( 88 % ) ; -; -- Dedicated input pins ; 0 / 9 ( 0 % ) ; -; Global signals ; 20 ; -; M9Ks ; 23 / 126 ( 18 % ) ; -; Total block memory bits ; 109,344 / 1,161,216 ( 9 % ) ; -; Total block memory implementation bits ; 211,968 / 1,161,216 ( 18 % ) ; -; Embedded Multiplier 9-bit elements ; 6 / 252 ( 2 % ) ; -; PLLs ; 4 / 4 ( 100 % ) ; -; Global clocks ; 20 / 20 ( 100 % ) ; -; JTAGs ; 0 / 1 ( 0 % ) ; -; CRC blocks ; 0 / 1 ( 0 % ) ; -; ASMI blocks ; 0 / 1 ( 0 % ) ; -; Impedance control blocks ; 0 / 4 ( 0 % ) ; -; Average interconnect usage (total/H/V) ; 15% / 14% / 16% ; -; Peak interconnect usage (total/H/V) ; 59% / 54% / 65% ; -; Maximum fan-out node ; MAIN_CLK~input ; -; Maximum fan-out ; 2272 ; -; Highest non-global fan-out signal ; MAIN_CLK~input ; -; Highest non-global fan-out ; 2272 ; -; Total fan-out ; 44654 ; -; Average fan-out ; 3.02 ; -+---------------------------------------------+------------------------------+ -* Register count does not include registers inside RAM blocks or DSP blocks. - - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Input Pins ; -+----------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+ -; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination Control Block ; Location assigned by ; -+----------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+ -; AMKB_RX ; Y2 ; 2 ; 0 ; 10 ; 21 ; 10 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; CLK33M ; AB12 ; 4 ; 36 ; 0 ; 0 ; 16 ; 0 ; yes ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; CTS ; H14 ; 7 ; 61 ; 43 ; 7 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; DCD ; A19 ; 7 ; 56 ; 43 ; 21 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; DVI_INT ; A11 ; 8 ; 34 ; 43 ; 14 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; E0_INT ; G21 ; 6 ; 67 ; 22 ; 0 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; FB_ALE ; R7 ; 2 ; 0 ; 2 ; 0 ; 33 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; FB_SIZE0 ; U8 ; 3 ; 3 ; 0 ; 21 ; 24 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; FB_SIZE1 ; Y4 ; 3 ; 3 ; 0 ; 14 ; 24 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; HD_DD ; F16 ; 7 ; 65 ; 43 ; 21 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; IDE_INT ; G22 ; 6 ; 67 ; 22 ; 7 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; IDE_RDY ; Y1 ; 2 ; 0 ; 9 ; 0 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; LP_BUSY ; G7 ; 8 ; 3 ; 43 ; 28 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; MAIN_CLK ; G2 ; 1 ; 0 ; 21 ; 0 ; 2272 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; MIDI_IN ; E12 ; 7 ; 36 ; 43 ; 7 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; PIC_AMKB_RX ; L7 ; 2 ; 0 ; 18 ; 7 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; PIC_INT ; AA2 ; 2 ; 0 ; 7 ; 21 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; RI ; B19 ; 7 ; 56 ; 43 ; 14 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; RxD ; H15 ; 7 ; 61 ; 43 ; 0 ; 4 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; SD_CARD_DEDECT ; M20 ; 5 ; 67 ; 19 ; 21 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; SD_DATA0 ; B16 ; 7 ; 50 ; 43 ; 14 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; SD_DATA1 ; A16 ; 7 ; 50 ; 43 ; 7 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; SD_DATA2 ; B17 ; 7 ; 50 ; 43 ; 0 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; SD_WP ; M19 ; 5 ; 67 ; 19 ; 14 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; TOUT0 ; T22 ; 5 ; 67 ; 22 ; 21 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; TRACK00 ; C19 ; 7 ; 61 ; 43 ; 28 ; 11 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; WP_CF_CARD ; T1 ; 2 ; 0 ; 21 ; 21 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; nACSI_DRQ ; K7 ; 1 ; 0 ; 30 ; 14 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; nACSI_INT ; J4 ; 1 ; 0 ; 29 ; 14 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; nDACK0 ; B12 ; 7 ; 34 ; 43 ; 7 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; nDACK1 ; A12 ; 7 ; 34 ; 43 ; 0 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; nDCHG ; C17 ; 7 ; 56 ; 43 ; 7 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; nFB_BURST ; T3 ; 2 ; 0 ; 7 ; 0 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; nFB_CS1 ; T8 ; 3 ; 14 ; 0 ; 28 ; 59 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; nFB_CS2 ; T9 ; 3 ; 14 ; 0 ; 21 ; 95 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; nFB_CS3 ; V6 ; 3 ; 1 ; 0 ; 28 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; nFB_OE ; R6 ; 2 ; 0 ; 3 ; 0 ; 101 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; nFB_WR ; T5 ; 2 ; 0 ; 4 ; 0 ; 235 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; nINDEX ; E16 ; 7 ; 65 ; 43 ; 28 ; 14 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; nMASTER ; T21 ; 5 ; 67 ; 22 ; 14 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; nPCI_INTA ; AA1 ; 2 ; 0 ; 6 ; 0 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; nPCI_INTB ; V4 ; 2 ; 0 ; 5 ; 0 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; nPCI_INTC ; V3 ; 2 ; 0 ; 5 ; 7 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; nPCI_INTD ; P6 ; 2 ; 0 ; 5 ; 14 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; nRD_DATA ; A20 ; 7 ; 59 ; 43 ; 7 ; 0 ; 2 ; no ; yes ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; nRSTO_MCF ; B11 ; 8 ; 34 ; 43 ; 21 ; 27 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; nSCSI_C_D ; H1 ; 1 ; 0 ; 28 ; 0 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; nSCSI_DRQ ; U1 ; 2 ; 0 ; 15 ; 21 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; nSCSI_I_O ; J3 ; 1 ; 0 ; 28 ; 7 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; nSCSI_MSG ; H2 ; 1 ; 0 ; 29 ; 21 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; nWP ; D19 ; 7 ; 59 ; 43 ; 0 ; 4 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -+----------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Output Pins ; -+---------------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+------+----------------------+---------------------+ -; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Output Register ; Output Enable Register ; Power Up High ; Slew Rate ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Termination Control Block ; Output Buffer Pre-emphasis ; Voltage Output Differential ; Location assigned by ; Load ; Output Enable Source ; Output Enable Group ; -+---------------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+------+----------------------+---------------------+ -; ACSI_A1 ; M6 ; 2 ; 0 ; 20 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; ACSI_DIR ; L6 ; 2 ; 0 ; 20 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; AMKB_TX ; N1 ; 2 ; 0 ; 19 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 2mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; BA[0] ; W19 ; 5 ; 67 ; 5 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; BA[1] ; AA19 ; 4 ; 56 ; 0 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; CLK24M576 ; AB10 ; 3 ; 34 ; 0 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; CLK25M ; T4 ; 2 ; 0 ; 4 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; CLKUSB ; J1 ; 1 ; 0 ; 28 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; DDR_CLK ; AB17 ; 4 ; 54 ; 0 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; DSA_D ; F15 ; 7 ; 63 ; 43 ; 0 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; DTR ; D15 ; 7 ; 54 ; 43 ; 14 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; HSYNC_PAD ; K21 ; 6 ; 67 ; 27 ; 14 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; IDE_RES ; M5 ; 2 ; 0 ; 18 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; LED_FPGA_OK ; N19 ; 5 ; 67 ; 15 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 4mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; LPDIR ; E5 ; 8 ; 1 ; 43 ; 21 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; LP_STR ; E6 ; 8 ; 1 ; 43 ; 14 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; MIDI_OLR ; H5 ; 1 ; 0 ; 31 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; MIDI_TLR ; B2 ; 1 ; 0 ; 41 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; PIXEL_CLK_PAD ; F19 ; 6 ; 67 ; 37 ; 14 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; RTS ; B18 ; 7 ; 54 ; 43 ; 7 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; SCSI_DIR ; J7 ; 1 ; 0 ; 30 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; SD_CLK ; C15 ; 7 ; 50 ; 43 ; 21 ; no ; no ; no ; 2 ; no ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; TIN0 ; R5 ; 2 ; 0 ; 4 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; TxD ; A18 ; 7 ; 54 ; 43 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; VA[0] ; W20 ; 5 ; 67 ; 3 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; VA[10] ; V21 ; 5 ; 67 ; 10 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; VA[11] ; U19 ; 5 ; 67 ; 7 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; VA[12] ; AA18 ; 4 ; 54 ; 0 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; VA[1] ; W22 ; 5 ; 67 ; 7 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; VA[2] ; W21 ; 5 ; 67 ; 8 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; VA[3] ; Y22 ; 5 ; 67 ; 6 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; VA[4] ; AA22 ; 5 ; 67 ; 2 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; VA[5] ; Y21 ; 5 ; 67 ; 7 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; VA[6] ; AA21 ; 5 ; 67 ; 2 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; VA[7] ; AA20 ; 4 ; 61 ; 0 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; VA[8] ; AB20 ; 4 ; 61 ; 0 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; VA[9] ; AB19 ; 4 ; 59 ; 0 ; 28 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; VB[0] ; G18 ; 6 ; 67 ; 37 ; 0 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; VB[1] ; H17 ; 6 ; 67 ; 38 ; 21 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; VB[2] ; C22 ; 6 ; 67 ; 38 ; 14 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; VB[3] ; C21 ; 6 ; 67 ; 38 ; 7 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; VB[4] ; B22 ; 6 ; 67 ; 39 ; 21 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; VB[5] ; B21 ; 6 ; 67 ; 39 ; 14 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; VB[6] ; C20 ; 6 ; 67 ; 39 ; 7 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; VB[7] ; D20 ; 6 ; 67 ; 40 ; 21 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; VCKE ; U15 ; 4 ; 50 ; 0 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; VDM[0] ; AA16 ; 4 ; 45 ; 0 ; 21 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; VDM[1] ; V16 ; 4 ; 61 ; 0 ; 7 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; VDM[2] ; U20 ; 5 ; 67 ; 7 ; 14 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; VDM[3] ; T17 ; 5 ; 67 ; 3 ; 21 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; VG[0] ; H19 ; 6 ; 67 ; 34 ; 14 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; VG[1] ; E22 ; 6 ; 67 ; 34 ; 7 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; VG[2] ; E21 ; 6 ; 67 ; 34 ; 0 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; VG[3] ; H18 ; 6 ; 67 ; 35 ; 0 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; VG[4] ; J17 ; 6 ; 67 ; 36 ; 21 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; VG[5] ; H16 ; 6 ; 67 ; 36 ; 14 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; VG[6] ; D22 ; 6 ; 67 ; 36 ; 7 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; VG[7] ; D21 ; 6 ; 67 ; 36 ; 0 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; VR[0] ; J22 ; 6 ; 67 ; 28 ; 21 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; VR[1] ; J21 ; 6 ; 67 ; 28 ; 14 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; VR[2] ; H22 ; 6 ; 67 ; 28 ; 7 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; VR[3] ; H21 ; 6 ; 67 ; 28 ; 0 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; VR[4] ; K17 ; 6 ; 67 ; 29 ; 0 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; VR[5] ; K18 ; 6 ; 67 ; 30 ; 21 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; VR[6] ; J18 ; 6 ; 67 ; 31 ; 21 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; VR[7] ; F22 ; 6 ; 67 ; 31 ; 7 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; VSYNC_PAD ; K19 ; 6 ; 67 ; 26 ; 21 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; YM_QA ; A17 ; 7 ; 52 ; 43 ; 28 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; YM_QB ; G13 ; 7 ; 52 ; 43 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; YM_QC ; E15 ; 7 ; 54 ; 43 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; nACSI_ACK ; M4 ; 2 ; 0 ; 19 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; nACSI_CS ; M2 ; 2 ; 0 ; 20 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; nACSI_RESET ; M1 ; 2 ; 0 ; 20 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; nBLANK_PAD ; G17 ; 6 ; 67 ; 41 ; 14 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; nCF_CS0 ; W2 ; 2 ; 0 ; 10 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; nCF_CS1 ; W1 ; 2 ; 0 ; 10 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; nDDR_CLK ; AA17 ; 4 ; 54 ; 0 ; 28 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; nDREQ1 ; E11 ; 7 ; 36 ; 43 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; nFB_TA ; T7 ; 2 ; 0 ; 2 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; nIDE_CS0 ; R2 ; 2 ; 0 ; 16 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; nIDE_CS1 ; R1 ; 2 ; 0 ; 16 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; nIDE_RD ; P1 ; 2 ; 0 ; 17 ; 21 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; nIDE_WR ; P2 ; 2 ; 0 ; 17 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; nIRQ[2] ; F21 ; 6 ; 67 ; 31 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVCMOS ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; nIRQ[3] ; H20 ; 6 ; 67 ; 34 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVCMOS ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; nIRQ[4] ; F20 ; 6 ; 67 ; 37 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVCMOS ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; nIRQ[5] ; P5 ; 2 ; 0 ; 12 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; nIRQ[6] ; P7 ; 2 ; 0 ; 7 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; nIRQ[7] ; N7 ; 2 ; 0 ; 7 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; nMOT_ON ; G16 ; 7 ; 63 ; 43 ; 7 ; yes ; no ; yes ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; nPD_VGA ; V1 ; 2 ; 0 ; 13 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; nROM3 ; P3 ; 2 ; 0 ; 15 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; nROM4 ; U2 ; 2 ; 0 ; 15 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; nRP_LDS ; N5 ; 2 ; 0 ; 16 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; nRP_UDS ; P4 ; 2 ; 0 ; 16 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; nSCSI_ACK ; N2 ; 2 ; 0 ; 19 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; nSCSI_ATN ; M3 ; 2 ; 0 ; 19 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; nSDSEL ; B20 ; 7 ; 59 ; 43 ; 14 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; nSRBHE ; B4 ; 8 ; 7 ; 43 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; nSRBLE ; A4 ; 8 ; 9 ; 43 ; 28 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; nSRCS ; B8 ; 8 ; 25 ; 43 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; nSROE ; F11 ; 7 ; 36 ; 43 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; nSRWE ; F8 ; 8 ; 7 ; 43 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; nSTEP ; F14 ; 7 ; 63 ; 43 ; 28 ; yes ; no ; yes ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; nSTEP_DIR ; G15 ; 7 ; 63 ; 43 ; 21 ; yes ; no ; yes ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; nSYNC ; F17 ; 6 ; 67 ; 41 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVCMOS ; 8mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; nVCAS ; AB18 ; 4 ; 52 ; 0 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; nVCS ; T18 ; 5 ; 67 ; 3 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; nVRAS ; W17 ; 4 ; 59 ; 0 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; nVWE ; Y17 ; 4 ; 61 ; 0 ; 28 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; nWR ; G14 ; 7 ; 54 ; 43 ; 28 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -; nWR_GATE ; D17 ; 7 ; 61 ; 43 ; 14 ; yes ; no ; yes ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; 0 pF ; - ; - ; -+---------------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+------+----------------------+---------------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Bidir Pins ; -+-------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+-----------------+------------------------+---------------+-----------+-----------------+------------+----------+--------------+--------------+------------------+--------------------+---------------------------+----------------------+------+---------------------------------------------------------------------------------------------------------------+---------------------+ -; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Output Register ; Output Enable Register ; Power Up High ; Slew Rate ; PCI I/O Enabled ; Open Drain ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Output Termination ; Termination Control Block ; Location assigned by ; Load ; Output Enable Source ; Output Enable Group ; -+-------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+-----------------+------------------------+---------------+-----------+-----------------+------------+----------+--------------+--------------+------------------+--------------------+---------------------------+----------------------+------+---------------------------------------------------------------------------------------------------------------+---------------------+ -; ACSI_D[0] ; B1 ; 1 ; 0 ; 40 ; 0 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; yes ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; -; ACSI_D[1] ; G5 ; 1 ; 0 ; 40 ; 7 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; yes ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; -; ACSI_D[2] ; E3 ; 1 ; 0 ; 39 ; 7 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; yes ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; -; ACSI_D[3] ; C2 ; 1 ; 0 ; 38 ; 14 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; yes ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; -; ACSI_D[4] ; C1 ; 1 ; 0 ; 38 ; 21 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; yes ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; -; ACSI_D[5] ; D2 ; 1 ; 0 ; 37 ; 0 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; yes ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; -; ACSI_D[6] ; H7 ; 1 ; 0 ; 37 ; 14 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; yes ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; -; ACSI_D[7] ; H6 ; 1 ; 0 ; 37 ; 21 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; yes ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; -; FB_AD[0] ; Y3 ; 3 ; 3 ; 0 ; 7 ; 21 ; 25 ; no ; yes ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[13]~104 (inverted) ; - ; -; FB_AD[10] ; W7 ; 3 ; 14 ; 0 ; 14 ; 19 ; 27 ; no ; yes ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[13]~104 (inverted) ; - ; -; FB_AD[11] ; Y7 ; 3 ; 14 ; 0 ; 7 ; 19 ; 14 ; no ; yes ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[13]~104 (inverted) ; - ; -; FB_AD[12] ; U9 ; 3 ; 16 ; 0 ; 21 ; 21 ; 8 ; no ; yes ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[13]~104 (inverted) ; - ; -; FB_AD[13] ; V8 ; 3 ; 16 ; 0 ; 14 ; 21 ; 13 ; no ; yes ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[13]~104 (inverted) ; - ; -; FB_AD[14] ; W8 ; 3 ; 16 ; 0 ; 7 ; 20 ; 13 ; no ; yes ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[13]~104 (inverted) ; - ; -; FB_AD[15] ; AA7 ; 3 ; 16 ; 0 ; 0 ; 19 ; 11 ; no ; yes ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[13]~104 (inverted) ; - ; -; FB_AD[16] ; AB7 ; 3 ; 18 ; 0 ; 21 ; 142 ; 10 ; no ; yes ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[16]~78 (inverted) ; - ; -; FB_AD[17] ; Y8 ; 3 ; 18 ; 0 ; 14 ; 144 ; 9 ; no ; yes ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[16]~78 (inverted) ; - ; -; FB_AD[18] ; V9 ; 3 ; 20 ; 0 ; 21 ; 144 ; 9 ; no ; yes ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[18]~183 (inverted) ; - ; -; FB_AD[19] ; V10 ; 3 ; 20 ; 0 ; 14 ; 142 ; 5 ; no ; yes ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[18]~259 (inverted) ; - ; -; FB_AD[1] ; Y6 ; 3 ; 5 ; 0 ; 14 ; 20 ; 158 ; no ; yes ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[13]~104 (inverted) ; - ; -; FB_AD[20] ; T10 ; 3 ; 18 ; 0 ; 7 ; 143 ; 3 ; no ; yes ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[18]~183 (inverted) ; - ; -; FB_AD[21] ; U10 ; 3 ; 22 ; 0 ; 14 ; 142 ; 3 ; no ; yes ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[18]~183 (inverted) ; - ; -; FB_AD[22] ; AA8 ; 3 ; 22 ; 0 ; 7 ; 139 ; 3 ; no ; yes ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[18]~183 (inverted) ; - ; -; FB_AD[23] ; AB8 ; 3 ; 22 ; 0 ; 0 ; 136 ; 2 ; no ; yes ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[18]~259 (inverted) ; - ; -; FB_AD[24] ; T11 ; 3 ; 18 ; 0 ; 0 ; 62 ; 3 ; no ; yes ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[26]~224 (inverted) ; - ; -; FB_AD[25] ; AA9 ; 3 ; 27 ; 0 ; 7 ; 58 ; 3 ; no ; yes ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[26]~224 (inverted) ; - ; -; FB_AD[26] ; AB9 ; 3 ; 27 ; 0 ; 0 ; 56 ; 11 ; no ; yes ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[26]~203 (inverted) ; - ; -; FB_AD[27] ; U11 ; 3 ; 29 ; 0 ; 28 ; 47 ; 5 ; no ; yes ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[31]~141 (inverted) ; - ; -; FB_AD[28] ; V11 ; 3 ; 34 ; 0 ; 28 ; 36 ; 1 ; no ; yes ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[31]~141 (inverted) ; - ; -; FB_AD[29] ; W10 ; 3 ; 34 ; 0 ; 21 ; 32 ; 1 ; no ; yes ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[31]~141 (inverted) ; - ; -; FB_AD[2] ; AA3 ; 3 ; 7 ; 0 ; 28 ; 20 ; 120 ; no ; yes ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[13]~104 (inverted) ; - ; -; FB_AD[30] ; Y10 ; 3 ; 34 ; 0 ; 14 ; 36 ; 1 ; no ; yes ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[31]~141 (inverted) ; - ; -; FB_AD[31] ; AA10 ; 3 ; 34 ; 0 ; 7 ; 35 ; 1 ; no ; yes ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[31]~141 (inverted) ; - ; -; FB_AD[3] ; AB3 ; 3 ; 7 ; 0 ; 21 ; 20 ; 97 ; no ; yes ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[13]~104 (inverted) ; - ; -; FB_AD[4] ; W6 ; 3 ; 7 ; 0 ; 14 ; 20 ; 83 ; no ; yes ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[13]~104 (inverted) ; - ; -; FB_AD[5] ; V7 ; 3 ; 7 ; 0 ; 7 ; 20 ; 161 ; no ; yes ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[13]~104 (inverted) ; - ; -; FB_AD[6] ; AA4 ; 3 ; 9 ; 0 ; 28 ; 19 ; 27 ; no ; yes ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[13]~104 (inverted) ; - ; -; FB_AD[7] ; AB4 ; 3 ; 9 ; 0 ; 21 ; 18 ; 26 ; no ; yes ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[13]~104 (inverted) ; - ; -; FB_AD[8] ; AA5 ; 3 ; 9 ; 0 ; 14 ; 20 ; 34 ; no ; yes ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[13]~104 (inverted) ; - ; -; FB_AD[9] ; AB5 ; 3 ; 9 ; 0 ; 7 ; 20 ; 22 ; no ; yes ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[13]~104 (inverted) ; - ; -; IO[0] ; A8 ; 8 ; 25 ; 43 ; 0 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; -; IO[10] ; B15 ; 7 ; 45 ; 43 ; 14 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; -; IO[11] ; C13 ; 7 ; 45 ; 43 ; 21 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; -; IO[12] ; D13 ; 7 ; 45 ; 43 ; 28 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; -; IO[13] ; E13 ; 7 ; 41 ; 43 ; 7 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; -; IO[14] ; A14 ; 7 ; 41 ; 43 ; 14 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; -; IO[15] ; B14 ; 7 ; 38 ; 43 ; 0 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; -; IO[16] ; A13 ; 7 ; 38 ; 43 ; 21 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; -; IO[17] ; B13 ; 7 ; 38 ; 43 ; 28 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; -; IO[1] ; A7 ; 8 ; 25 ; 43 ; 14 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; -; IO[2] ; B7 ; 8 ; 25 ; 43 ; 21 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; -; IO[3] ; A6 ; 8 ; 25 ; 43 ; 28 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; -; IO[4] ; B6 ; 8 ; 22 ; 43 ; 0 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; -; IO[5] ; E9 ; 8 ; 22 ; 43 ; 28 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; -; IO[6] ; C8 ; 8 ; 20 ; 43 ; 0 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; -; IO[7] ; C7 ; 8 ; 20 ; 43 ; 7 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; -; IO[8] ; G10 ; 8 ; 11 ; 43 ; 28 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; -; IO[9] ; A15 ; 7 ; 45 ; 43 ; 7 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; -; LP_D[0] ; F7 ; 8 ; 3 ; 43 ; 21 ; 1 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_A[6]~_Duplicate_1 ; - ; -; LP_D[1] ; C4 ; 8 ; 3 ; 43 ; 0 ; 1 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_A[6]~_Duplicate_1 ; - ; -; LP_D[2] ; C3 ; 8 ; 5 ; 43 ; 28 ; 1 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_A[6]~_Duplicate_1 ; - ; -; LP_D[3] ; E7 ; 8 ; 5 ; 43 ; 21 ; 1 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_A[6]~_Duplicate_1 ; - ; -; LP_D[4] ; D6 ; 8 ; 5 ; 43 ; 14 ; 1 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_A[6]~_Duplicate_1 ; - ; -; LP_D[5] ; B3 ; 8 ; 5 ; 43 ; 7 ; 1 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_A[6]~_Duplicate_1 ; - ; -; LP_D[6] ; A3 ; 8 ; 5 ; 43 ; 0 ; 1 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_A[6]~_Duplicate_1 ; - ; -; LP_D[7] ; G8 ; 8 ; 7 ; 43 ; 21 ; 1 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_A[6]~_Duplicate_1 ; - ; -; SCSI_D[0] ; J6 ; 1 ; 0 ; 36 ; 0 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; -; SCSI_D[1] ; E1 ; 1 ; 0 ; 36 ; 14 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; -; SCSI_D[2] ; F2 ; 1 ; 0 ; 35 ; 7 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; -; SCSI_D[3] ; F1 ; 1 ; 0 ; 35 ; 14 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; -; SCSI_D[4] ; G4 ; 1 ; 0 ; 41 ; 0 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; -; SCSI_D[5] ; G3 ; 1 ; 0 ; 41 ; 7 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; -; SCSI_D[6] ; L8 ; 1 ; 0 ; 31 ; 21 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; -; SCSI_D[7] ; K8 ; 1 ; 0 ; 30 ; 0 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; -; SCSI_PAR ; M7 ; 2 ; 0 ; 11 ; 0 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; -; SD_CD_DATA3 ; F13 ; 7 ; 45 ; 43 ; 0 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; yes ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; -; SD_CMD_D1 ; E14 ; 7 ; 48 ; 43 ; 7 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; yes ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; -; SRD[0] ; B5 ; 8 ; 11 ; 43 ; 14 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; DSP:Mathias_Alles|nSRWE~1 (inverted) ; - ; -; SRD[10] ; A9 ; 8 ; 32 ; 43 ; 28 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; DSP:Mathias_Alles|nSRWE~1 (inverted) ; - ; -; SRD[11] ; B10 ; 8 ; 32 ; 43 ; 21 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; DSP:Mathias_Alles|nSRWE~1 (inverted) ; - ; -; SRD[12] ; D10 ; 8 ; 32 ; 43 ; 0 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; DSP:Mathias_Alles|nSRWE~1 (inverted) ; - ; -; SRD[13] ; F10 ; 8 ; 9 ; 43 ; 0 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; DSP:Mathias_Alles|nSRWE~1 (inverted) ; - ; -; SRD[14] ; G9 ; 8 ; 1 ; 43 ; 28 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; DSP:Mathias_Alles|nSRWE~1 (inverted) ; - ; -; SRD[15] ; H10 ; 8 ; 18 ; 43 ; 0 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; DSP:Mathias_Alles|nSRWE~1 (inverted) ; - ; -; SRD[1] ; A5 ; 8 ; 14 ; 43 ; 14 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; DSP:Mathias_Alles|nSRWE~1 (inverted) ; - ; -; SRD[2] ; C6 ; 8 ; 9 ; 43 ; 7 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; DSP:Mathias_Alles|nSRWE~1 (inverted) ; - ; -; SRD[3] ; G11 ; 8 ; 27 ; 43 ; 0 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; DSP:Mathias_Alles|nSRWE~1 (inverted) ; - ; -; SRD[4] ; C10 ; 8 ; 29 ; 43 ; 21 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; DSP:Mathias_Alles|nSRWE~1 (inverted) ; - ; -; SRD[5] ; F9 ; 8 ; 1 ; 43 ; 7 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; DSP:Mathias_Alles|nSRWE~1 (inverted) ; - ; -; SRD[6] ; E10 ; 8 ; 32 ; 43 ; 7 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; DSP:Mathias_Alles|nSRWE~1 (inverted) ; - ; -; SRD[7] ; H11 ; 8 ; 20 ; 43 ; 28 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; DSP:Mathias_Alles|nSRWE~1 (inverted) ; - ; -; SRD[8] ; B9 ; 8 ; 29 ; 43 ; 0 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; DSP:Mathias_Alles|nSRWE~1 (inverted) ; - ; -; SRD[9] ; A10 ; 8 ; 32 ; 43 ; 14 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; DSP:Mathias_Alles|nSRWE~1 (inverted) ; - ; -; VDQS[0] ; AA15 ; 4 ; 43 ; 0 ; 14 ; 0 ; 0 ; no ; no ; no ; yes ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst90~_Duplicate_3 ; - ; -; VDQS[1] ; W15 ; 4 ; 52 ; 0 ; 21 ; 0 ; 0 ; no ; no ; no ; yes ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst90~_Duplicate_2 ; - ; -; VDQS[2] ; U22 ; 5 ; 67 ; 11 ; 7 ; 0 ; 0 ; no ; no ; no ; yes ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst90~_Duplicate_1 ; - ; -; VDQS[3] ; T16 ; 4 ; 63 ; 0 ; 7 ; 0 ; 0 ; no ; no ; no ; yes ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst90 ; - ; -; VD[0] ; M22 ; 5 ; 67 ; 18 ; 7 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; -; VD[10] ; P17 ; 5 ; 67 ; 10 ; 14 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; -; VD[11] ; R21 ; 5 ; 67 ; 13 ; 0 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; -; VD[12] ; N17 ; 5 ; 67 ; 17 ; 21 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; -; VD[13] ; P20 ; 5 ; 67 ; 14 ; 21 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; -; VD[14] ; R22 ; 5 ; 67 ; 13 ; 7 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; -; VD[15] ; N20 ; 5 ; 67 ; 15 ; 7 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; -; VD[16] ; T12 ; 4 ; 45 ; 0 ; 7 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; -; VD[17] ; Y13 ; 4 ; 43 ; 0 ; 21 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; -; VD[18] ; AA13 ; 4 ; 38 ; 0 ; 28 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; -; VD[19] ; V14 ; 4 ; 50 ; 0 ; 21 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; -; VD[1] ; M21 ; 5 ; 67 ; 18 ; 0 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; -; VD[20] ; U13 ; 4 ; 50 ; 0 ; 28 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; -; VD[21] ; V15 ; 4 ; 50 ; 0 ; 0 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; -; VD[22] ; W14 ; 4 ; 48 ; 0 ; 21 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; -; VD[23] ; AB16 ; 4 ; 45 ; 0 ; 14 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; -; VD[24] ; AB15 ; 4 ; 43 ; 0 ; 7 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; -; VD[25] ; AA14 ; 4 ; 38 ; 0 ; 14 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; -; VD[26] ; AB14 ; 4 ; 38 ; 0 ; 7 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; -; VD[27] ; V13 ; 4 ; 48 ; 0 ; 28 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; -; VD[28] ; W13 ; 4 ; 43 ; 0 ; 28 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; -; VD[29] ; AB13 ; 4 ; 38 ; 0 ; 21 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; -; VD[2] ; P22 ; 5 ; 67 ; 14 ; 7 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; -; VD[30] ; V12 ; 4 ; 41 ; 0 ; 28 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; -; VD[31] ; U12 ; 4 ; 43 ; 0 ; 0 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; -; VD[3] ; R20 ; 5 ; 67 ; 11 ; 21 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; -; VD[4] ; P21 ; 5 ; 67 ; 14 ; 0 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; -; VD[5] ; R17 ; 5 ; 67 ; 10 ; 21 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; -; VD[6] ; R19 ; 5 ; 67 ; 12 ; 14 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; -; VD[7] ; U21 ; 5 ; 67 ; 11 ; 0 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; -; VD[8] ; V22 ; 5 ; 67 ; 10 ; 7 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; -; VD[9] ; R18 ; 5 ; 67 ; 12 ; 21 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; -; nSCSI_BUSY ; N8 ; 2 ; 0 ; 11 ; 14 ; 0 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; -; nSCSI_RST ; N6 ; 2 ; 0 ; 12 ; 21 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; -; nSCSI_SEL ; M8 ; 2 ; 0 ; 11 ; 7 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; -+-------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+-----------------+------------------------+---------------+-----------+-----------------+------------+----------+--------------+--------------+------------------+--------------------+---------------------------+----------------------+------+---------------------------------------------------------------------------------------------------------------+---------------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------+ -; Dual Purpose and Dedicated Pins ; -+----------+------------------------------------------+--------------------------------+-------------------------+---------------------------+ -; Location ; Pin Name ; Reserved As ; User Signal Name ; Pin Type ; -+----------+------------------------------------------+--------------------------------+-------------------------+---------------------------+ -; D1 ; DIFFIO_L8n, DATA1, ASDO ; As input tri-stated ; ~ALTERA_ASDO_DATA1~ ; Dual Purpose Pin ; -; E2 ; DIFFIO_L10p, FLASH_nCE, nCSO ; As input tri-stated ; ~ALTERA_FLASH_nCE_nCSO~ ; Dual Purpose Pin ; -; K6 ; nSTATUS ; - ; - ; Dedicated Programming Pin ; -; K2 ; DCLK ; As input tri-stated ; ~ALTERA_DCLK~ ; Dual Purpose Pin ; -; K1 ; DATA0 ; As input tri-stated ; ~ALTERA_DATA0~ ; Dual Purpose Pin ; -; K5 ; nCONFIG ; - ; - ; Dedicated Programming Pin ; -; L3 ; nCE ; - ; - ; Dedicated Programming Pin ; -; N22 ; DIFFIO_R32n, DEV_OE ; Reserved as secondary function ; ~ALTERA_DEV_OE~ ; Dual Purpose Pin ; -; N21 ; DIFFIO_R32p, DEV_CLRn ; Reserved as secondary function ; ~ALTERA_DEV_CLRn~ ; Dual Purpose Pin ; -; M18 ; CONF_DONE ; - ; - ; Dedicated Programming Pin ; -; M17 ; MSEL0 ; - ; - ; Dedicated Programming Pin ; -; L18 ; MSEL1 ; - ; - ; Dedicated Programming Pin ; -; L17 ; MSEL2 ; - ; - ; Dedicated Programming Pin ; -; K20 ; MSEL3 ; - ; - ; Dedicated Programming Pin ; -; K22 ; DIFFIO_R24n, nCEO ; Use as programming pin ; ~ALTERA_nCEO~ ; Dual Purpose Pin ; -; K21 ; DIFFIO_R24p, CLKUSR ; Use as general purpose IO ; HSYNC_PAD ; Dual Purpose Pin ; -; E22 ; DIFFIO_R12n, nWE ; Use as regular IO ; VG[1] ; Dual Purpose Pin ; -; E21 ; DIFFIO_R12p, nOE ; Use as regular IO ; VG[2] ; Dual Purpose Pin ; -; F20 ; DIFFIO_R8n, nAVD ; Use as regular IO ; nIRQ[4] ; Dual Purpose Pin ; -; F19 ; DIFFIO_R8n, nAVD ; - ; PIXEL_CLK_PAD ; Dual Purpose Pin ; -; G18 ; DIFFIO_R7n, PADD23 ; Use as regular IO ; VB[0] ; Dual Purpose Pin ; -; B22 ; DIFFIO_R5n, PADD22 ; Use as regular IO ; VB[4] ; Dual Purpose Pin ; -; B21 ; DIFFIO_R5p, PADD21 ; Use as regular IO ; VB[5] ; Dual Purpose Pin ; -; C20 ; DIFFIO_R4n, PADD20, DQS2R/CQ3R,CDPCLK5 ; Use as regular IO ; VB[6] ; Dual Purpose Pin ; -; B18 ; DIFFIO_T45p, PADD0 ; Use as regular IO ; RTS ; Dual Purpose Pin ; -; A17 ; DIFFIO_T41n, PADD1 ; Use as regular IO ; YM_QA ; Dual Purpose Pin ; -; B17 ; DIFFIO_T41p, PADD2 ; Use as regular IO ; SD_DATA2 ; Dual Purpose Pin ; -; E14 ; DIFFIO_T38n, PADD3 ; Use as regular IO ; SD_CMD_D1 ; Dual Purpose Pin ; -; F13 ; DIFFIO_T37p, PADD4, DQS2T/CQ3T,DPCLK8 ; Use as regular IO ; SD_CD_DATA3 ; Dual Purpose Pin ; -; A15 ; DIFFIO_T36n, PADD5 ; Use as regular IO ; IO[9] ; Dual Purpose Pin ; -; B15 ; DIFFIO_T36p, PADD6 ; Use as regular IO ; IO[10] ; Dual Purpose Pin ; -; C13 ; DIFFIO_T35n, PADD7 ; Use as regular IO ; IO[11] ; Dual Purpose Pin ; -; D13 ; DIFFIO_T35p, PADD8 ; Use as regular IO ; IO[12] ; Dual Purpose Pin ; -; A14 ; DIFFIO_T31n, PADD9 ; Use as regular IO ; IO[14] ; Dual Purpose Pin ; -; B14 ; DIFFIO_T31p, PADD10 ; Use as regular IO ; IO[15] ; Dual Purpose Pin ; -; A13 ; DIFFIO_T29n, PADD11 ; Use as regular IO ; IO[16] ; Dual Purpose Pin ; -; B13 ; DIFFIO_T29p, PADD12, DQS4T/CQ5T,DPCLK9 ; Use as regular IO ; IO[17] ; Dual Purpose Pin ; -; E11 ; DIFFIO_T27n, PADD13 ; Use as regular IO ; nDREQ1 ; Dual Purpose Pin ; -; F11 ; DIFFIO_T27p, PADD14 ; Use as regular IO ; nSROE ; Dual Purpose Pin ; -; B10 ; DIFFIO_T25p, PADD15 ; Use as regular IO ; SRD[11] ; Dual Purpose Pin ; -; A9 ; DIFFIO_T24n, PADD16 ; Use as regular IO ; SRD[10] ; Dual Purpose Pin ; -; B9 ; DIFFIO_T24p, PADD17, DQS5T/CQ5T#,DPCLK10 ; Use as regular IO ; SRD[8] ; Dual Purpose Pin ; -; A8 ; DIFFIO_T20n, DATA2 ; Use as regular IO ; IO[0] ; Dual Purpose Pin ; -; B8 ; DIFFIO_T20p, DATA3 ; Use as regular IO ; nSRCS ; Dual Purpose Pin ; -; A7 ; DIFFIO_T19n, PADD18 ; Use as regular IO ; IO[1] ; Dual Purpose Pin ; -; B7 ; DIFFIO_T19p, DATA4 ; Use as regular IO ; IO[2] ; Dual Purpose Pin ; -; A6 ; DIFFIO_T18n, PADD19 ; Use as regular IO ; IO[3] ; Dual Purpose Pin ; -; B6 ; DIFFIO_T18p, DATA15 ; Use as regular IO ; IO[4] ; Dual Purpose Pin ; -; C8 ; DIFFIO_T16n, DATA14, DQS3T/CQ3T#,DPCLK11 ; Use as regular IO ; IO[6] ; Dual Purpose Pin ; -; C7 ; DIFFIO_T16p, DATA13 ; Use as regular IO ; IO[7] ; Dual Purpose Pin ; -; A5 ; DIFFIO_T11p, DATA5 ; Use as regular IO ; SRD[1] ; Dual Purpose Pin ; -; F10 ; DIFFIO_T8p, DATA6 ; Use as regular IO ; SRD[13] ; Dual Purpose Pin ; -; C6 ; DIFFIO_T7n, DATA7 ; Use as regular IO ; SRD[2] ; Dual Purpose Pin ; -; B4 ; DIFFIO_T6p, DATA8 ; Use as regular IO ; nSRBHE ; Dual Purpose Pin ; -; F8 ; DIFFIO_T5n, DATA9 ; Use as regular IO ; nSRWE ; Dual Purpose Pin ; -; A3 ; DIFFIO_T4n, DATA10 ; Use as regular IO ; LP_D[6] ; Dual Purpose Pin ; -; B3 ; DIFFIO_T4p, DATA11 ; Use as regular IO ; LP_D[5] ; Dual Purpose Pin ; -; C4 ; DIFFIO_T3p, DATA12, DQS1T/CQ1T#,CDPCLK7 ; Use as regular IO ; LP_D[1] ; Dual Purpose Pin ; -+----------+------------------------------------------+--------------------------------+-------------------------+---------------------------+ - - -+-------------------------------------------------------------+ -; I/O Bank Usage ; -+----------+-------------------+---------------+--------------+ -; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ; -+----------+-------------------+---------------+--------------+ -; 1 ; 30 / 36 ( 83 % ) ; 3.3V ; -- ; -; 2 ; 44 / 46 ( 96 % ) ; 3.3V ; -- ; -; 3 ; 38 / 42 ( 90 % ) ; 3.3V ; -- ; -; 4 ; 33 / 43 ( 77 % ) ; 2.5V ; -- ; -; 5 ; 37 / 42 ( 88 % ) ; 2.5V ; -- ; -; 6 ; 35 / 37 ( 95 % ) ; 3.0V ; -- ; -; 7 ; 43 / 43 ( 100 % ) ; 3.3V ; -- ; -; 8 ; 42 / 43 ( 98 % ) ; 3.3V ; -- ; -+----------+-------------------+---------------+--------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; All Package Pins ; -+----------+------------+----------+--------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ -; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; I/O Type ; User Assignment ; Bus Hold ; Weak Pull Up ; -+----------+------------+----------+--------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ -; A1 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; A2 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; A3 ; 534 ; 8 ; LP_D[6] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; A4 ; 529 ; 8 ; nSRBLE ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; A5 ; 518 ; 8 ; SRD[1] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; A6 ; 501 ; 8 ; IO[3] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; A7 ; 499 ; 8 ; IO[1] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; A8 ; 497 ; 8 ; IO[0] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; A9 ; 487 ; 8 ; SRD[10] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; A10 ; 485 ; 8 ; SRD[9] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; A11 ; 481 ; 8 ; DVI_INT ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; A12 ; 479 ; 7 ; nDACK1 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; A13 ; 473 ; 7 ; IO[16] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; A14 ; 469 ; 7 ; IO[14] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; A15 ; 458 ; 7 ; IO[9] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; A16 ; 448 ; 7 ; SD_DATA1 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; A17 ; 446 ; 7 ; YM_QA ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; A18 ; 437 ; 7 ; TxD ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; A19 ; 435 ; 7 ; DCD ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; A20 ; 430 ; 7 ; nRD_DATA ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; A21 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; A22 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; AA1 ; 125 ; 2 ; nPCI_INTA ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; AA2 ; 124 ; 2 ; PIC_INT ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; AA3 ; 154 ; 3 ; FB_AD[2] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; AA4 ; 158 ; 3 ; FB_AD[6] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; AA5 ; 160 ; 3 ; FB_AD[8] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; AA6 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; AA7 ; 173 ; 3 ; FB_AD[15] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; AA8 ; 183 ; 3 ; FB_AD[22] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; AA9 ; 189 ; 3 ; FB_AD[25] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; AA10 ; 202 ; 3 ; FB_AD[31] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; AA11 ; 204 ; 3 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; -; AA12 ; 206 ; 4 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; -; AA13 ; 208 ; 4 ; VD[18] ; bidir ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; -; AA14 ; 210 ; 4 ; VD[25] ; bidir ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; -; AA15 ; 220 ; 4 ; VDQS[0] ; bidir ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; -; AA16 ; 224 ; 4 ; VDM[0] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; -; AA17 ; 243 ; 4 ; nDDR_CLK ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; -; AA18 ; 245 ; 4 ; VA[12] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; -; AA19 ; 252 ; 4 ; BA[1] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; -; AA20 ; 259 ; 4 ; VA[7] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; -; AA21 ; 274 ; 5 ; VA[6] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; -; AA22 ; 273 ; 5 ; VA[4] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; -; AB1 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; AB2 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; AB3 ; 155 ; 3 ; FB_AD[3] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; AB4 ; 159 ; 3 ; FB_AD[7] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; AB5 ; 161 ; 3 ; FB_AD[9] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; AB6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; AB7 ; 174 ; 3 ; FB_AD[16] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; AB8 ; 184 ; 3 ; FB_AD[23] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; AB9 ; 190 ; 3 ; FB_AD[26] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; AB10 ; 203 ; 3 ; CLK24M576 ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; AB11 ; 205 ; 3 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; -; AB12 ; 207 ; 4 ; CLK33M ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; AB13 ; 209 ; 4 ; VD[29] ; bidir ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; -; AB14 ; 211 ; 4 ; VD[26] ; bidir ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; -; AB15 ; 221 ; 4 ; VD[24] ; bidir ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; -; AB16 ; 225 ; 4 ; VD[23] ; bidir ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; -; AB17 ; 244 ; 4 ; DDR_CLK ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; -; AB18 ; 242 ; 4 ; nVCAS ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; -; AB19 ; 253 ; 4 ; VA[9] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; -; AB20 ; 260 ; 4 ; VA[8] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; -; AB21 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; AB22 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; B1 ; 4 ; 1 ; ACSI_D[0] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; B2 ; 3 ; 1 ; MIDI_TLR ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; B3 ; 535 ; 8 ; LP_D[5] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; B4 ; 530 ; 8 ; nSRBHE ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; B5 ; 523 ; 8 ; SRD[0] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; B6 ; 502 ; 8 ; IO[4] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; B7 ; 500 ; 8 ; IO[2] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; B8 ; 498 ; 8 ; nSRCS ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; B9 ; 488 ; 8 ; SRD[8] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; B10 ; 486 ; 8 ; SRD[11] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; B11 ; 482 ; 8 ; nRSTO_MCF ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; B12 ; 480 ; 7 ; nDACK0 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; B13 ; 474 ; 7 ; IO[17] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; B14 ; 470 ; 7 ; IO[15] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; B15 ; 459 ; 7 ; IO[10] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; B16 ; 449 ; 7 ; SD_DATA0 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; B17 ; 447 ; 7 ; SD_DATA2 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; B18 ; 438 ; 7 ; RTS ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; B19 ; 434 ; 7 ; RI ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; B20 ; 431 ; 7 ; nSDSEL ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; B21 ; 404 ; 6 ; VB[5] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; B22 ; 403 ; 6 ; VB[4] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; C1 ; 15 ; 1 ; ACSI_D[4] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; C2 ; 14 ; 1 ; ACSI_D[3] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; C3 ; 538 ; 8 ; LP_D[2] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; C4 ; 539 ; 8 ; LP_D[1] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; C5 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; C6 ; 526 ; 8 ; SRD[2] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; C7 ; 508 ; 8 ; IO[7] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; C8 ; 507 ; 8 ; IO[6] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; C9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; C10 ; 491 ; 8 ; SRD[4] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; C11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; C12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; C13 ; 460 ; 7 ; IO[11] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; C14 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; C15 ; 450 ; 7 ; SD_CLK ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; C16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; C17 ; 433 ; 7 ; nDCHG ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; C18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; C19 ; 428 ; 7 ; TRACK00 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; C20 ; 405 ; 6 ; VB[6] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; C21 ; 401 ; 6 ; VB[3] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; C22 ; 400 ; 6 ; VB[2] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; D1 ; 17 ; 1 ; ~ALTERA_ASDO_DATA1~ / RESERVED_INPUT ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; D2 ; 16 ; 1 ; ACSI_D[5] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; D3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; D4 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; D5 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; D6 ; 536 ; 8 ; LP_D[4] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; D7 ; 527 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; D8 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; D9 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; D10 ; 483 ; 8 ; SRD[12] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; D11 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; D12 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; D13 ; 461 ; 7 ; IO[12] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; D14 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; D15 ; 439 ; 7 ; DTR ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; D16 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; D17 ; 426 ; 7 ; nWR_GATE ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; D18 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; D19 ; 429 ; 7 ; nWP ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; D20 ; 407 ; 6 ; VB[7] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; D21 ; 395 ; 6 ; VG[7] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; D22 ; 394 ; 6 ; VG[6] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; E1 ; 22 ; 1 ; SCSI_D[1] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; E2 ; 21 ; 1 ; ~ALTERA_FLASH_nCE_nCSO~ / RESERVED_INPUT ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; E3 ; 9 ; 1 ; ACSI_D[2] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; E4 ; 8 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; E5 ; 546 ; 8 ; LPDIR ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; E6 ; 545 ; 8 ; LP_STR ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; E7 ; 537 ; 8 ; LP_D[3] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; E8 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; E9 ; 506 ; 8 ; IO[5] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; E10 ; 484 ; 8 ; SRD[6] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; E11 ; 477 ; 7 ; nDREQ1 ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; E12 ; 476 ; 7 ; MIDI_IN ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; E13 ; 468 ; 7 ; IO[13] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; E14 ; 453 ; 7 ; SD_CMD_D1 ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; E15 ; 440 ; 7 ; YM_QC ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; E16 ; 418 ; 7 ; nINDEX ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; E17 ; ; ; VCCD_PLL2 ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; E18 ; ; ; GNDA2 ; gnd ; ; ; -- ; ; -- ; -- ; -; E19 ; ; 6 ; VCCIO6 ; power ; ; 3.0V ; -- ; ; -- ; -- ; -; E20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; E21 ; 388 ; 6 ; VG[2] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; E22 ; 387 ; 6 ; VG[1] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; F1 ; 26 ; 1 ; SCSI_D[3] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; F2 ; 25 ; 1 ; SCSI_D[2] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; F3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; F4 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; F5 ; ; ; GNDA3 ; gnd ; ; ; -- ; ; -- ; -- ; -; F6 ; ; ; VCCD_PLL3 ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; F7 ; 542 ; 8 ; LP_D[0] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; F8 ; 531 ; 8 ; nSRWE ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; F9 ; 544 ; 8 ; SRD[5] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; F10 ; 525 ; 8 ; SRD[13] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; F11 ; 478 ; 7 ; nSROE ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; F12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; F13 ; 457 ; 7 ; SD_CD_DATA3 ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; F14 ; 423 ; 7 ; nSTEP ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; F15 ; 419 ; 7 ; DSA_D ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; F16 ; 417 ; 7 ; HD_DD ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; F17 ; 410 ; 6 ; nSYNC ; output ; 3.0-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; -; F18 ; ; -- ; VCCA2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; F19 ; 397 ; 6 ; PIXEL_CLK_PAD ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; F20 ; 396 ; 6 ; nIRQ[4] ; output ; 3.0-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; -; F21 ; 376 ; 6 ; nIRQ[2] ; output ; 3.0-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; -; F22 ; 375 ; 6 ; VR[7] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; G1 ; 67 ; 1 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; G2 ; 66 ; 1 ; MAIN_CLK ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; G3 ; 1 ; 1 ; SCSI_D[5] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; G4 ; 0 ; 1 ; SCSI_D[4] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; G5 ; 5 ; 1 ; ACSI_D[1] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; G6 ; ; -- ; VCCA3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; G7 ; 543 ; 8 ; LP_BUSY ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; G8 ; 532 ; 8 ; LP_D[7] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; G9 ; 547 ; 8 ; SRD[14] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; G10 ; 524 ; 8 ; IO[8] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; G11 ; 492 ; 8 ; SRD[3] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; G12 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; G13 ; 444 ; 7 ; YM_QB ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; G14 ; 441 ; 7 ; nWR ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; G15 ; 422 ; 7 ; nSTEP_DIR ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; G16 ; 420 ; 7 ; nMOT_ON ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; G17 ; 411 ; 6 ; nBLANK_PAD ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; G18 ; 398 ; 6 ; VB[0] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; G19 ; ; 6 ; VCCIO6 ; power ; ; 3.0V ; -- ; ; -- ; -- ; -; G20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; G21 ; 345 ; 6 ; E0_INT ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; G22 ; 344 ; 6 ; IDE_INT ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; H1 ; 52 ; 1 ; nSCSI_C_D ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; H2 ; 51 ; 1 ; nSCSI_MSG ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; H3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; H4 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; H5 ; 42 ; 1 ; MIDI_OLR ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; H6 ; 19 ; 1 ; ACSI_D[7] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; H7 ; 18 ; 1 ; ACSI_D[6] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; H8 ; 29 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; H9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; H10 ; 512 ; 8 ; SRD[15] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; H11 ; 511 ; 8 ; SRD[7] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; H12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; H13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; H14 ; 425 ; 7 ; CTS ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; H15 ; 424 ; 7 ; RxD ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; H16 ; 393 ; 6 ; VG[5] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; H17 ; 399 ; 6 ; VB[1] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; H18 ; 391 ; 6 ; VG[3] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; H19 ; 386 ; 6 ; VG[0] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; H20 ; 385 ; 6 ; nIRQ[3] ; output ; 3.0-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; -; H21 ; 365 ; 6 ; VR[3] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; H22 ; 364 ; 6 ; VR[2] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; J1 ; 55 ; 1 ; CLKUSB ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; J2 ; 54 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; J3 ; 53 ; 1 ; nSCSI_I_O ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; J4 ; 50 ; 1 ; nACSI_INT ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; J5 ; 38 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; J6 ; 20 ; 1 ; SCSI_D[0] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; J7 ; 45 ; 1 ; SCSI_DIR ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; J8 ; 30 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; J9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; J10 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; J11 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; J12 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; J13 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; J14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; J15 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; J16 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; J17 ; 392 ; 6 ; VG[4] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; J18 ; 374 ; 6 ; VR[6] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; J19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; J20 ; ; 6 ; VCCIO6 ; power ; ; 3.0V ; -- ; ; -- ; -- ; -; J21 ; 363 ; 6 ; VR[1] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; J22 ; 362 ; 6 ; VR[0] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; K1 ; 59 ; 1 ; ~ALTERA_DATA0~ / RESERVED_INPUT ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; K2 ; 58 ; 1 ; ~ALTERA_DCLK~ / RESERVED_INPUT ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; K3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; K4 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; K5 ; 60 ; 1 ; ^nCONFIG ; ; ; ; -- ; ; -- ; -- ; -; K6 ; 41 ; 1 ; ^nSTATUS ; ; ; ; -- ; ; -- ; -- ; -; K7 ; 46 ; 1 ; nACSI_DRQ ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; K8 ; 44 ; 1 ; SCSI_D[7] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; K9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; K10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; K11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; K12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; K13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; K14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; K15 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; K16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; K17 ; 369 ; 6 ; VR[4] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; K18 ; 370 ; 6 ; VR[5] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; K19 ; 357 ; 6 ; VSYNC_PAD ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; K20 ; 350 ; 6 ; ^MSEL3 ; ; ; ; -- ; ; -- ; -- ; -; K21 ; 361 ; 6 ; HSYNC_PAD ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; K22 ; 360 ; 6 ; ~ALTERA_nCEO~ / RESERVED_OUTPUT_OPEN_DRAIN ; output ; 3.0-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; L1 ; 63 ; 1 ; #TMS ; input ; ; ; -- ; ; -- ; -- ; -; L2 ; 62 ; 1 ; #TCK ; input ; ; ; -- ; ; -- ; -- ; -; L3 ; 65 ; 1 ; ^nCE ; ; ; ; -- ; ; -- ; -- ; -; L4 ; 64 ; 1 ; #TDO ; output ; ; ; -- ; ; -- ; -- ; -; L5 ; 61 ; 1 ; #TDI ; input ; ; ; -- ; ; -- ; -- ; -; L6 ; 70 ; 2 ; ACSI_DIR ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; L7 ; 79 ; 2 ; PIC_AMKB_RX ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; L8 ; 43 ; 1 ; SCSI_D[6] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; L9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; L10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; L11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; L12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; L13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; L14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; L15 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; L16 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; L17 ; 349 ; 6 ; ^MSEL2 ; ; ; ; -- ; ; -- ; -- ; -; L18 ; 348 ; 6 ; ^MSEL1 ; ; ; ; -- ; ; -- ; -- ; -; L19 ; ; 6 ; VCCIO6 ; power ; ; 3.0V ; -- ; ; -- ; -- ; -; L20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; L21 ; 354 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; L22 ; 353 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; M1 ; 73 ; 2 ; nACSI_RESET ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; M2 ; 72 ; 2 ; nACSI_CS ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; M3 ; 75 ; 2 ; nSCSI_ATN ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; M4 ; 74 ; 2 ; nACSI_ACK ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; M5 ; 80 ; 2 ; IDE_RES ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; M6 ; 71 ; 2 ; ACSI_A1 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; M7 ; 105 ; 2 ; SCSI_PAR ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; M8 ; 106 ; 2 ; nSCSI_SEL ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; M9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; M10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; M11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; M12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; M13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; M14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; M15 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; M16 ; 337 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; M17 ; 347 ; 6 ; ^MSEL0 ; ; ; ; -- ; ; -- ; -- ; -; M18 ; 346 ; 6 ; ^CONF_DONE ; ; ; ; -- ; ; -- ; -- ; -; M19 ; 336 ; 5 ; SD_WP ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; M20 ; 335 ; 5 ; SD_CARD_DEDECT ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; M21 ; 334 ; 5 ; VD[1] ; bidir ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; -; M22 ; 333 ; 5 ; VD[0] ; bidir ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; -; N1 ; 77 ; 2 ; AMKB_TX ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; -; N2 ; 76 ; 2 ; nSCSI_ACK ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; N3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; N4 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; N5 ; 87 ; 2 ; nRP_LDS ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; N6 ; 104 ; 2 ; nSCSI_RST ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; N7 ; 122 ; 2 ; nIRQ[7] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; N8 ; 107 ; 2 ; nSCSI_BUSY ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; N9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; N10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; N11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; N12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; N13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; N14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; N15 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; N16 ; 314 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; N17 ; 329 ; 5 ; VD[12] ; bidir ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; -; N18 ; 330 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; N19 ; 324 ; 5 ; LED_FPGA_OK ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; -; N20 ; 323 ; 5 ; VD[15] ; bidir ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; -; N21 ; 332 ; 5 ; ~ALTERA_DEV_CLRn~ / RESERVED_INPUT ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ; -; N22 ; 331 ; 5 ; ~ALTERA_DEV_OE~ / RESERVED_INPUT ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ; -; P1 ; 84 ; 2 ; nIDE_RD ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; P2 ; 83 ; 2 ; nIDE_WR ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; P3 ; 89 ; 2 ; nROM3 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; P4 ; 88 ; 2 ; nRP_UDS ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; P5 ; 103 ; 2 ; nIRQ[5] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; P6 ; 131 ; 2 ; nPCI_INTD ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; P7 ; 123 ; 2 ; nIRQ[6] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; P8 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; P9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; P10 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; P11 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; P12 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; P13 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; P14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; P15 ; 298 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; P16 ; 299 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; P17 ; 302 ; 5 ; VD[10] ; bidir ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; -; P18 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; P19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; P20 ; 317 ; 5 ; VD[13] ; bidir ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; -; P21 ; 320 ; 5 ; VD[4] ; bidir ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; -; P22 ; 319 ; 5 ; VD[2] ; bidir ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; -; R1 ; 86 ; 2 ; nIDE_CS1 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; R2 ; 85 ; 2 ; nIDE_CS0 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; R3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; R4 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; R5 ; 135 ; 2 ; TIN0 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; R6 ; 136 ; 2 ; nFB_OE ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; R7 ; 137 ; 2 ; FB_ALE ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; R8 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; R9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; R10 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; R11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; R12 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; R13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; R14 ; 268 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; R15 ; 269 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; R16 ; 267 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; R17 ; 301 ; 5 ; VD[5] ; bidir ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; -; R18 ; 309 ; 5 ; VD[9] ; bidir ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; -; R19 ; 310 ; 5 ; VD[6] ; bidir ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; -; R20 ; 305 ; 5 ; VD[3] ; bidir ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; -; R21 ; 316 ; 5 ; VD[11] ; bidir ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; -; R22 ; 315 ; 5 ; VD[14] ; bidir ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; -; T1 ; 69 ; 2 ; WP_CF_CARD ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; T2 ; 68 ; 2 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; T3 ; 121 ; 2 ; nFB_BURST ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; T4 ; 134 ; 2 ; CLK25M ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; T5 ; 133 ; 2 ; nFB_WR ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; T6 ; ; -- ; VCCA1 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; T7 ; 138 ; 2 ; nFB_TA ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; T8 ; 166 ; 3 ; nFB_CS1 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; T9 ; 167 ; 3 ; nFB_CS2 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; T10 ; 176 ; 3 ; FB_AD[20] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; T11 ; 177 ; 3 ; FB_AD[24] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; T12 ; 226 ; 4 ; VD[16] ; bidir ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; -; T13 ; 227 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; T14 ; 240 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; T15 ; 241 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; T16 ; 266 ; 4 ; VDQS[3] ; bidir ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; -; T17 ; 277 ; 5 ; VDM[3] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; -; T18 ; 278 ; 5 ; nVCS ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; -; T19 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; T20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; T21 ; 343 ; 5 ; nMASTER ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; T22 ; 342 ; 5 ; TOUT0 ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; U1 ; 92 ; 2 ; nSCSI_DRQ ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; U2 ; 91 ; 2 ; nROM4 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; U3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; U4 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; U5 ; ; ; GNDA1 ; gnd ; ; ; -- ; ; -- ; -- ; -; U6 ; ; ; VCCD_PLL1 ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; U7 ; 145 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; U8 ; 146 ; 3 ; FB_SIZE0 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; U9 ; 170 ; 3 ; FB_AD[12] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; U10 ; 182 ; 3 ; FB_AD[21] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; U11 ; 191 ; 3 ; FB_AD[27] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; U12 ; 222 ; 4 ; VD[31] ; bidir ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; -; U13 ; 233 ; 4 ; VD[20] ; bidir ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; -; U14 ; 235 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; U15 ; 236 ; 4 ; VCKE ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; -; U16 ; 262 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; U17 ; 263 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; U18 ; ; -- ; VCCA4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; U19 ; 291 ; 5 ; VA[11] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; -; U20 ; 290 ; 5 ; VDM[2] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; -; U21 ; 308 ; 5 ; VD[7] ; bidir ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; -; U22 ; 307 ; 5 ; VDQS[2] ; bidir ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; -; V1 ; 98 ; 2 ; nPD_VGA ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; V2 ; 97 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; V3 ; 130 ; 2 ; nPCI_INTC ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; V4 ; 129 ; 2 ; nPCI_INTB ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; V5 ; 142 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; V6 ; 141 ; 3 ; nFB_CS3 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; V7 ; 157 ; 3 ; FB_AD[5] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; V8 ; 171 ; 3 ; FB_AD[13] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; V9 ; 178 ; 3 ; FB_AD[18] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; V10 ; 179 ; 3 ; FB_AD[19] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; V11 ; 199 ; 3 ; FB_AD[28] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; V12 ; 213 ; 4 ; VD[30] ; bidir ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; -; V13 ; 228 ; 4 ; VD[27] ; bidir ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; -; V14 ; 234 ; 4 ; VD[19] ; bidir ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; -; V15 ; 237 ; 4 ; VD[21] ; bidir ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; -; V16 ; 261 ; 4 ; VDM[1] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; -; V17 ; ; ; VCCD_PLL4 ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; V18 ; ; ; GNDA4 ; gnd ; ; ; -- ; ; -- ; -- ; -; V19 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; V20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; V21 ; 304 ; 5 ; VA[10] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; -; V22 ; 303 ; 5 ; VD[8] ; bidir ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; -; W1 ; 111 ; 2 ; nCF_CS1 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; W2 ; 110 ; 2 ; nCF_CS0 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; W3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; W4 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; W5 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; W6 ; 156 ; 3 ; FB_AD[4] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; W7 ; 168 ; 3 ; FB_AD[10] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; W8 ; 172 ; 3 ; FB_AD[14] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; W9 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; W10 ; 200 ; 3 ; FB_AD[29] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; W11 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; W12 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; W13 ; 218 ; 4 ; VD[28] ; bidir ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; -; W14 ; 229 ; 4 ; VD[22] ; bidir ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; -; W15 ; 239 ; 4 ; VDQS[1] ; bidir ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; -; W16 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; W17 ; 257 ; 4 ; nVRAS ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; -; W18 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; W19 ; 285 ; 5 ; BA[0] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; -; W20 ; 280 ; 5 ; VA[0] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; -; W21 ; 293 ; 5 ; VA[2] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; -; W22 ; 292 ; 5 ; VA[1] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; -; Y1 ; 113 ; 2 ; IDE_RDY ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; Y2 ; 112 ; 2 ; AMKB_RX ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; Y3 ; 148 ; 3 ; FB_AD[0] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; Y4 ; 147 ; 3 ; FB_SIZE1 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; Y5 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; Y6 ; 152 ; 3 ; FB_AD[1] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; Y7 ; 169 ; 3 ; FB_AD[11] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; Y8 ; 175 ; 3 ; FB_AD[17] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; Y9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; Y10 ; 201 ; 3 ; FB_AD[30] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; Y11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; Y12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; Y13 ; 219 ; 4 ; VD[17] ; bidir ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; -; Y14 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; Y15 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; Y16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; Y17 ; 258 ; 4 ; nVWE ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; -; Y18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; Y19 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; Y20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; Y21 ; 289 ; 5 ; VA[5] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; -; Y22 ; 288 ; 5 ; VA[3] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; -+----------+------------+----------+--------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ -Note: Pin directions (input, output or bidir) are based on device operating in user mode. - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; PLL Summary ; -+-------------------------------+----------------------------------------------------------------------+------------------------------------------------------------------------+------------------------------------------------------------------------+--------------------------------------------------------------------------+ -; Name ; altpll1:inst|altpll:altpll_component|altpll_pul2:auto_generated|pll1 ; altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|pll1 ; altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|pll1 ; altpll4:inst22|altpll:altpll_component|altpll_c6j2:auto_generated|pll1 ; -+-------------------------------+----------------------------------------------------------------------+------------------------------------------------------------------------+------------------------------------------------------------------------+--------------------------------------------------------------------------+ -; SDC pin name ; inst|altpll_component|auto_generated|pll1 ; inst13|altpll_component|auto_generated|pll1 ; inst12|altpll_component|auto_generated|pll1 ; inst22|altpll_component|auto_generated|pll1 ; -; PLL mode ; Source Synchronous ; Source Synchronous ; Source Synchronous ; Normal ; -; Compensate clock ; clock0 ; clock1 ; clock0 ; clock0 ; -; Compensated input/output pins ; -- ; nRD_DATA ; MAIN_CLK ; -- ; -; Switchover type ; -- ; -- ; -- ; -- ; -; Input frequency 0 ; 33.0 MHz ; 33.0 MHz ; 33.0 MHz ; 48.0 MHz ; -; Input frequency 1 ; -- ; -- ; -- ; -- ; -; Nominal PFD frequency ; 5.5 MHz ; 11.0 MHz ; 33.0 MHz ; 48.0 MHz ; -; Nominal VCO frequency ; 368.5 MHz ; 1199.0 MHz ; 396.0 MHz ; 576.0 MHz ; -; VCO post scale ; 2 ; -- ; 2 ; 2 ; -; VCO frequency control ; Auto ; Auto ; Auto ; Auto ; -; VCO phase shift step ; 339 ps ; 104 ps ; 315 ps ; 217 ps ; -; VCO multiply ; -- ; -- ; -- ; -- ; -; VCO divide ; -- ; -- ; -- ; -- ; -; Freq min lock ; 32.4 MHz ; 16.8 MHz ; 25.0 MHz ; 25.0 MHz ; -; Freq max lock ; 58.23 MHz ; 35.79 MHz ; 54.18 MHz ; 54.18 MHz ; -; M VCO Tap ; 0 ; 0 ; 0 ; 0 ; -; M Initial ; 1 ; 1 ; 1 ; 1 ; -; M value ; 67 ; 109 ; 12 ; 12 ; -; N value ; 6 ; 3 ; 1 ; 1 ; -; Charge pump current ; setting 1 ; setting 1 ; setting 1 ; setting 1 ; -; Loop filter resistance ; setting 16 ; setting 19 ; setting 27 ; setting 27 ; -; Loop filter capacitance ; setting 0 ; setting 0 ; setting 0 ; setting 0 ; -; Bandwidth ; 340 kHz to 540 kHz ; 450 kHz to 560 kHz ; 680 kHz to 980 kHz ; 680 kHz to 980 kHz ; -; Real time reconfigurable ; Off ; Off ; Off ; On ; -; Scan chain MIF file ; -- ; -- ; -- ; altpll4.mif ; -; Preserve PLL counter order ; Off ; Off ; Off ; Off ; -; PLL location ; PLL_3 ; PLL_4 ; PLL_1 ; PLL_2 ; -; Inclk0 signal ; CLK33M ; CLK33M ; MAIN_CLK ; altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|clk[3] ; -; Inclk1 signal ; -- ; -- ; -- ; -- ; -; Inclk0 signal type ; Global Clock ; Dedicated Pin ; Dedicated Pin ; Global Clock ; -; Inclk1 signal type ; -- ; -- ; -- ; -- ; -+-------------------------------+----------------------------------------------------------------------+------------------------------------------------------------------------+------------------------------------------------------------------------+--------------------------------------------------------------------------+ - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; PLL Usage ; -+-------------------------------------------------------------------------------------+--------------+------+------+------------------+----------------+------------------+------------+---------+---------------+--------------+---------------+---------+---------+----------------------------------------------------+ -; Name ; Output Clock ; Mult ; Div ; Output Frequency ; Phase Shift ; Phase Shift Step ; Duty Cycle ; Counter ; Counter Value ; High / Low ; Cascade Input ; Initial ; VCO Tap ; SDC Pin Name ; -+-------------------------------------------------------------------------------------+--------------+------+------+------------------+----------------+------------------+------------+---------+---------------+--------------+---------------+---------+---------+----------------------------------------------------+ -; altpll1:inst|altpll:altpll_component|altpll_pul2:auto_generated|clk[0] ; clock0 ; 1 ; 66 ; 0.5 MHz ; 0 (0 ps) ; 0.67 (339 ps) ; 50/50 ; C1 ; 67 ; 34/33 Odd ; C0 ; 1 ; 0 ; inst|altpll_component|auto_generated|pll1|clk[0] ; -; altpll1:inst|altpll:altpll_component|altpll_pul2:auto_generated|clk[1] ; clock1 ; 67 ; 900 ; 2.46 MHz ; 0 (0 ps) ; 0.30 (339 ps) ; 50/50 ; C2 ; 150 ; 75/75 Even ; -- ; 1 ; 0 ; inst|altpll_component|auto_generated|pll1|clk[1] ; -; altpll1:inst|altpll:altpll_component|altpll_pul2:auto_generated|clk[2] ; clock2 ; 67 ; 90 ; 24.57 MHz ; 0 (0 ps) ; 3.00 (339 ps) ; 50/50 ; C3 ; 15 ; 8/7 Odd ; -- ; 1 ; 0 ; inst|altpll_component|auto_generated|pll1|clk[2] ; -; altpll1:inst|altpll:altpll_component|altpll_pul2:auto_generated|clk[0]~cascade_in ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; C0 ; 11 ; 5/6 Odd ; -- ; 1 ; 0 ; ; -; altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|clk[0] ; clock0 ; 109 ; 1800 ; 2.0 MHz ; 0 (0 ps) ; 0.15 (104 ps) ; 50/50 ; C1 ; 300 ; 150/150 Even ; C0 ; 1 ; 0 ; inst13|altpll_component|auto_generated|pll1|clk[0] ; -; altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|clk[1] ; clock1 ; 109 ; 225 ; 15.99 MHz ; 0 (0 ps) ; 0.60 (104 ps) ; 50/50 ; C2 ; 75 ; 38/37 Odd ; -- ; 1 ; 0 ; inst13|altpll_component|auto_generated|pll1|clk[1] ; -; altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|clk[2] ; clock2 ; 109 ; 144 ; 24.98 MHz ; 0 (0 ps) ; 0.94 (104 ps) ; 50/50 ; C3 ; 48 ; 24/24 Even ; -- ; 1 ; 0 ; inst13|altpll_component|auto_generated|pll1|clk[2] ; -; altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|clk[3] ; clock3 ; 109 ; 75 ; 47.96 MHz ; 0 (0 ps) ; 1.80 (104 ps) ; 50/50 ; C4 ; 25 ; 13/12 Odd ; -- ; 1 ; 0 ; inst13|altpll_component|auto_generated|pll1|clk[3] ; -; altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|clk[0]~cascade_in ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; C0 ; 2 ; 1/1 Even ; -- ; 1 ; 0 ; ; -; altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[0] ; clock0 ; 4 ; 1 ; 132.0 MHz ; 240 (5051 ps) ; 15.00 (315 ps) ; 50/50 ; C0 ; 3 ; 2/1 Odd ; -- ; 3 ; 0 ; inst12|altpll_component|auto_generated|pll1|clk[0] ; -; altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[1] ; clock1 ; 4 ; 1 ; 132.0 MHz ; 0 (0 ps) ; 15.00 (315 ps) ; 50/50 ; C3 ; 3 ; 2/1 Odd ; -- ; 1 ; 0 ; inst12|altpll_component|auto_generated|pll1|clk[1] ; -; altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[2] ; clock2 ; 4 ; 1 ; 132.0 MHz ; 180 (3788 ps) ; 15.00 (315 ps) ; 50/50 ; C2 ; 3 ; 2/1 Odd ; -- ; 2 ; 4 ; inst12|altpll_component|auto_generated|pll1|clk[2] ; -; altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[3] ; clock3 ; 4 ; 1 ; 132.0 MHz ; 105 (2210 ps) ; 15.00 (315 ps) ; 50/50 ; C4 ; 3 ; 2/1 Odd ; -- ; 1 ; 7 ; inst12|altpll_component|auto_generated|pll1|clk[3] ; -; altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[4] ; clock4 ; 2 ; 1 ; 66.0 MHz ; 270 (11364 ps) ; 7.50 (315 ps) ; 50/50 ; C1 ; 6 ; 3/3 Even ; -- ; 5 ; 4 ; inst12|altpll_component|auto_generated|pll1|clk[4] ; -; altpll4:inst22|altpll:altpll_component|altpll_c6j2:auto_generated|clk[0] ; clock0 ; 2 ; 1 ; 96.0 MHz ; 0 (0 ps) ; 7.50 (217 ps) ; 50/50 ; C0 ; 6 ; 3/3 Even ; -- ; 1 ; 0 ; inst22|altpll_component|auto_generated|pll1|clk[0] ; -+-------------------------------------------------------------------------------------+--------------+------+------+------------------+----------------+------------------+------------+---------+---------------+--------------+---------------+---------+---------+----------------------------------------------------+ - - -+-------------------------------------------------------------------------------+ -; Output Pin Default Load For Reported TCO ; -+----------------------------------+-------+------------------------------------+ -; I/O Standard ; Load ; Termination Resistance ; -+----------------------------------+-------+------------------------------------+ -; 3.0-V LVTTL ; 0 pF ; Not Available ; -; 3.3-V LVTTL ; 0 pF ; Not Available ; -; 3.0-V LVCMOS ; 0 pF ; Not Available ; -; 3.3-V LVCMOS ; 0 pF ; Not Available ; -; 3.0-V PCI ; 10 pF ; Not Available ; -; 3.0-V PCI-X ; 10 pF ; Not Available ; -; 2.5 V ; 0 pF ; Not Available ; -; 1.8 V ; 0 pF ; Not Available ; -; 1.5 V ; 0 pF ; Not Available ; -; 1.2 V ; 0 pF ; Not Available ; -; SSTL-2 Class I ; 0 pF ; 50 Ohm (Parallel), 25 Ohm (Serial) ; -; Differential 2.5-V SSTL Class I ; 0 pF ; (See SSTL-2) ; -; SSTL-2 Class II ; 0 pF ; 25 Ohm (Parallel), 25 Ohm (Serial) ; -; Differential 2.5-V SSTL Class II ; 0 pF ; (See SSTL-2 Class II) ; -; SSTL-18 Class I ; 0 pF ; 50 Ohm (Parallel), 25 Ohm (Serial) ; -; Differential 1.8-V SSTL Class I ; 0 pF ; (See 1.8-V SSTL Class I) ; -; SSTL-18 Class II ; 0 pF ; 25 Ohm (Parallel), 25 Ohm (Serial) ; -; Differential 1.8-V SSTL Class II ; 0 pF ; (See 1.8-V SSTL Class II) ; -; 1.8-V HSTL Class I ; 0 pF ; 50 Ohm (Parallel) ; -; Differential 1.8-V HSTL Class I ; 0 pF ; (See 1.8-V HSTL Class I) ; -; 1.8-V HSTL Class II ; 0 pF ; 25 Ohm (Parallel) ; -; Differential 1.8-V HSTL Class II ; 0 pF ; (See 1.8-V HSTL Class II) ; -; 1.5-V HSTL Class I ; 0 pF ; 50 Ohm (Parallel) ; -; Differential 1.5-V HSTL Class I ; 0 pF ; (See 1.5-V HSTL Class I) ; -; 1.5-V HSTL Class II ; 0 pF ; 25 Ohm (Parallel) ; -; Differential 1.5-V HSTL Class II ; 0 pF ; (See 1.5-V HSTL Class II) ; -; 1.2-V HSTL Class I ; 0 pF ; Not Available ; -; Differential 1.2-V HSTL Class I ; 0 pF ; Not Available ; -; 1.2-V HSTL Class II ; 0 pF ; Not Available ; -; Differential 1.2-V HSTL Class II ; 0 pF ; Not Available ; -; Differential LVPECL ; 0 pF ; 100 Ohm (Differential) ; -; LVDS ; 0 pF ; 100 Ohm (Differential) ; -; LVDS_E_3R ; 0 pF ; Not Available ; -; RSDS ; 0 pF ; 100 Ohm (Differential) ; -; RSDS_E_1R ; 0 pF ; Not Available ; -; RSDS_E_3R ; 0 pF ; Not Available ; -; mini-LVDS ; 0 pF ; 100 Ohm (Differential) ; -; mini-LVDS_E_3R ; 0 pF ; Not Available ; -; PPDS ; 0 pF ; Not Available ; -; PPDS_E_3R ; 0 pF ; Not Available ; -; Bus LVDS ; 0 pF ; Not Available ; -+----------------------------------+-------+------------------------------------+ -Note: User assignments will override these defaults. The user specified values are listed in the Output Pins and Bidir Pins tables. - - -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fitter Resource Utilization by Entity ; -+-----------------------------------------------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------+ -; Compilation Hierarchy Node ; Logic Cells ; Dedicated Logic Registers ; I/O Registers ; Memory Bits ; M9Ks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Full Hierarchy Name ; Library Name ; -+-----------------------------------------------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------+ -; |firebee1 ; 9526 (10) ; 4563 (0) ; 186 (186) ; 109344 ; 23 ; 6 ; 0 ; 3 ; 295 ; 0 ; 4963 (10) ; 1465 (0) ; 3098 (0) ; |firebee1 ; work ; -; |DSP:Mathias_Alles| ; 10 (10) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 10 (10) ; 0 (0) ; 0 (0) ; |firebee1|DSP:Mathias_Alles ; ; -; |FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden| ; 4093 (640) ; 1616 (114) ; 0 (0) ; 16384 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2414 (465) ; 291 (10) ; 1388 (177) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden ; ; -; |WF1772IP_TOP_SOC:I_FDC| ; 976 (17) ; 403 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 565 (9) ; 33 (0) ; 378 (15) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC ; ; -; |WF1772IP_AM_DETECTOR:I_AM_DETECTOR| ; 40 (40) ; 27 (27) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 13 (13) ; 1 (1) ; 26 (26) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_AM_DETECTOR:I_AM_DETECTOR ; ; -; |WF1772IP_CONTROL:I_CONTROL| ; 545 (545) ; 196 (196) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 344 (344) ; 12 (12) ; 189 (189) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL ; ; -; |WF1772IP_CRC_LOGIC:I_CRC_LOGIC| ; 51 (51) ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 35 (35) ; 11 (11) ; 5 (5) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CRC_LOGIC:I_CRC_LOGIC ; ; -; |WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL| ; 103 (103) ; 37 (37) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 66 (66) ; 0 (0) ; 37 (37) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL ; ; -; |WF1772IP_REGISTERS:I_REGISTERS| ; 105 (105) ; 48 (48) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 57 (57) ; 7 (7) ; 41 (41) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS ; ; -; |WF1772IP_TRANSCEIVER:I_TRANSCEIVER| ; 120 (120) ; 79 (79) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 41 (41) ; 2 (2) ; 77 (77) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER ; ; -; |WF2149IP_TOP_SOC:I_SOUND| ; 490 (36) ; 197 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 293 (20) ; 37 (2) ; 160 (18) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND ; ; -; |WF2149IP_WAVE:I_PSG_WAVE| ; 461 (461) ; 181 (181) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 273 (273) ; 35 (35) ; 153 (153) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE ; ; -; |WF5380_TOP_SOC:I_SCSI| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI ; ; -; |WF5380_CONTROL:I_CONTROL| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL ; ; -; |WF6850IP_TOP_SOC:I_ACIA_KEYBOARD| ; 208 (1) ; 97 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 106 (1) ; 1 (0) ; 101 (1) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD ; ; -; |WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS| ; 21 (21) ; 11 (11) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 10 (10) ; 1 (1) ; 10 (10) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS ; ; -; |WF6850IP_RECEIVE:I_UART_RECEIVE| ; 101 (101) ; 47 (47) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 54 (54) ; 0 (0) ; 47 (47) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE ; ; -; |WF6850IP_TRANSMIT:I_UART_TRANSMIT| ; 87 (87) ; 39 (39) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 41 (41) ; 0 (0) ; 46 (46) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT ; ; -; |WF6850IP_TOP_SOC:I_ACIA_MIDI| ; 218 (2) ; 97 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 116 (2) ; 10 (0) ; 92 (0) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI ; ; -; |WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS| ; 27 (27) ; 11 (11) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 12 (12) ; 6 (6) ; 9 (9) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS ; ; -; |WF6850IP_RECEIVE:I_UART_RECEIVE| ; 101 (101) ; 47 (47) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 53 (53) ; 3 (3) ; 45 (45) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE ; ; -; |WF6850IP_TRANSMIT:I_UART_TRANSMIT| ; 88 (88) ; 39 (39) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 49 (49) ; 1 (1) ; 38 (38) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT ; ; -; |WF68901IP_TOP_SOC:I_MFP| ; 1261 (110) ; 460 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 797 (107) ; 70 (0) ; 394 (71) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP ; ; -; |WF68901IP_GPIO:I_GPIO| ; 49 (49) ; 24 (24) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 23 (23) ; 9 (9) ; 17 (17) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO ; ; -; |WF68901IP_INTERRUPTS:I_INTERRUPTS| ; 290 (290) ; 128 (128) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 159 (159) ; 5 (5) ; 126 (126) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS ; ; -; |WF68901IP_TIMERS:I_TIMERS| ; 501 (501) ; 166 (166) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 332 (332) ; 44 (44) ; 125 (125) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS ; ; -; |WF68901IP_USART_TOP:I_USART| ; 316 (3) ; 140 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 176 (3) ; 12 (0) ; 128 (1) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART ; ; -; |WF68901IP_USART_CTRL:I_USART_CTRL| ; 77 (77) ; 49 (49) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 28 (28) ; 9 (9) ; 40 (40) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL ; ; -; |WF68901IP_USART_RX:I_USART_RECEIVE| ; 160 (160) ; 56 (56) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 100 (100) ; 2 (2) ; 58 (58) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE ; ; -; |WF68901IP_USART_TX:I_USART_TRANSMIT| ; 87 (87) ; 35 (35) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 45 (45) ; 1 (1) ; 41 (41) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT ; ; -; |dcfifo0:RDF| ; 156 (0) ; 124 (0) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 30 (0) ; 60 (0) ; 66 (0) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF ; ; -; |dcfifo_mixed_widths:dcfifo_mixed_widths_component| ; 156 (0) ; 124 (0) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 30 (0) ; 60 (0) ; 66 (0) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component ; ; -; |dcfifo_0hh1:auto_generated| ; 156 (55) ; 124 (42) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 30 (4) ; 60 (27) ; 66 (13) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated ; ; -; |a_gray2bin_lfb:wrptr_g_gray2bin| ; 7 (7) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 7 (7) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_gray2bin_lfb:wrptr_g_gray2bin ; ; -; |a_gray2bin_lfb:ws_dgrp_gray2bin| ; 8 (8) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 0 (0) ; 5 (5) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_gray2bin_lfb:ws_dgrp_gray2bin ; ; -; |a_graycounter_fic:wrptr_g1p| ; 17 (17) ; 13 (13) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 1 (1) ; 13 (13) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_graycounter_fic:wrptr_g1p ; ; -; |a_graycounter_k47:rdptr_g1p| ; 18 (18) ; 13 (13) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 5 (5) ; 1 (1) ; 12 (12) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_graycounter_k47:rdptr_g1p ; ; -; |alt_synch_pipe_ikd:rs_dgwp| ; 18 (0) ; 18 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 14 (0) ; 4 (0) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|alt_synch_pipe_ikd:rs_dgwp ; ; -; |dffpipe_hd9:dffpipe12| ; 18 (18) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 14 (14) ; 4 (4) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|alt_synch_pipe_ikd:rs_dgwp|dffpipe_hd9:dffpipe12 ; ; -; |alt_synch_pipe_jkd:ws_dgrp| ; 18 (0) ; 18 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 17 (0) ; 1 (0) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|alt_synch_pipe_jkd:ws_dgrp ; ; -; |dffpipe_id9:dffpipe17| ; 18 (18) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 17 (17) ; 1 (1) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|alt_synch_pipe_jkd:ws_dgrp|dffpipe_id9:dffpipe17 ; ; -; |altsyncram_bi31:fifo_ram| ; 0 (0) ; 0 (0) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|altsyncram_bi31:fifo_ram ; ; -; |cmpr_156:rdempty_eq_comp1_msb| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 1 (1) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|cmpr_156:rdempty_eq_comp1_msb ; ; -; |cmpr_156:wrfull_eq_comp1_msb| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 0 (0) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|cmpr_156:wrfull_eq_comp1_msb ; ; -; |cntr_t2e:cntr_b| ; 3 (3) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 2 (2) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|cntr_t2e:cntr_b ; ; -; |dffpipe_gd9:ws_brp| ; 8 (8) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 8 (8) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|dffpipe_gd9:ws_brp ; ; -; |dffpipe_pe9:ws_bwp| ; 10 (10) ; 10 (10) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 10 (10) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|dffpipe_pe9:ws_bwp ; ; -; |mux_a18:rdemp_eq_comp_lsb_mux| ; 7 (7) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 0 (0) ; 4 (4) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|mux_a18:rdemp_eq_comp_lsb_mux ; ; -; |mux_a18:rdemp_eq_comp_msb_mux| ; 5 (5) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 5 (5) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|mux_a18:rdemp_eq_comp_msb_mux ; ; -; |mux_a18:wrfull_eq_comp_lsb_mux| ; 7 (7) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 1 (1) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|mux_a18:wrfull_eq_comp_lsb_mux ; ; -; |mux_a18:wrfull_eq_comp_msb_mux| ; 5 (5) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (4) ; 0 (0) ; 1 (1) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|mux_a18:wrfull_eq_comp_msb_mux ; ; -; |dcfifo1:WRF| ; 166 (0) ; 124 (0) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 42 (0) ; 70 (0) ; 54 (0) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF ; ; -; |dcfifo_mixed_widths:dcfifo_mixed_widths_component| ; 166 (0) ; 124 (0) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 42 (0) ; 70 (0) ; 54 (0) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component ; ; -; |dcfifo_3fh1:auto_generated| ; 166 (58) ; 124 (42) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 42 (6) ; 70 (34) ; 54 (12) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated ; ; -; |a_gray2bin_lfb:rdptr_g_gray2bin| ; 8 (8) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 0 (0) ; 5 (5) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_gray2bin_lfb:rdptr_g_gray2bin ; ; -; |a_gray2bin_lfb:rs_dgwp_gray2bin| ; 8 (8) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (4) ; 0 (0) ; 4 (4) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_gray2bin_lfb:rs_dgwp_gray2bin ; ; -; |a_graycounter_gic:wrptr_g1p| ; 17 (17) ; 13 (13) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (4) ; 1 (1) ; 12 (12) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_graycounter_gic:wrptr_g1p ; ; -; |a_graycounter_j47:rdptr_g1p| ; 17 (17) ; 13 (13) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (4) ; 1 (1) ; 12 (12) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_graycounter_j47:rdptr_g1p ; ; -; |alt_synch_pipe_kkd:rs_dgwp| ; 18 (0) ; 18 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 15 (0) ; 3 (0) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|alt_synch_pipe_kkd:rs_dgwp ; ; -; |dffpipe_jd9:dffpipe12| ; 18 (18) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 15 (15) ; 3 (3) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|alt_synch_pipe_kkd:rs_dgwp|dffpipe_jd9:dffpipe12 ; ; -; |alt_synch_pipe_lkd:ws_dgrp| ; 18 (0) ; 18 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 16 (0) ; 2 (0) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|alt_synch_pipe_lkd:ws_dgrp ; ; -; |dffpipe_kd9:dffpipe15| ; 18 (18) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 16 (16) ; 2 (2) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|alt_synch_pipe_lkd:ws_dgrp|dffpipe_kd9:dffpipe15 ; ; -; |altsyncram_ci31:fifo_ram| ; 0 (0) ; 0 (0) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram ; ; -; |cmpr_156:rdempty_eq_comp1_msb| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 1 (1) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|cmpr_156:rdempty_eq_comp1_msb ; ; -; |cntr_t2e:cntr_b| ; 4 (4) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 2 (2) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|cntr_t2e:cntr_b ; ; -; |dffpipe_gd9:rs_bwp| ; 8 (8) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 2 (2) ; 6 (6) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|dffpipe_gd9:rs_bwp ; ; -; |dffpipe_pe9:rs_brp| ; 10 (10) ; 10 (10) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 9 (9) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|dffpipe_pe9:rs_brp ; ; -; |mux_a18:rdemp_eq_comp_lsb_mux| ; 7 (7) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 1 (1) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|mux_a18:rdemp_eq_comp_lsb_mux ; ; -; |mux_a18:rdemp_eq_comp_msb_mux| ; 5 (5) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 3 (3) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|mux_a18:rdemp_eq_comp_msb_mux ; ; -; |mux_a18:wrfull_eq_comp_lsb_mux| ; 7 (7) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 5 (5) ; 0 (0) ; 2 (2) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|mux_a18:wrfull_eq_comp_lsb_mux ; ; -; |mux_a18:wrfull_eq_comp_msb_mux| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 0 (0) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|mux_a18:wrfull_eq_comp_msb_mux ; ; -; |Video:Fredi_Aschwanden| ; 4088 (14) ; 2168 (4) ; 0 (0) ; 92816 ; 20 ; 6 ; 0 ; 3 ; 0 ; 0 ; 1920 (10) ; 916 (4) ; 1252 (0) ; |firebee1|Video:Fredi_Aschwanden ; ; -; |DDR_CTR:DDR_CTR| ; 374 (342) ; 158 (158) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 211 (180) ; 20 (20) ; 143 (140) ; |firebee1|Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR ; ; -; |lpm_bustri_BYT:$00002| ; 3 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|lpm_bustri_BYT:$00002 ; ; -; |lpm_bustri:lpm_bustri_component| ; 3 (3) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|lpm_bustri_BYT:$00002|lpm_bustri:lpm_bustri_component ; ; -; |lpm_bustri_BYT:$00004| ; 31 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 28 (0) ; 0 (0) ; 3 (0) ; |firebee1|Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|lpm_bustri_BYT:$00004 ; ; -; |lpm_bustri:lpm_bustri_component| ; 31 (31) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 28 (28) ; 0 (0) ; 3 (3) ; |firebee1|Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|lpm_bustri_BYT:$00004|lpm_bustri:lpm_bustri_component ; ; -; |VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR| ; 1420 (1292) ; 529 (529) ; 0 (0) ; 0 ; 0 ; 6 ; 0 ; 3 ; 0 ; 0 ; 891 (763) ; 158 (158) ; 371 (252) ; |firebee1|Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR ; ; -; |lpm_bustri_WORD:$00000| ; 187 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 112 (0) ; 0 (0) ; 75 (0) ; |firebee1|Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_bustri_WORD:$00000 ; ; -; |lpm_bustri:lpm_bustri_component| ; 187 (187) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 112 (112) ; 0 (0) ; 75 (75) ; |firebee1|Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_bustri_WORD:$00000|lpm_bustri:lpm_bustri_component ; ; -; |lpm_bustri_WORD:$00002| ; 60 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 16 (0) ; 0 (0) ; 44 (0) ; |firebee1|Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_bustri_WORD:$00002 ; ; -; |lpm_bustri:lpm_bustri_component| ; 60 (60) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 16 (16) ; 0 (0) ; 44 (44) ; |firebee1|Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_bustri_WORD:$00002|lpm_bustri:lpm_bustri_component ; ; -; |lpm_mult:op_12| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_12 ; ; -; |mult_aat:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_12|mult_aat:auto_generated ; ; -; |lpm_mult:op_14| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_14 ; ; -; |mult_cat:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_14|mult_cat:auto_generated ; ; -; |lpm_mult:op_6| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_6 ; ; -; |mult_aat:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_6|mult_aat:auto_generated ; ; -; |altddio_bidir0:inst1| ; 96 (0) ; 96 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 96 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altddio_bidir0:inst1 ; ; -; |altddio_bidir:altddio_bidir_component| ; 96 (0) ; 96 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 96 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component ; ; -; |ddio_bidir_3jl:auto_generated| ; 96 (96) ; 96 (96) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 96 (96) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated ; ; -; |altddio_out0:inst2| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altddio_out0:inst2 ; ; -; |altddio_out:altddio_out_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altddio_out0:inst2|altddio_out:altddio_out_component ; ; -; |ddio_out_are:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altddio_out0:inst2|altddio_out:altddio_out_component|ddio_out_are:auto_generated ; ; -; |altddio_out2:inst5| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altddio_out2:inst5 ; ; -; |altddio_out:altddio_out_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altddio_out2:inst5|altddio_out:altddio_out_component ; ; -; |ddio_out_o2f:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altddio_out2:inst5|altddio_out:altddio_out_component|ddio_out_o2f:auto_generated ; ; -; |altdpram0:ST_CLUT_BLUE| ; 0 (0) ; 0 (0) ; 0 (0) ; 48 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram0:ST_CLUT_BLUE ; ; -; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 48 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram0:ST_CLUT_BLUE|altsyncram:altsyncram_component ; ; -; |altsyncram_rb92:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 48 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram0:ST_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated ; ; -; |altdpram0:ST_CLUT_GREEN| ; 0 (0) ; 0 (0) ; 0 (0) ; 48 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram0:ST_CLUT_GREEN ; ; -; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 48 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram0:ST_CLUT_GREEN|altsyncram:altsyncram_component ; ; -; |altsyncram_rb92:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 48 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram0:ST_CLUT_GREEN|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated ; ; -; |altdpram0:ST_CLUT_RED| ; 0 (0) ; 0 (0) ; 0 (0) ; 48 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram0:ST_CLUT_RED ; ; -; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 48 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram0:ST_CLUT_RED|altsyncram:altsyncram_component ; ; -; |altsyncram_rb92:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 48 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram0:ST_CLUT_RED|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated ; ; -; |altdpram1:FALCON_CLUT_BLUE| ; 0 (0) ; 0 (0) ; 0 (0) ; 1536 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_BLUE ; ; -; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 1536 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_BLUE|altsyncram:altsyncram_component ; ; -; |altsyncram_lf92:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 1536 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated ; ; -; |altdpram1:FALCON_CLUT_GREEN| ; 0 (0) ; 0 (0) ; 0 (0) ; 1536 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_GREEN ; ; -; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 1536 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_GREEN|altsyncram:altsyncram_component ; ; -; |altsyncram_lf92:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 1536 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_GREEN|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated ; ; -; |altdpram1:FALCON_CLUT_RED| ; 0 (0) ; 0 (0) ; 0 (0) ; 1536 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_RED ; ; -; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 1536 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_RED|altsyncram:altsyncram_component ; ; -; |altsyncram_lf92:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 1536 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_RED|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated ; ; -; |altdpram2:ACP_CLUT_RAM54| ; 0 (0) ; 0 (0) ; 0 (0) ; 2048 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM54 ; ; -; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 2048 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM54|altsyncram:altsyncram_component ; ; -; |altsyncram_pf92:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 2048 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM54|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated ; ; -; |altdpram2:ACP_CLUT_RAM55| ; 0 (0) ; 0 (0) ; 0 (0) ; 2048 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55 ; ; -; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 2048 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55|altsyncram:altsyncram_component ; ; -; |altsyncram_pf92:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 2048 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated ; ; -; |altdpram2:ACP_CLUT_RAM| ; 0 (0) ; 0 (0) ; 0 (0) ; 2048 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM ; ; -; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 2048 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM|altsyncram:altsyncram_component ; ; -; |altsyncram_pf92:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 2048 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated ; ; -; |lpm_bustri_LONG:inst119| ; 5 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 5 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_bustri_LONG:inst119 ; ; -; |lpm_bustri:lpm_bustri_component| ; 5 (5) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 5 (5) ; |firebee1|Video:Fredi_Aschwanden|lpm_bustri_LONG:inst119|lpm_bustri:lpm_bustri_component ; ; -; |lpm_ff0:inst13| ; 32 (0) ; 32 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 9 (0) ; 23 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst13 ; ; -; |lpm_ff:lpm_ff_component| ; 32 (32) ; 32 (32) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 9 (9) ; 23 (23) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component ; ; -; |lpm_ff0:inst14| ; 32 (0) ; 32 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (0) ; 31 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst14 ; ; -; |lpm_ff:lpm_ff_component| ; 32 (32) ; 32 (32) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 31 (31) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component ; ; -; |lpm_ff0:inst15| ; 32 (0) ; 32 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 25 (0) ; 7 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst15 ; ; -; |lpm_ff:lpm_ff_component| ; 32 (32) ; 32 (32) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 25 (25) ; 7 (7) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component ; ; -; |lpm_ff0:inst16| ; 28 (0) ; 28 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 26 (0) ; 2 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst16 ; ; -; |lpm_ff:lpm_ff_component| ; 28 (28) ; 28 (28) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 26 (26) ; 2 (2) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component ; ; -; |lpm_ff0:inst17| ; 32 (0) ; 32 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 31 (0) ; 1 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst17 ; ; -; |lpm_ff:lpm_ff_component| ; 32 (32) ; 32 (32) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 31 (31) ; 1 (1) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst17|lpm_ff:lpm_ff_component ; ; -; |lpm_ff0:inst18| ; 32 (0) ; 32 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 2 (0) ; 30 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst18 ; ; -; |lpm_ff:lpm_ff_component| ; 32 (32) ; 32 (32) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 2 (2) ; 30 (30) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst18|lpm_ff:lpm_ff_component ; ; -; |lpm_ff0:inst19| ; 32 (0) ; 32 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 32 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst19 ; ; -; |lpm_ff:lpm_ff_component| ; 32 (32) ; 32 (32) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 32 (32) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst19|lpm_ff:lpm_ff_component ; ; -; |lpm_ff1:inst12| ; 32 (0) ; 32 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 30 (0) ; 2 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff1:inst12 ; ; -; |lpm_ff:lpm_ff_component| ; 32 (32) ; 32 (32) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 30 (30) ; 2 (2) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff1:inst12|lpm_ff:lpm_ff_component ; ; -; |lpm_ff1:inst20| ; 32 (0) ; 32 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 28 (0) ; 4 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff1:inst20 ; ; -; |lpm_ff:lpm_ff_component| ; 32 (32) ; 32 (32) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 28 (28) ; 4 (4) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff1:inst20|lpm_ff:lpm_ff_component ; ; -; |lpm_ff1:inst3| ; 32 (0) ; 32 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 32 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff1:inst3 ; ; -; |lpm_ff:lpm_ff_component| ; 32 (32) ; 32 (32) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 32 (32) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff1:inst3|lpm_ff:lpm_ff_component ; ; -; |lpm_ff1:inst4| ; 32 (0) ; 32 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 26 (0) ; 6 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff1:inst4 ; ; -; |lpm_ff:lpm_ff_component| ; 32 (32) ; 32 (32) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 26 (26) ; 6 (6) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff1:inst4|lpm_ff:lpm_ff_component ; ; -; |lpm_ff1:inst9| ; 24 (0) ; 24 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 12 (0) ; 12 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff1:inst9 ; ; -; |lpm_ff:lpm_ff_component| ; 24 (24) ; 24 (24) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 12 (12) ; 12 (12) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff1:inst9|lpm_ff:lpm_ff_component ; ; -; |lpm_ff3:inst46| ; 18 (0) ; 18 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 18 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff3:inst46 ; ; -; |lpm_ff:lpm_ff_component| ; 18 (18) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 18 (18) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff3:inst46|lpm_ff:lpm_ff_component ; ; -; |lpm_ff3:inst47| ; 18 (0) ; 18 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 18 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff3:inst47 ; ; -; |lpm_ff:lpm_ff_component| ; 18 (18) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 18 (18) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff3:inst47|lpm_ff:lpm_ff_component ; ; -; |lpm_ff3:inst49| ; 9 (0) ; 9 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 9 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff3:inst49 ; ; -; |lpm_ff:lpm_ff_component| ; 9 (9) ; 9 (9) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 9 (9) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff3:inst49|lpm_ff:lpm_ff_component ; ; -; |lpm_ff3:inst52| ; 9 (0) ; 9 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 9 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff3:inst52 ; ; -; |lpm_ff:lpm_ff_component| ; 9 (9) ; 9 (9) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 9 (9) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff3:inst52|lpm_ff:lpm_ff_component ; ; -; |lpm_ff4:inst10| ; 16 (0) ; 16 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 12 (0) ; 4 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff4:inst10 ; ; -; |lpm_ff:lpm_ff_component| ; 16 (16) ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 12 (12) ; 4 (4) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff4:inst10|lpm_ff:lpm_ff_component ; ; -; |lpm_ff5:inst11| ; 8 (0) ; 8 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 8 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff5:inst11 ; ; -; |lpm_ff:lpm_ff_component| ; 8 (8) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 8 (8) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff5:inst11|lpm_ff:lpm_ff_component ; ; -; |lpm_ff5:inst97| ; 5 (0) ; 5 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 5 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff5:inst97 ; ; -; |lpm_ff:lpm_ff_component| ; 5 (5) ; 5 (5) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 5 (5) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff5:inst97|lpm_ff:lpm_ff_component ; ; -; |lpm_ff6:inst71| ; 128 (0) ; 128 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 87 (0) ; 41 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff6:inst71 ; ; -; |lpm_ff:lpm_ff_component| ; 128 (128) ; 128 (128) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 87 (87) ; 41 (41) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff6:inst71|lpm_ff:lpm_ff_component ; ; -; |lpm_ff6:inst94| ; 128 (0) ; 128 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 85 (0) ; 43 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff6:inst94 ; ; -; |lpm_ff:lpm_ff_component| ; 128 (128) ; 128 (128) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 85 (85) ; 43 (43) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff6:inst94|lpm_ff:lpm_ff_component ; ; -; |lpm_fifoDZ:inst63| ; 22 (0) ; 21 (0) ; 0 (0) ; 16384 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (0) ; 0 (0) ; 21 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_fifoDZ:inst63 ; ; -; |scfifo:scfifo_component| ; 22 (0) ; 21 (0) ; 0 (0) ; 16384 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (0) ; 0 (0) ; 21 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component ; ; -; |scfifo_lk21:auto_generated| ; 22 (0) ; 21 (0) ; 0 (0) ; 16384 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (0) ; 0 (0) ; 21 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_lk21:auto_generated ; ; -; |a_dpfifo_oq21:dpfifo| ; 22 (9) ; 21 (8) ; 0 (0) ; 16384 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 21 (8) ; |firebee1|Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_lk21:auto_generated|a_dpfifo_oq21:dpfifo ; ; -; |altsyncram_gj81:FIFOram| ; 0 (0) ; 0 (0) ; 0 (0) ; 16384 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_lk21:auto_generated|a_dpfifo_oq21:dpfifo|altsyncram_gj81:FIFOram ; ; -; |cntr_omb:rd_ptr_msb| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |firebee1|Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_lk21:auto_generated|a_dpfifo_oq21:dpfifo|cntr_omb:rd_ptr_msb ; ; -; |cntr_pmb:wr_ptr| ; 7 (7) ; 7 (7) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 7 (7) ; |firebee1|Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_lk21:auto_generated|a_dpfifo_oq21:dpfifo|cntr_pmb:wr_ptr ; ; -; |lpm_fifo_dc0:inst| ; 118 (0) ; 98 (0) ; 0 (0) ; 65536 ; 8 ; 0 ; 0 ; 0 ; 0 ; 0 ; 20 (0) ; 51 (0) ; 47 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst ; ; -; |dcfifo:dcfifo_component| ; 118 (0) ; 98 (0) ; 0 (0) ; 65536 ; 8 ; 0 ; 0 ; 0 ; 0 ; 0 ; 20 (0) ; 51 (0) ; 47 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component ; ; -; |dcfifo_8fi1:auto_generated| ; 118 (31) ; 98 (20) ; 0 (0) ; 65536 ; 8 ; 0 ; 0 ; 0 ; 0 ; 0 ; 20 (2) ; 51 (16) ; 47 (10) ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated ; ; -; |a_gray2bin_tgb:wrptr_g_gray2bin| ; 9 (9) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 3 (3) ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_gray2bin_tgb:wrptr_g_gray2bin ; ; -; |a_gray2bin_tgb:ws_dgrp_gray2bin| ; 9 (9) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 0 (0) ; 6 (6) ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_gray2bin_tgb:ws_dgrp_gray2bin ; ; -; |a_graycounter_njc:wrptr_gp| ; 18 (18) ; 14 (14) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (4) ; 1 (1) ; 13 (13) ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_njc:wrptr_gp ; ; -; |a_graycounter_s57:rdptr_g1p| ; 20 (20) ; 14 (14) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 5 (5) ; 1 (1) ; 14 (14) ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_s57:rdptr_g1p ; ; -; |alt_synch_pipe_sld:ws_dgrp| ; 30 (0) ; 30 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 30 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|alt_synch_pipe_sld:ws_dgrp ; ; -; |dffpipe_re9:dffpipe22| ; 30 (30) ; 30 (30) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 30 (30) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|alt_synch_pipe_sld:ws_dgrp|dffpipe_re9:dffpipe22 ; ; -; |altsyncram_tl31:fifo_ram| ; 0 (0) ; 0 (0) ; 0 (0) ; 65536 ; 8 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|altsyncram_tl31:fifo_ram ; ; -; |dffpipe_9d9:wraclr| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 1 (1) ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|dffpipe_9d9:wraclr ; ; -; |dffpipe_oe9:ws_brp| ; 9 (9) ; 9 (9) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 9 (9) ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|dffpipe_oe9:ws_brp ; ; -; |dffpipe_oe9:ws_bwp| ; 9 (9) ; 9 (9) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 2 (2) ; 7 (7) ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|dffpipe_oe9:ws_bwp ; ; -; |lpm_latch0:inst27| ; 32 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 31 (0) ; 0 (0) ; 1 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_latch0:inst27 ; ; -; |lpm_latch:lpm_latch_component| ; 32 (32) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 31 (31) ; 0 (0) ; 1 (1) ; |firebee1|Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component ; ; -; |lpm_mux0:inst21| ; 120 (0) ; 96 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 22 (0) ; 71 (0) ; 27 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_mux0:inst21 ; ; -; |lpm_mux:lpm_mux_component| ; 120 (0) ; 96 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 22 (0) ; 71 (0) ; 27 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_mux0:inst21|lpm_mux:lpm_mux_component ; ; -; |mux_gpe:auto_generated| ; 120 (120) ; 96 (96) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 22 (22) ; 71 (71) ; 27 (27) ; |firebee1|Video:Fredi_Aschwanden|lpm_mux0:inst21|lpm_mux:lpm_mux_component|mux_gpe:auto_generated ; ; -; |lpm_mux1:inst24| ; 113 (0) ; 81 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 32 (0) ; 33 (0) ; 48 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_mux1:inst24 ; ; -; |lpm_mux:lpm_mux_component| ; 113 (0) ; 81 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 32 (0) ; 33 (0) ; 48 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_mux1:inst24|lpm_mux:lpm_mux_component ; ; -; |mux_npe:auto_generated| ; 113 (113) ; 81 (81) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 32 (32) ; 33 (33) ; 48 (48) ; |firebee1|Video:Fredi_Aschwanden|lpm_mux1:inst24|lpm_mux:lpm_mux_component|mux_npe:auto_generated ; ; -; |lpm_mux2:inst25| ; 81 (0) ; 41 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 40 (0) ; 1 (0) ; 40 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_mux2:inst25 ; ; -; |lpm_mux:lpm_mux_component| ; 81 (0) ; 41 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 40 (0) ; 1 (0) ; 40 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_mux2:inst25|lpm_mux:lpm_mux_component ; ; -; |mux_mpe:auto_generated| ; 81 (81) ; 41 (41) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 40 (40) ; 1 (1) ; 40 (40) ; |firebee1|Video:Fredi_Aschwanden|lpm_mux2:inst25|lpm_mux:lpm_mux_component|mux_mpe:auto_generated ; ; -; |lpm_mux3:inst102| ; 1 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 1 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_mux3:inst102 ; ; -; |lpm_mux:lpm_mux_component| ; 1 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 1 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_mux3:inst102|lpm_mux:lpm_mux_component ; ; -; |mux_96e:auto_generated| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 1 (1) ; |firebee1|Video:Fredi_Aschwanden|lpm_mux3:inst102|lpm_mux:lpm_mux_component|mux_96e:auto_generated ; ; -; |lpm_mux4:inst81| ; 7 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 7 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_mux4:inst81 ; ; -; |lpm_mux:lpm_mux_component| ; 7 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 7 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_mux4:inst81|lpm_mux:lpm_mux_component ; ; -; |mux_f6e:auto_generated| ; 7 (7) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 7 (7) ; |firebee1|Video:Fredi_Aschwanden|lpm_mux4:inst81|lpm_mux:lpm_mux_component|mux_f6e:auto_generated ; ; -; |lpm_mux5:inst22| ; 64 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (0) ; 0 (0) ; 60 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_mux5:inst22 ; ; -; |lpm_mux:lpm_mux_component| ; 64 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (0) ; 0 (0) ; 60 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_mux5:inst22|lpm_mux:lpm_mux_component ; ; -; |mux_58e:auto_generated| ; 64 (64) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (4) ; 0 (0) ; 60 (60) ; |firebee1|Video:Fredi_Aschwanden|lpm_mux5:inst22|lpm_mux:lpm_mux_component|mux_58e:auto_generated ; ; -; |lpm_mux6:inst7| ; 91 (0) ; 67 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 8 (0) ; 1 (0) ; 82 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_mux6:inst7 ; ; -; |lpm_mux:lpm_mux_component| ; 91 (0) ; 67 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 8 (0) ; 1 (0) ; 82 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_mux6:inst7|lpm_mux:lpm_mux_component ; ; -; |mux_kpe:auto_generated| ; 91 (91) ; 67 (67) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 8 (8) ; 1 (1) ; 82 (82) ; |firebee1|Video:Fredi_Aschwanden|lpm_mux6:inst7|lpm_mux:lpm_mux_component|mux_kpe:auto_generated ; ; -; |lpm_muxDZ:inst62| ; 128 (0) ; 128 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 128 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_muxDZ:inst62 ; ; -; |lpm_mux:lpm_mux_component| ; 128 (0) ; 128 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 128 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_muxDZ:inst62|lpm_mux:lpm_mux_component ; ; -; |mux_dcf:auto_generated| ; 128 (128) ; 128 (128) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 128 (128) ; |firebee1|Video:Fredi_Aschwanden|lpm_muxDZ:inst62|lpm_mux:lpm_mux_component|mux_dcf:auto_generated ; ; -; |lpm_muxVDM:inst100| ; 736 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 640 (0) ; 0 (0) ; 96 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_muxVDM:inst100 ; ; -; |lpm_mux:lpm_mux_component| ; 736 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 640 (0) ; 0 (0) ; 96 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_muxVDM:inst100|lpm_mux:lpm_mux_component ; ; -; |mux_bbe:auto_generated| ; 736 (736) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 640 (640) ; 0 (0) ; 96 (96) ; |firebee1|Video:Fredi_Aschwanden|lpm_muxVDM:inst100|lpm_mux:lpm_mux_component|mux_bbe:auto_generated ; ; -; |lpm_shiftreg0:sr0| ; 16 (0) ; 16 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (0) ; 15 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr0 ; ; -; |lpm_shiftreg:lpm_shiftreg_component| ; 16 (16) ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 15 (15) ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr0|lpm_shiftreg:lpm_shiftreg_component ; ; -; |lpm_shiftreg0:sr1| ; 16 (0) ; 16 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (0) ; 15 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr1 ; ; -; |lpm_shiftreg:lpm_shiftreg_component| ; 16 (16) ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 15 (15) ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr1|lpm_shiftreg:lpm_shiftreg_component ; ; -; |lpm_shiftreg0:sr2| ; 16 (0) ; 16 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (0) ; 15 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr2 ; ; -; |lpm_shiftreg:lpm_shiftreg_component| ; 16 (16) ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 15 (15) ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr2|lpm_shiftreg:lpm_shiftreg_component ; ; -; |lpm_shiftreg0:sr3| ; 17 (0) ; 16 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 2 (0) ; 15 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr3 ; ; -; |lpm_shiftreg:lpm_shiftreg_component| ; 17 (17) ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 2 (2) ; 15 (15) ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr3|lpm_shiftreg:lpm_shiftreg_component ; ; -; |lpm_shiftreg0:sr4| ; 16 (0) ; 16 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (0) ; 15 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr4 ; ; -; |lpm_shiftreg:lpm_shiftreg_component| ; 16 (16) ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 15 (15) ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr4|lpm_shiftreg:lpm_shiftreg_component ; ; -; |lpm_shiftreg0:sr5| ; 16 (0) ; 16 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (0) ; 15 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr5 ; ; -; |lpm_shiftreg:lpm_shiftreg_component| ; 16 (16) ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 15 (15) ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr5|lpm_shiftreg:lpm_shiftreg_component ; ; -; |lpm_shiftreg0:sr6| ; 16 (0) ; 16 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 16 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr6 ; ; -; |lpm_shiftreg:lpm_shiftreg_component| ; 16 (16) ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 16 (16) ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr6|lpm_shiftreg:lpm_shiftreg_component ; ; -; |lpm_shiftreg0:sr7| ; 16 (0) ; 16 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 16 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr7 ; ; -; |lpm_shiftreg:lpm_shiftreg_component| ; 16 (16) ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 16 (16) ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr7|lpm_shiftreg:lpm_shiftreg_component ; ; -; |lpm_shiftreg4:inst26| ; 5 (0) ; 5 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 5 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg4:inst26 ; ; -; |lpm_shiftreg:lpm_shiftreg_component| ; 5 (5) ; 5 (5) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 5 (5) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg4:inst26|lpm_shiftreg:lpm_shiftreg_component ; ; -; |lpm_shiftreg6:inst92| ; 5 (0) ; 5 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 4 (0) ; 1 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg6:inst92 ; ; -; |lpm_shiftreg:lpm_shiftreg_component| ; 5 (5) ; 5 (5) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 4 (4) ; 1 (1) ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg6:inst92|lpm_shiftreg:lpm_shiftreg_component ; ; -; |mux41:inst40| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|mux41:inst40 ; ; -; |mux41:inst41| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|mux41:inst41 ; ; -; |mux41:inst42| ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|mux41:inst42 ; ; -; |mux41:inst43| ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|mux41:inst43 ; ; -; |mux41:inst44| ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|mux41:inst44 ; ; -; |mux41:inst45| ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|mux41:inst45 ; ; -; |altddio_out3:inst5| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altddio_out3:inst5 ; ; -; |altddio_out:altddio_out_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altddio_out3:inst5|altddio_out:altddio_out_component ; ; -; |ddio_out_31f:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altddio_out3:inst5|altddio_out:altddio_out_component|ddio_out_31f:auto_generated ; ; -; |altddio_out3:inst6| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altddio_out3:inst6 ; ; -; |altddio_out:altddio_out_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altddio_out3:inst6|altddio_out:altddio_out_component ; ; -; |ddio_out_31f:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altddio_out3:inst6|altddio_out:altddio_out_component|ddio_out_31f:auto_generated ; ; -; |altddio_out3:inst8| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altddio_out3:inst8 ; ; -; |altddio_out:altddio_out_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altddio_out3:inst8|altddio_out:altddio_out_component ; ; -; |ddio_out_31f:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altddio_out3:inst8|altddio_out:altddio_out_component|ddio_out_31f:auto_generated ; ; -; |altddio_out3:inst9| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altddio_out3:inst9 ; work ; -; |altddio_out:altddio_out_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altddio_out3:inst9|altddio_out:altddio_out_component ; work ; -; |ddio_out_31f:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altddio_out3:inst9|altddio_out:altddio_out_component|ddio_out_31f:auto_generated ; work ; -; |altpll1:inst| ; 1 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (0) ; 0 (0) ; 0 (0) ; |firebee1|altpll1:inst ; ; -; |altpll:altpll_component| ; 1 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (0) ; 0 (0) ; 0 (0) ; |firebee1|altpll1:inst|altpll:altpll_component ; ; -; |altpll_pul2:auto_generated| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 0 (0) ; |firebee1|altpll1:inst|altpll:altpll_component|altpll_pul2:auto_generated ; ; -; |altpll2:inst12| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altpll2:inst12 ; ; -; |altpll:altpll_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altpll2:inst12|altpll:altpll_component ; ; -; |altpll_isv2:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated ; ; -; |altpll3:inst13| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altpll3:inst13 ; ; -; |altpll:altpll_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altpll3:inst13|altpll:altpll_component ; ; -; |altpll_41p2:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated ; ; -; |altpll4:inst22| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altpll4:inst22 ; ; -; |altpll:altpll_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altpll4:inst22|altpll:altpll_component ; ; -; |altpll_c6j2:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altpll4:inst22|altpll:altpll_component|altpll_c6j2:auto_generated ; ; -; |altpll_reconfig1:inst7| ; 334 (0) ; 128 (0) ; 0 (0) ; 144 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 206 (0) ; 22 (0) ; 106 (0) ; |firebee1|altpll_reconfig1:inst7 ; ; -; |altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component| ; 334 (237) ; 128 (80) ; 0 (0) ; 144 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 206 (157) ; 22 (22) ; 106 (57) ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component ; ; -; |altsyncram:altsyncram4| ; 0 (0) ; 0 (0) ; 0 (0) ; 144 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|altsyncram:altsyncram4 ; ; -; |altsyncram_46r:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 144 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|altsyncram:altsyncram4|altsyncram_46r:auto_generated ; ; -; |lpm_compare:cmpr7| ; 3 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (0) ; 0 (0) ; 1 (0) ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_compare:cmpr7 ; ; -; |cmpr_tnd:auto_generated| ; 3 (3) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 1 (1) ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_compare:cmpr7|cmpr_tnd:auto_generated ; ; -; |lpm_counter:cntr12| ; 10 (0) ; 8 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (0) ; 0 (0) ; 8 (0) ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr12 ; ; -; |cntr_30l:auto_generated| ; 10 (10) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 8 (8) ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr12|cntr_30l:auto_generated ; ; -; |lpm_counter:cntr13| ; 7 (0) ; 6 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (0) ; 0 (0) ; 6 (0) ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr13 ; ; -; |cntr_qij:auto_generated| ; 7 (7) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 6 (6) ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr13|cntr_qij:auto_generated ; ; -; |lpm_counter:cntr14| ; 5 (0) ; 5 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 5 (0) ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr14 ; ; -; |cntr_pij:auto_generated| ; 5 (5) ; 5 (5) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 5 (5) ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr14|cntr_pij:auto_generated ; ; -; |lpm_counter:cntr15| ; 18 (0) ; 8 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 10 (0) ; 0 (0) ; 8 (0) ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr15 ; ; -; |cntr_30l:auto_generated| ; 18 (18) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 10 (10) ; 0 (0) ; 8 (8) ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr15|cntr_30l:auto_generated ; ; -; |lpm_counter:cntr1| ; 41 (0) ; 8 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 33 (0) ; 0 (0) ; 8 (0) ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr1 ; ; -; |cntr_30l:auto_generated| ; 41 (41) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 33 (33) ; 0 (0) ; 8 (8) ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr1|cntr_30l:auto_generated ; ; -; |lpm_counter:cntr2| ; 9 (0) ; 8 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (0) ; 0 (0) ; 8 (0) ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr2 ; ; -; |cntr_9cj:auto_generated| ; 9 (9) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 8 (8) ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr2|cntr_9cj:auto_generated ; ; -; |lpm_counter:cntr3| ; 5 (0) ; 5 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 5 (0) ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr3 ; ; -; |cntr_pij:auto_generated| ; 5 (5) ; 5 (5) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 5 (5) ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr3|cntr_pij:auto_generated ; ; -; |interrupt_handler:nobody| ; 1037 (999) ; 633 (633) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 401 (363) ; 235 (235) ; 401 (355) ; |firebee1|interrupt_handler:nobody ; ; -; |lpm_bustri_BYT:$00000| ; 14 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 5 (0) ; 0 (0) ; 9 (0) ; |firebee1|interrupt_handler:nobody|lpm_bustri_BYT:$00000 ; ; -; |lpm_bustri:lpm_bustri_component| ; 14 (14) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 5 (5) ; 0 (0) ; 9 (9) ; |firebee1|interrupt_handler:nobody|lpm_bustri_BYT:$00000|lpm_bustri:lpm_bustri_component ; ; -; |lpm_bustri_BYT:$00002| ; 24 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 16 (0) ; 0 (0) ; 8 (0) ; |firebee1|interrupt_handler:nobody|lpm_bustri_BYT:$00002 ; ; -; |lpm_bustri:lpm_bustri_component| ; 24 (24) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 16 (16) ; 0 (0) ; 8 (8) ; |firebee1|interrupt_handler:nobody|lpm_bustri_BYT:$00002|lpm_bustri:lpm_bustri_component ; ; -; |lpm_bustri_BYT:$00004| ; 24 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 10 (0) ; 0 (0) ; 14 (0) ; |firebee1|interrupt_handler:nobody|lpm_bustri_BYT:$00004 ; ; -; |lpm_bustri:lpm_bustri_component| ; 24 (24) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 10 (10) ; 0 (0) ; 14 (14) ; |firebee1|interrupt_handler:nobody|lpm_bustri_BYT:$00004|lpm_bustri:lpm_bustri_component ; ; -; |lpm_bustri_BYT:$00006| ; 22 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 7 (0) ; 0 (0) ; 15 (0) ; |firebee1|interrupt_handler:nobody|lpm_bustri_BYT:$00006 ; ; -; |lpm_bustri:lpm_bustri_component| ; 22 (22) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 7 (7) ; 0 (0) ; 15 (15) ; |firebee1|interrupt_handler:nobody|lpm_bustri_BYT:$00006|lpm_bustri:lpm_bustri_component ; ; -; |lpm_counter0:inst18| ; 19 (0) ; 18 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (0) ; 1 (0) ; 17 (0) ; |firebee1|lpm_counter0:inst18 ; ; -; |lpm_counter:lpm_counter_component| ; 19 (0) ; 18 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (0) ; 1 (0) ; 17 (0) ; |firebee1|lpm_counter0:inst18|lpm_counter:lpm_counter_component ; ; -; |cntr_mph:auto_generated| ; 19 (19) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 1 (1) ; 17 (17) ; |firebee1|lpm_counter0:inst18|lpm_counter:lpm_counter_component|cntr_mph:auto_generated ; ; -; |lpm_ff0:inst1| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|lpm_ff0:inst1 ; ; -; |lpm_ff:lpm_ff_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|lpm_ff0:inst1|lpm_ff:lpm_ff_component ; ; -+-----------------------------------------------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------+ -Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. - - -+---------------------------------------------------------------------------------------------------------+ -; Delay Chain Summary ; -+----------------+----------+---------------+---------------+-----------------------+----------+----------+ -; Name ; Pin Type ; Pad to Core 0 ; Pad to Core 1 ; Pad to Input Register ; TCO ; TCOE ; -+----------------+----------+---------------+---------------+-----------------------+----------+----------+ -; CLK24M576 ; Output ; -- ; -- ; -- ; -- ; -- ; -; LP_STR ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; -; nFB_BURST ; Input ; -- ; -- ; -- ; -- ; -- ; -; nACSI_DRQ ; Input ; -- ; -- ; -- ; -- ; -- ; -; nACSI_INT ; Input ; -- ; -- ; -- ; -- ; -- ; -; nSCSI_DRQ ; Input ; -- ; -- ; -- ; -- ; -- ; -; nSCSI_MSG ; Input ; -- ; -- ; -- ; -- ; -- ; -; nDCHG ; Input ; -- ; -- ; -- ; -- ; -- ; -; SD_DATA0 ; Input ; -- ; -- ; -- ; -- ; -- ; -; SD_DATA1 ; Input ; -- ; -- ; -- ; -- ; -- ; -; SD_DATA2 ; Input ; -- ; -- ; -- ; -- ; -- ; -; SD_CARD_DEDECT ; Input ; -- ; -- ; -- ; -- ; -- ; -; SD_WP ; Input ; -- ; -- ; -- ; -- ; -- ; -; nDACK0 ; Input ; -- ; -- ; -- ; -- ; -- ; -; WP_CF_CARD ; Input ; -- ; -- ; -- ; -- ; -- ; -; nSCSI_C_D ; Input ; -- ; -- ; -- ; -- ; -- ; -; nSCSI_I_O ; Input ; -- ; -- ; -- ; -- ; -- ; -; nFB_CS3 ; Input ; -- ; -- ; -- ; -- ; -- ; -; CLK25M ; Output ; -- ; -- ; -- ; -- ; -- ; -; nACSI_ACK ; Output ; -- ; -- ; -- ; -- ; -- ; -; nACSI_RESET ; Output ; -- ; -- ; -- ; -- ; -- ; -; nACSI_CS ; Output ; -- ; -- ; -- ; -- ; -- ; -; ACSI_DIR ; Output ; -- ; -- ; -- ; -- ; -- ; -; ACSI_A1 ; Output ; -- ; -- ; -- ; -- ; -- ; -; nSCSI_ACK ; Output ; -- ; -- ; -- ; -- ; -- ; -; nSCSI_ATN ; Output ; -- ; -- ; -- ; -- ; -- ; -; SCSI_DIR ; Output ; -- ; -- ; -- ; -- ; -- ; -; MIDI_OLR ; Output ; -- ; -- ; -- ; -- ; -- ; -; MIDI_TLR ; Output ; -- ; -- ; -- ; -- ; -- ; -; TxD ; Output ; -- ; -- ; -- ; -- ; -- ; -; RTS ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; -; DTR ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; -; AMKB_TX ; Output ; -- ; -- ; -- ; -- ; -- ; -; IDE_RES ; Output ; -- ; -- ; -- ; -- ; -- ; -; nIDE_CS0 ; Output ; -- ; -- ; -- ; -- ; -- ; -; nIDE_CS1 ; Output ; -- ; -- ; -- ; -- ; -- ; -; nIDE_WR ; Output ; -- ; -- ; -- ; -- ; -- ; -; nIDE_RD ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; -; nCF_CS0 ; Output ; -- ; -- ; -- ; -- ; -- ; -; nCF_CS1 ; Output ; -- ; -- ; -- ; -- ; -- ; -; nROM3 ; Output ; -- ; -- ; -- ; -- ; -- ; -; nROM4 ; Output ; -- ; -- ; -- ; -- ; -- ; -; nRP_UDS ; Output ; -- ; -- ; -- ; -- ; -- ; -; nRP_LDS ; Output ; -- ; -- ; -- ; -- ; -- ; -; nSDSEL ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; -; nWR_GATE ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; -; nWR ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; -; YM_QA ; Output ; -- ; -- ; -- ; -- ; -- ; -; YM_QB ; Output ; -- ; -- ; -- ; -- ; -- ; -; YM_QC ; Output ; -- ; -- ; -- ; -- ; -- ; -; SD_CLK ; Output ; -- ; -- ; -- ; -- ; -- ; -; DSA_D ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; -; nVWE ; Output ; -- ; -- ; -- ; -- ; -- ; -; nVCAS ; Output ; -- ; -- ; -- ; -- ; -- ; -; nVRAS ; Output ; -- ; -- ; -- ; -- ; -- ; -; nVCS ; Output ; -- ; -- ; -- ; -- ; -- ; -; nPD_VGA ; Output ; -- ; -- ; -- ; -- ; -- ; -; TIN0 ; Output ; -- ; -- ; -- ; -- ; -- ; -; nSRCS ; Output ; -- ; -- ; -- ; -- ; -- ; -; nSRBLE ; Output ; -- ; -- ; -- ; -- ; -- ; -; nSRBHE ; Output ; -- ; -- ; -- ; -- ; -- ; -; nSRWE ; Output ; -- ; -- ; -- ; -- ; -- ; -; nDREQ1 ; Output ; -- ; -- ; -- ; -- ; -- ; -; LED_FPGA_OK ; Output ; -- ; -- ; -- ; -- ; -- ; -; nSROE ; Output ; -- ; -- ; -- ; -- ; -- ; -; VCKE ; Output ; -- ; -- ; -- ; -- ; -- ; -; nFB_TA ; Output ; -- ; -- ; -- ; -- ; -- ; -; nDDR_CLK ; Output ; -- ; -- ; -- ; -- ; -- ; -; DDR_CLK ; Output ; -- ; -- ; -- ; -- ; -- ; -; VSYNC_PAD ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; -; HSYNC_PAD ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; -; nBLANK_PAD ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; -; PIXEL_CLK_PAD ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; -; nSYNC ; Output ; -- ; -- ; -- ; -- ; -- ; -; nMOT_ON ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; -; nSTEP_DIR ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; -; nSTEP ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; -; CLKUSB ; Output ; -- ; -- ; -- ; -- ; -- ; -; LPDIR ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; -; BA[1] ; Output ; -- ; -- ; -- ; -- ; -- ; -; BA[0] ; Output ; -- ; -- ; -- ; -- ; -- ; -; nIRQ[7] ; Output ; -- ; -- ; -- ; -- ; -- ; -; nIRQ[6] ; Output ; -- ; -- ; -- ; -- ; -- ; -; nIRQ[5] ; Output ; -- ; -- ; -- ; -- ; -- ; -; nIRQ[4] ; Output ; -- ; -- ; -- ; -- ; -- ; -; nIRQ[3] ; Output ; -- ; -- ; -- ; -- ; -- ; -; nIRQ[2] ; Output ; -- ; -- ; -- ; -- ; -- ; -; VA[12] ; Output ; -- ; -- ; -- ; -- ; -- ; -; VA[11] ; Output ; -- ; -- ; -- ; -- ; -- ; -; VA[10] ; Output ; -- ; -- ; -- ; -- ; -- ; -; VA[9] ; Output ; -- ; -- ; -- ; -- ; -- ; -; VA[8] ; Output ; -- ; -- ; -- ; -- ; -- ; -; VA[7] ; Output ; -- ; -- ; -- ; -- ; -- ; -; VA[6] ; Output ; -- ; -- ; -- ; -- ; -- ; -; VA[5] ; Output ; -- ; -- ; -- ; -- ; -- ; -; VA[4] ; Output ; -- ; -- ; -- ; -- ; -- ; -; VA[3] ; Output ; -- ; -- ; -- ; -- ; -- ; -; VA[2] ; Output ; -- ; -- ; -- ; -- ; -- ; -; VA[1] ; Output ; -- ; -- ; -- ; -- ; -- ; -; VA[0] ; Output ; -- ; -- ; -- ; -- ; -- ; -; VB[7] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; -; VB[6] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; -; VB[5] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; -; VB[4] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; -; VB[3] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; -; VB[2] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; -; VB[1] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; -; VB[0] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; -; VDM[3] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; -; VDM[2] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; -; VDM[1] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; -; VDM[0] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; -; VG[7] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; -; VG[6] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; -; VG[5] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; -; VG[4] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; -; VG[3] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; -; VG[2] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; -; VG[1] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; -; VG[0] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; -; VR[7] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; -; VR[6] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; -; VR[5] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; -; VR[4] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; -; VR[3] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; -; VR[2] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; -; VR[1] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; -; VR[0] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; -; TOUT0 ; Input ; -- ; -- ; -- ; -- ; -- ; -; nMASTER ; Input ; -- ; -- ; -- ; -- ; -- ; -; FB_AD[31] ; Bidir ; -- ; (0) 0 ps ; (0) 0 ps ; -- ; -- ; -; FB_AD[30] ; Bidir ; -- ; (0) 0 ps ; (0) 0 ps ; -- ; -- ; -; FB_AD[29] ; Bidir ; -- ; (0) 0 ps ; (0) 0 ps ; -- ; -- ; -; FB_AD[28] ; Bidir ; -- ; (0) 0 ps ; (0) 0 ps ; -- ; -- ; -; FB_AD[27] ; Bidir ; -- ; (0) 0 ps ; (0) 0 ps ; -- ; -- ; -; FB_AD[26] ; Bidir ; -- ; (0) 0 ps ; (0) 0 ps ; -- ; -- ; -; FB_AD[25] ; Bidir ; -- ; (0) 0 ps ; (0) 0 ps ; -- ; -- ; -; FB_AD[24] ; Bidir ; -- ; (0) 0 ps ; (0) 0 ps ; -- ; -- ; -; FB_AD[23] ; Bidir ; -- ; (0) 0 ps ; (0) 0 ps ; -- ; -- ; -; FB_AD[22] ; Bidir ; -- ; (0) 0 ps ; (0) 0 ps ; -- ; -- ; -; FB_AD[21] ; Bidir ; -- ; (0) 0 ps ; (0) 0 ps ; -- ; -- ; -; FB_AD[20] ; Bidir ; -- ; (0) 0 ps ; (0) 0 ps ; -- ; -- ; -; FB_AD[19] ; Bidir ; -- ; (0) 0 ps ; (0) 0 ps ; -- ; -- ; -; FB_AD[18] ; Bidir ; -- ; (0) 0 ps ; (0) 0 ps ; -- ; -- ; -; FB_AD[17] ; Bidir ; -- ; (0) 0 ps ; (0) 0 ps ; -- ; -- ; -; FB_AD[16] ; Bidir ; -- ; (0) 0 ps ; (0) 0 ps ; -- ; -- ; -; FB_AD[15] ; Bidir ; -- ; (0) 0 ps ; (0) 0 ps ; -- ; -- ; -; FB_AD[14] ; Bidir ; -- ; (0) 0 ps ; (0) 0 ps ; -- ; -- ; -; FB_AD[13] ; Bidir ; -- ; (0) 0 ps ; (0) 0 ps ; -- ; -- ; -; FB_AD[12] ; Bidir ; -- ; (0) 0 ps ; (0) 0 ps ; -- ; -- ; -; FB_AD[11] ; Bidir ; -- ; (0) 0 ps ; (0) 0 ps ; -- ; -- ; -; FB_AD[10] ; Bidir ; -- ; (0) 0 ps ; (0) 0 ps ; -- ; -- ; -; FB_AD[9] ; Bidir ; -- ; (0) 0 ps ; (0) 0 ps ; -- ; -- ; -; FB_AD[8] ; Bidir ; -- ; (0) 0 ps ; (0) 0 ps ; -- ; -- ; -; FB_AD[7] ; Bidir ; -- ; (0) 0 ps ; (0) 0 ps ; -- ; -- ; -; FB_AD[6] ; Bidir ; -- ; (0) 0 ps ; (0) 0 ps ; -- ; -- ; -; FB_AD[5] ; Bidir ; -- ; (0) 0 ps ; (0) 0 ps ; -- ; -- ; -; FB_AD[4] ; Bidir ; -- ; (0) 0 ps ; (0) 0 ps ; -- ; -- ; -; FB_AD[3] ; Bidir ; -- ; (0) 0 ps ; (0) 0 ps ; -- ; -- ; -; FB_AD[2] ; Bidir ; -- ; (0) 0 ps ; (0) 0 ps ; -- ; -- ; -; FB_AD[1] ; Bidir ; -- ; (0) 0 ps ; (0) 0 ps ; -- ; -- ; -; FB_AD[0] ; Bidir ; -- ; (0) 0 ps ; (0) 0 ps ; -- ; -- ; -; VD[31] ; Bidir ; (1) 634 ps ; (0) 0 ps ; -- ; (0) 0 ps ; -- ; -; VD[30] ; Bidir ; (0) 0 ps ; (1) 634 ps ; -- ; (0) 0 ps ; -- ; -; VD[29] ; Bidir ; (0) 0 ps ; (1) 634 ps ; -- ; (0) 0 ps ; -- ; -; VD[28] ; Bidir ; (0) 0 ps ; (1) 634 ps ; -- ; (0) 0 ps ; -- ; -; VD[27] ; Bidir ; (0) 0 ps ; (1) 634 ps ; -- ; (0) 0 ps ; -- ; -; VD[26] ; Bidir ; -- ; (0) 0 ps ; -- ; (0) 0 ps ; -- ; -; VD[25] ; Bidir ; (1) 634 ps ; (0) 0 ps ; -- ; (0) 0 ps ; -- ; -; VD[24] ; Bidir ; (0) 0 ps ; (1) 634 ps ; -- ; (0) 0 ps ; -- ; -; VD[23] ; Bidir ; (0) 0 ps ; -- ; -- ; (0) 0 ps ; -- ; -; VD[22] ; Bidir ; (0) 0 ps ; (1) 634 ps ; -- ; (0) 0 ps ; -- ; -; VD[21] ; Bidir ; (0) 0 ps ; (1) 634 ps ; -- ; (0) 0 ps ; -- ; -; VD[20] ; Bidir ; (0) 0 ps ; (1) 634 ps ; -- ; (0) 0 ps ; -- ; -; VD[19] ; Bidir ; (1) 634 ps ; (0) 0 ps ; -- ; (0) 0 ps ; -- ; -; VD[18] ; Bidir ; (0) 0 ps ; -- ; -- ; (0) 0 ps ; -- ; -; VD[17] ; Bidir ; (0) 0 ps ; (1) 634 ps ; -- ; (0) 0 ps ; -- ; -; VD[16] ; Bidir ; (0) 0 ps ; -- ; -- ; (0) 0 ps ; -- ; -; VD[15] ; Bidir ; (2) 952 ps ; (0) 0 ps ; -- ; (0) 0 ps ; -- ; -; VD[14] ; Bidir ; -- ; (0) 0 ps ; -- ; (0) 0 ps ; -- ; -; VD[13] ; Bidir ; (2) 952 ps ; (0) 0 ps ; -- ; (0) 0 ps ; -- ; -; VD[12] ; Bidir ; (2) 952 ps ; (0) 0 ps ; -- ; (0) 0 ps ; -- ; -; VD[11] ; Bidir ; (0) 0 ps ; (2) 952 ps ; -- ; (0) 0 ps ; -- ; -; VD[10] ; Bidir ; (2) 952 ps ; (0) 0 ps ; -- ; (0) 0 ps ; -- ; -; VD[9] ; Bidir ; (2) 952 ps ; (0) 0 ps ; -- ; (0) 0 ps ; -- ; -; VD[8] ; Bidir ; (0) 0 ps ; -- ; -- ; (0) 0 ps ; -- ; -; VD[7] ; Bidir ; (0) 0 ps ; -- ; -- ; (0) 0 ps ; -- ; -; VD[6] ; Bidir ; (2) 952 ps ; (0) 0 ps ; -- ; (0) 0 ps ; -- ; -; VD[5] ; Bidir ; (0) 0 ps ; -- ; -- ; (0) 0 ps ; -- ; -; VD[4] ; Bidir ; (0) 0 ps ; -- ; -- ; (0) 0 ps ; -- ; -; VD[3] ; Bidir ; (0) 0 ps ; (2) 952 ps ; -- ; (0) 0 ps ; -- ; -; VD[2] ; Bidir ; (0) 0 ps ; (2) 952 ps ; -- ; (0) 0 ps ; -- ; -; VD[1] ; Bidir ; (2) 952 ps ; (0) 0 ps ; -- ; (0) 0 ps ; -- ; -; VD[0] ; Bidir ; (2) 952 ps ; (0) 0 ps ; -- ; (0) 0 ps ; -- ; -; VDQS[3] ; Bidir ; -- ; -- ; -- ; -- ; (0) 0 ps ; -; VDQS[2] ; Bidir ; -- ; -- ; -- ; -- ; (0) 0 ps ; -; VDQS[1] ; Bidir ; -- ; -- ; -- ; -- ; (0) 0 ps ; -; VDQS[0] ; Bidir ; -- ; -- ; -- ; -- ; (0) 0 ps ; -; IO[17] ; Bidir ; -- ; -- ; -- ; -- ; -- ; -; IO[16] ; Bidir ; -- ; -- ; -- ; -- ; -- ; -; IO[15] ; Bidir ; -- ; -- ; -- ; -- ; -- ; -; IO[14] ; Bidir ; -- ; -- ; -- ; -- ; -- ; -; IO[13] ; Bidir ; -- ; -- ; -- ; -- ; -- ; -; IO[12] ; Bidir ; -- ; -- ; -- ; -- ; -- ; -; IO[11] ; Bidir ; -- ; -- ; -- ; -- ; -- ; -; IO[10] ; Bidir ; -- ; -- ; -- ; -- ; -- ; -; IO[9] ; Bidir ; -- ; -- ; -- ; -- ; -- ; -; IO[8] ; Bidir ; -- ; -- ; -- ; -- ; -- ; -; IO[7] ; Bidir ; -- ; -- ; -- ; -- ; -- ; -; IO[6] ; Bidir ; -- ; -- ; -- ; -- ; -- ; -; IO[5] ; Bidir ; -- ; -- ; -- ; -- ; -- ; -; IO[4] ; Bidir ; -- ; -- ; -- ; -- ; -- ; -; IO[3] ; Bidir ; -- ; -- ; -- ; -- ; -- ; -; IO[2] ; Bidir ; -- ; -- ; -- ; -- ; -- ; -; IO[1] ; Bidir ; -- ; -- ; -- ; -- ; -- ; -; IO[0] ; Bidir ; -- ; -- ; -- ; -- ; -- ; -; SRD[15] ; Bidir ; -- ; (0) 0 ps ; -- ; -- ; -- ; -; SRD[14] ; Bidir ; -- ; (0) 0 ps ; -- ; -- ; -- ; -; SRD[13] ; Bidir ; (0) 0 ps ; -- ; -- ; -- ; -- ; -; SRD[12] ; Bidir ; (0) 0 ps ; -- ; -- ; -- ; -- ; -; SRD[11] ; Bidir ; (0) 0 ps ; -- ; -- ; -- ; -- ; -; SRD[10] ; Bidir ; -- ; (0) 0 ps ; -- ; -- ; -- ; -; SRD[9] ; Bidir ; -- ; (0) 0 ps ; -- ; -- ; -- ; -; SRD[8] ; Bidir ; -- ; (0) 0 ps ; -- ; -- ; -- ; -; SRD[7] ; Bidir ; (0) 0 ps ; -- ; -- ; -- ; -- ; -; SRD[6] ; Bidir ; -- ; (0) 0 ps ; -- ; -- ; -- ; -; SRD[5] ; Bidir ; (0) 0 ps ; -- ; -- ; -- ; -- ; -; SRD[4] ; Bidir ; -- ; (0) 0 ps ; -- ; -- ; -- ; -; SRD[3] ; Bidir ; -- ; (0) 0 ps ; -- ; -- ; -- ; -; SRD[2] ; Bidir ; (0) 0 ps ; -- ; -- ; -- ; -- ; -; SRD[1] ; Bidir ; -- ; (0) 0 ps ; -- ; -- ; -- ; -; SRD[0] ; Bidir ; (0) 0 ps ; -- ; -- ; -- ; -- ; -; SCSI_PAR ; Bidir ; -- ; -- ; -- ; -- ; -- ; -; nSCSI_SEL ; Bidir ; -- ; -- ; -- ; -- ; -- ; -; nSCSI_BUSY ; Bidir ; -- ; -- ; -- ; (0) 0 ps ; -- ; -; nSCSI_RST ; Bidir ; -- ; -- ; -- ; -- ; -- ; -; SD_CD_DATA3 ; Bidir ; -- ; -- ; -- ; -- ; -- ; -; SD_CMD_D1 ; Bidir ; -- ; -- ; -- ; -- ; -- ; -; ACSI_D[7] ; Bidir ; -- ; -- ; -- ; -- ; -- ; -; ACSI_D[6] ; Bidir ; -- ; -- ; -- ; -- ; -- ; -; ACSI_D[5] ; Bidir ; -- ; -- ; -- ; -- ; -- ; -; ACSI_D[4] ; Bidir ; -- ; -- ; -- ; -- ; -- ; -; ACSI_D[3] ; Bidir ; -- ; -- ; -- ; -- ; -- ; -; ACSI_D[2] ; Bidir ; -- ; -- ; -- ; -- ; -- ; -; ACSI_D[1] ; Bidir ; -- ; -- ; -- ; -- ; -- ; -; ACSI_D[0] ; Bidir ; -- ; -- ; -- ; -- ; -- ; -; LP_D[7] ; Bidir ; -- ; (0) 0 ps ; -- ; (0) 0 ps ; -- ; -; LP_D[6] ; Bidir ; (0) 0 ps ; -- ; -- ; (0) 0 ps ; -- ; -; LP_D[5] ; Bidir ; (0) 0 ps ; -- ; -- ; (0) 0 ps ; -- ; -; LP_D[4] ; Bidir ; (0) 0 ps ; -- ; -- ; (0) 0 ps ; -- ; -; LP_D[3] ; Bidir ; -- ; (0) 0 ps ; -- ; (0) 0 ps ; -- ; -; LP_D[2] ; Bidir ; -- ; (0) 0 ps ; -- ; (0) 0 ps ; -- ; -; LP_D[1] ; Bidir ; (0) 0 ps ; -- ; -- ; (0) 0 ps ; -- ; -; LP_D[0] ; Bidir ; (0) 0 ps ; -- ; -- ; (0) 0 ps ; -- ; -; SCSI_D[7] ; Bidir ; -- ; -- ; -- ; -- ; -- ; -; SCSI_D[6] ; Bidir ; -- ; -- ; -- ; -- ; -- ; -; SCSI_D[5] ; Bidir ; -- ; -- ; -- ; -- ; -- ; -; SCSI_D[4] ; Bidir ; -- ; -- ; -- ; -- ; -- ; -; SCSI_D[3] ; Bidir ; -- ; -- ; -- ; -- ; -- ; -; SCSI_D[2] ; Bidir ; -- ; -- ; -- ; -- ; -- ; -; SCSI_D[1] ; Bidir ; -- ; -- ; -- ; -- ; -- ; -; SCSI_D[0] ; Bidir ; -- ; -- ; -- ; -- ; -- ; -; nRSTO_MCF ; Input ; (0) 0 ps ; -- ; -- ; -- ; -- ; -; nFB_WR ; Input ; (0) 0 ps ; (0) 0 ps ; -- ; -- ; -- ; -; nFB_CS1 ; Input ; (0) 0 ps ; (0) 0 ps ; -- ; -- ; -- ; -; FB_SIZE1 ; Input ; (0) 0 ps ; (0) 0 ps ; -- ; -- ; -- ; -; FB_SIZE0 ; Input ; (0) 0 ps ; (0) 0 ps ; -- ; -- ; -- ; -; FB_ALE ; Input ; (0) 0 ps ; (0) 0 ps ; -- ; -- ; -- ; -; nFB_CS2 ; Input ; (0) 0 ps ; -- ; -- ; -- ; -- ; -; MAIN_CLK ; Input ; (0) 0 ps ; -- ; -- ; -- ; -- ; -; nDACK1 ; Input ; (0) 0 ps ; -- ; -- ; -- ; -- ; -; nFB_OE ; Input ; (0) 0 ps ; (0) 0 ps ; -- ; -- ; -- ; -; IDE_RDY ; Input ; -- ; (0) 0 ps ; -- ; -- ; -- ; -; CLK33M ; Input ; (0) 0 ps ; -- ; -- ; -- ; -- ; -; HD_DD ; Input ; (0) 0 ps ; (0) 0 ps ; -- ; -- ; -- ; -; nINDEX ; Input ; (0) 0 ps ; -- ; -- ; -- ; -- ; -; RxD ; Input ; (0) 0 ps ; -- ; -- ; -- ; -- ; -; nWP ; Input ; -- ; (0) 0 ps ; -- ; -- ; -- ; -; LP_BUSY ; Input ; (0) 0 ps ; -- ; -- ; -- ; -- ; -; DCD ; Input ; (0) 0 ps ; -- ; -- ; -- ; -- ; -; CTS ; Input ; -- ; (0) 0 ps ; -- ; -- ; -- ; -; TRACK00 ; Input ; (0) 0 ps ; -- ; -- ; -- ; -- ; -; IDE_INT ; Input ; (0) 0 ps ; -- ; -- ; -- ; -- ; -; RI ; Input ; -- ; (0) 0 ps ; -- ; -- ; -- ; -; nPCI_INTD ; Input ; (6) 2223 ps ; (0) 0 ps ; -- ; -- ; -- ; -; nPCI_INTC ; Input ; (0) 0 ps ; (6) 2223 ps ; -- ; -- ; -- ; -; nPCI_INTB ; Input ; (6) 2223 ps ; (0) 0 ps ; -- ; -- ; -- ; -; nPCI_INTA ; Input ; (0) 0 ps ; (6) 2223 ps ; -- ; -- ; -- ; -; DVI_INT ; Input ; (0) 0 ps ; -- ; -- ; -- ; -- ; -; E0_INT ; Input ; (0) 0 ps ; -- ; -- ; -- ; -- ; -; PIC_INT ; Input ; (0) 0 ps ; (6) 2223 ps ; -- ; -- ; -- ; -; PIC_AMKB_RX ; Input ; (1) 663 ps ; -- ; -- ; -- ; -- ; -; MIDI_IN ; Input ; -- ; (1) 634 ps ; -- ; -- ; -- ; -; nRD_DATA ; Input ; -- ; -- ; (0) 0 ps ; -- ; -- ; -; AMKB_RX ; Input ; (0) 0 ps ; (0) 0 ps ; -- ; -- ; -- ; -+----------------+----------+---------------+---------------+-----------------------+----------+----------+ - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Pad To Core Delay Chain Fanout ; -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+---------+ -; Source Pin / Fanout ; Pad To Core Index ; Setting ; -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+---------+ -; nFB_BURST ; ; ; -; nACSI_DRQ ; ; ; -; nACSI_INT ; ; ; -; nSCSI_DRQ ; ; ; -; nSCSI_MSG ; ; ; -; nDCHG ; ; ; -; SD_DATA0 ; ; ; -; SD_DATA1 ; ; ; -; SD_DATA2 ; ; ; -; SD_CARD_DEDECT ; ; ; -; SD_WP ; ; ; -; nDACK0 ; ; ; -; WP_CF_CARD ; ; ; -; nSCSI_C_D ; ; ; -; nSCSI_I_O ; ; ; -; nFB_CS3 ; ; ; -; TOUT0 ; ; ; -; nMASTER ; ; ; -; FB_AD[31] ; ; ; -; - SRD[15]~output ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_A[7] ; 1 ; 0 ; -; - interrupt_handler:nobody|ACP_CONF[31] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|DDR_SEL ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~5 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_A[7] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_B[7] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|ADDRESSLATCH~0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[31]~32 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_FREQ[15] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|CTRL_REG[7] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[31] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[31] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[15] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[31] ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_CTR[31] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_TOP[7] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[15] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_B[7] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG~3 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG~3 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[31] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG~1 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~2_RESYN20 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA_S[10]~5_RESYN28 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_FREQ[7]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[31]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[15]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_ENA[31]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_C[7]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[31]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[31]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[31]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_RED|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ram_block1a0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 1 ; 0 ; -; FB_AD[30] ; ; ; -; - SRD[14]~output ; 1 ; 0 ; -; - interrupt_handler:nobody|ACP_CONF[30] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|DDR_SEL ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~5 ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_ENA[30] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_A[6] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_B[6] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|ADDRESSLATCH~0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[30]~0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_FREQ[14] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|CTRL_REG[6] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_TOP[6] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[14] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[30] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[30] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[14] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[30] ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_CTR[30] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_B[6] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG~7 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG~6 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG~5 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[30] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[30] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_A[6] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~2_RESYN22 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA_S[10]~5_RESYN28 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[30]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[30]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_A[6]~_Duplicate_1feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[14]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[30]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_C[6]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_FREQ[6]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_RED|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ram_block1a0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 1 ; 0 ; -; FB_AD[29] ; ; ; -; - SRD[13]~output ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_A[5] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|CTRL_REG[5] ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_ENA[29] ; 1 ; 0 ; -; - interrupt_handler:nobody|ACP_CONF[29] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_A[5] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_B[5] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|ADDRESSLATCH~0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[29]~2 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_FREQ[13] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_TOP[5] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[13] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[29] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[29] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[13] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[29] ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_CTR[29] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_B[5] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG~6 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG~9 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG~8 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[29] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~2_RESYN20 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_C[5]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[29]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[13]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[29]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_FREQ[5]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[29]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[29]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_RED|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ram_block1a0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 1 ; 0 ; -; FB_AD[28] ; ; ; -; - SRD[12]~output ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_A[4] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LEVEL_A[4] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|CTRL_REG[4] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LEVEL_B[4] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LEVEL_C[4] ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_ENA[28] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|NOISE_FREQ[4] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_B[4] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_C[4] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|ADDRESSLATCH~1 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[28]~3 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG~4 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_FREQ[12] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_TOP[4] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[12] ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_CTR[28] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[28] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[28] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[28] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[12] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_B[4] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG~5 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG~6 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[28] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[28] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~2_RESYN20 ; 1 ; 0 ; -; - interrupt_handler:nobody|ACP_CONF[28]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_A[4]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_FREQ[4]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[12]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[28]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[28]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[28]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_RED|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ram_block1a0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 1 ; 0 ; -; FB_AD[27] ; ; ; -; - SRD[11]~output ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_A[3] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|CTRL_REG[3] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LEVEL_A[3] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LEVEL_B[3] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LEVEL_C[3] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|ADR_I[3] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_A[11] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|NOISE_FREQ[3] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_SHAPE[3] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_B[11] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_C[3] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[27]~4 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG~5 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_FREQ[3] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_TOP[3] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[11] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[27] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[27] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[27] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDB[11] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBB[11] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDE[11] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HSS[11] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HHT[11] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[11] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[27] ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_CTR[27] ; 1 ; 0 ; -; - interrupt_handler:nobody|ACP_CONF[27] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_B[3] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG~8 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG~9 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[27] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[27] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[27] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~2_RESYN20 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[11]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_A[3]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_B[3]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBE[11]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_ENA[27]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_C[11]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_FREQ[11]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[27]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[27]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_RED|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ram_block1a0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 1 ; 0 ; -; FB_AD[26] ; ; ; -; - Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_RED|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ram_block1a0 ; 1 ; 0 ; -; - SRD[10]~output ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LEVEL_A[2] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LEVEL_B[2] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|CTRL_REG[2] ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_ENA[26] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA[12]~53 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|ADR_I[2] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_A[2] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|NOISE_FREQ[2] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_SHAPE[2] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_B[10] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_B[2] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_C[10] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_C[2] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[26]~5 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG~3 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_FREQ[2] ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_CTR[26] ; 1 ; 0 ; -; - interrupt_handler:nobody|ACP_CONF[26] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_TOP[2] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[10] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[26] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[26] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDB[10] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBB[10] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDE[10] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HSS[10] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDB[10] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBE[10] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBB[10] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDE[10] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[10] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VSS[10] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VFT[10] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_B[2] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG~7 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG~7 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~3 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[26] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[26] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[26] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_X_D[2]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HHT[10]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[26]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[26]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[26]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_FREQ[10]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[10]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_A[10]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LEVEL_C[2]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE[10]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBE[10]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[26]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|altdpram0:ST_CLUT_RED|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated|ram_block1a0 ; 1 ; 0 ; -; FB_AD[25] ; ; ; -; - SRD[9]~output ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LEVEL_A[1] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|CTRL_REG[1] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LEVEL_B[1] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LEVEL_C[1] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_A[1] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA[11]~55 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|ADR_I[1] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_A[1] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|NOISE_FREQ[1] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_SHAPE[1] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_B[9] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_B[1] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_C[9] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_C[1] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[25]~6 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG~2 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_FREQ[1] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WDC_BSL[1] ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_ENA[25] ; 1 ; 0 ; -; - interrupt_handler:nobody|ACP_CONF[25] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_TOP[1] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[9] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[25] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[25] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBE[9] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBB[9] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HSS[9] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HHT[9] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDB[9] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBE[9] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDE[9] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE[9] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VSS[9] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VFT[9] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[9] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ST_SHIFT_MODE[1] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_B[1] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG~2 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG~2 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~3 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[25] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[25] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[25]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[25]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDE[9]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBB[9]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_X_D[1]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_A[9]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_CTR[25]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_FREQ[9]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[25]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[9]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[25]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDB[9]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[25]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|altdpram0:ST_CLUT_RED|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated|ram_block1a0 ; 1 ; 0 ; -; FB_AD[24] ; ; ; -; - Video:Fredi_Aschwanden|altdpram0:ST_CLUT_RED|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated|ram_block1a0 ; 1 ; 0 ; -; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[7]~22 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 1 ; 0 ; -; - SRD[8]~output ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_A[0] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|CTRL_REG[0] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LEVEL_A[0] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LEVEL_B[0] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LEVEL_C[0] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA[10]~58 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|ADR_I[0] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[8] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_A[0] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|NOISE_FREQ[0] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_SHAPE[0] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_B[0] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_C[8] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_C[0] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[24]~7 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG~0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG~0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG~0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_FREQ[0] ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_ENA[24] ; 1 ; 0 ; -; - interrupt_handler:nobody|ACP_CONF[24] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_TOP[0] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[24] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDB[8] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBE[8] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDE[8] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HSS[8] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HHT[8] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDB[8] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDE[8] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[8] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[8] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VSS[8] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE[8] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VFT[8] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VCT[8] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ST_SHIFT_MODE[0] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_B[0] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~3 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[24] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[24] ; 1 ; 0 ; -; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[9]~29 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_A[8]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_B[8]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_FREQ[8]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[24]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[24]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_CTR[24]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_X_D[0]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WDC_BSL[0]~feeder ; 1 ; 0 ; -; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[16]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[24]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBB[8]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBB[8]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBE[8]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[24]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[24]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[24]~feeder ; 1 ; 0 ; -; FB_AD[23] ; ; ; -; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[6]~20 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_D[7] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_C[7] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|TRACK_REG[7]~0 ; 1 ; 0 ; -; - SRD[7]~output ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRB[7] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRA[7] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA[9]~60 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|SCR[7] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|VR[7] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERB[7] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRB~4 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERA[7] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRA~10 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[23]~8 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[16]~15 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UCR[7] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_L_D[7] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|ISRA~1 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|ISRB~1 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|AER[7] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDE[7] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[23] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[23] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VCT[7] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE[7] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[7] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VSS[7] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VFT[7] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[23] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[23] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDB[7] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBE[7] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBB[7] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDE[7] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HSS[7] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HHT[7] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDB[7] ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_CTR[23] ; 1 ; 0 ; -; - interrupt_handler:nobody|ACP_CONF[23] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][1] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][3] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][5] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][6] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][9] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][10] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][14] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][16] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][18] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][20] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][21] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][23] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][26] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][28] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][29] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][30] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][32] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][31] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][34] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][36] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][35] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][37] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][39] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][42] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][44] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][46] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][45] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][48] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][50] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][52] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][54] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][56] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][58] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][57] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][59] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][61] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][63] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_HIGH[7] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|GPDR[7] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|DDR[7] ; 1 ; 0 ; -; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_compare:cmpr7|cmpr_tnd:auto_generated|aneb_result_wire[0]~0 ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][0]~73 ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][2]~74 ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][4]~75 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MID[7]~6 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW[7]~4 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UDR[7]~10 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[23] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[23] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TDDR[7] ; 1 ; 0 ; -; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[10]~5 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDR[7] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TBDR[7] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_B~24 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_A~24 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_H_D[7]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[23]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_M_D[7]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[7]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBE[7]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBB[7]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[23]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[7]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[23]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VR_FRQ[7]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][60]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][43]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][53]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][62]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][38]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][25]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][11]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][22]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][41]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][27]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][33]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][40]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][24]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][17]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][7]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][55]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][51]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][19]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][12]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][47]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][15]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_ENA[23]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][49]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][8]~feeder ; 1 ; 0 ; -; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[15]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TADR[7]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[23]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ram_block1a0 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_GREEN|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ram_block1a0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 1 ; 0 ; -; FB_AD[22] ; ; ; -; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[5]~18 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_D[6] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_C[6] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|DATA_REG[6]~0 ; 1 ; 0 ; -; - SRD[6]~output ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRB[6] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRA[6] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA[8]~62 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[6] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|SCR[6] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|VR[6] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERB[6] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRB~2 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERA[6] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRA~8 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[22]~9 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[15]~16 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_L_D[6] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|ISRA~2 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|ISRB~2 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_H_D[6] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBB[6] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[22] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VCT[6] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|SYS_CTR[6] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[6] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VFT[6] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VSS[6] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[22] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[22] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[22] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDB[6] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBE[6] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBB[6] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDE[6] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HSS[6] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HHT[6] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBE[6] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_M_D[6] ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_CTR[22] ; 1 ; 0 ; -; - interrupt_handler:nobody|ACP_CONF[22] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[6][1] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[6][3] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[6][5] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[6][7] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[6][6] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[6][9] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[6][11] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[6][13] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[6][16] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[6][17] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[6][19] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[6][21] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[6][23] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[6][25] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[6][28] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[6][29] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[6][31] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[6][33] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[6][36] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[6][38] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[6][39] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[6][41] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[6][44] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[6][46] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[6][48] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[6][47] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[6][50] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[6][52] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[6][53] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[6][55] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[6][58] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[6][57] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[6][59] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[6][61] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[6][62] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_HIGH[6] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|GPDR[6] ; 1 ; 0 ; -; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_compare:cmpr7|cmpr_tnd:auto_generated|aneb_result_wire[0]~0 ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[6][0]~78 ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[6][2]~79 ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[6][4]~80 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MID[6]~7 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW[6]~5 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UDR[6]~12 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[22] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[22] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TDDR[6] ; 1 ; 0 ; -; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[11]~9 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDR[6] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_B~30 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_A~30 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[6]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[6][51]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[6][14]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[6][43]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[6][60]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[6][63]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[6][42]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[6][40]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[6][8]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[6][24]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[6][32]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[6][35]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[6][30]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[6][15]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[6][27]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[6][26]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[6][37]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[6][34]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[6][49]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[6][45]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[6][20]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[6][54]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[6][56]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[6][12]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[6][22]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[6][18]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[22]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDE[6]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE[6]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|DDR[6]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|AER[6]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TADR[6]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_ENA[22]~feeder ; 1 ; 0 ; -; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[14]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TBDR[6]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDCR[5]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UCR[6]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[22]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDB[6]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VR_FRQ[6]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[22]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[22]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|altdpram0:ST_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated|ram_block1a0 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ram_block1a0 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_GREEN|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ram_block1a0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 1 ; 0 ; -; FB_AD[21] ; ; ; -; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[4]~16 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_D[5] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_C[5] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|DATA_REG[5]~1 ; 1 ; 0 ; -; - SRD[5]~output ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRB[5] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRA[5] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA[7]~64 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UCR[5] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|SCR[5] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_M_D[5] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|VR[5] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERB[5] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRB~6 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRA~14 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[21]~10 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[14]~17 ; 1 ; 0 ; -; - interrupt_handler:nobody|RTC_ADR[5] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_L_D[5] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|ISRA~3 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|ISRB~3 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|AER[5] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDE[5] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBB[5] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[21] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[21] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VCT[5] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE[5] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|SYS_CTR[5] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[5] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VFT[5] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VSS[5] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[21] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[21] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDB[5] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBE[5] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBB[5] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDE[5] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HHT[5] ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_CTR[21] ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_ENA[21] ; 1 ; 0 ; -; - interrupt_handler:nobody|ACP_CONF[21] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][18] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][30] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][17] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][29] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][16] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][28] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][19] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][31] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][36] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][39] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][40] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][43] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][32] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][35] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][44] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][47] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][1] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][13] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][6] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][14] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][12] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][7] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][3] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][15] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][58] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][56] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][59] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][52] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][55] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][48] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][51] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][60] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][63] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_HIGH[5] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[5] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|GPDR[5] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|DDR[5] ; 1 ; 0 ; -; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_compare:cmpr7|cmpr_tnd:auto_generated|aneb_result_wire[0]~0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MID[5]~3 ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][2]~82 ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][4]~83 ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][0]~85 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW[5]~6 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UDR[5]~15 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[21] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[21] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TDDR[5] ; 1 ; 0 ; -; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[12]~12 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDR[5] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_B~36 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_A~36 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[5]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[21]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_H_D[5]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HSS[5]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDB[5]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBE[5]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][41]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][8]~feeder ; 1 ; 0 ; -; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[13]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][9]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][20]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TBDR[5]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][42]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][45]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERA[5]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|TSR[5]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][57]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][62]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][37]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][46]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][53]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][38]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][5]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VR_FRQ[5]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][33]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][34]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][49]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][10]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][50]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][27]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][54]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][24]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][11]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][26]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][25]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][21]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][22]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][23]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[21]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDCR[4]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TADR[5]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[5][61]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[21]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[21]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|altdpram0:ST_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated|ram_block1a0 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ram_block1a0 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_GREEN|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ram_block1a0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 1 ; 0 ; -; FB_AD[20] ; ; ; -; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[3]~14 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_D[4] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_C[4] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|DATA_REG[4]~2 ; 1 ; 0 ; -; - SRD[4]~output ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRB[4] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRA[4] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA[6]~66 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UCR[4] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_M_D[4] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[4] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|VR[4] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERB[4] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRB~8 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRA~12 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[20]~11 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[13]~18 ; 1 ; 0 ; -; - interrupt_handler:nobody|RTC_ADR[4] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_L_D[4] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|ISRA~4 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|ISRB~4 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|AER[4] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDE[4] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[20] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VCT[4] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE[4] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|SYS_CTR[4] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[4] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VFT[4] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VSS[4] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[20] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDB[4] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBE[4] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBB[4] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDE[4] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HSS[4] ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_CTR[20] ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_ENA[20] ; 1 ; 0 ; -; - interrupt_handler:nobody|ACP_CONF[20] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][36] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][39] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][40] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][43] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][32] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][35] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][44] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][47] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][18] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][30] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][17] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][29] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][16] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][28] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][19] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][31] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][9] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][1] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][13] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][6] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][14] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][12] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][7] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][3] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][15] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][56] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][59] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][52] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][55] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][48] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][51] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][60] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][63] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_HIGH[4] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TACR[4] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TBCR[4] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|GPDR[4] ; 1 ; 0 ; -; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_compare:cmpr7|cmpr_tnd:auto_generated|aneb_result_wire[0]~0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MID[4]~4 ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][2]~86 ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][4]~87 ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][0]~89 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW[4]~7 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UDR[4]~18 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[20] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[20] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TDDR[4] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDR[4] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_B~42 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TADR[4] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_A~42 ; 1 ; 0 ; -; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[12] ; 1 ; 0 ; -; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[13]~27 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VR_FRQ[4] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][62]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][58]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|DDR[4]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[4]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|SCR[4]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HHT[4]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][11]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][5]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][20]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][41]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][22]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TBDR[4]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][50]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDCR[3]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[20]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDB[4]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[20]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBB[4]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[20]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_H_D[4]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[20]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][27]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][61]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][38]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][42]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][54]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][57]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][34]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][49]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][53]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][45]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][46]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][10]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][23]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][24]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][37]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][33]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][26]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERA[4]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][8]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][25]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[4][21]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[20]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBE[4]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[20]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|altdpram0:ST_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated|ram_block1a0 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ram_block1a0 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_GREEN|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ram_block1a0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 1 ; 0 ; -; FB_AD[19] ; ; ; -; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[2]~12 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_D[3] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_C[3] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|DATA_REG[3]~3 ; 1 ; 0 ; -; - SRD[3]~output ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRB[3] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA[5]~68 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UCR[3] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|TSR[3] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|SCR[3] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[19] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[3] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|VR[3] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRB~10 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERA[3] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRA~16 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[19]~12 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[12]~19 ; 1 ; 0 ; -; - interrupt_handler:nobody|RTC_ADR[3] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_M_D[3] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|ISRA~7 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|ISRB~5 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TACR[3] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|AER[3] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_H_D[3] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDE[3] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBB[3] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[19] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VFT[3] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VCT[3] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[3] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[3] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VSS[3] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE[3] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[19] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDB[3] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBE[3] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBB[3] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDE[3] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HSS[3] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HHT[3] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|GPDR[3] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_L_D[3] ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_CTR[19] ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_ENA[19] ; 1 ; 0 ; -; - interrupt_handler:nobody|ACP_CONF[19] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][18] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][30] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][17] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][29] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][16] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][28] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][19] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][31] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][36] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][39] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][40] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][43] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][32] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][35] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][44] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][47] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][1] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][13] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][10] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][6] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][14] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][8] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][12] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][7] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][3] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][15] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][56] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][59] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][52] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][55] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][48] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][51] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][60] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][63] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_HIGH[3] ; 1 ; 0 ; -; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_compare:cmpr7|cmpr_tnd:auto_generated|aneb_result_wire[0]~1 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UDR[3]~21 ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][2]~90 ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][4]~91 ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][0]~93 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MID[3]~8 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW[3]~8 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[19] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[19] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TDDR[3] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDR[3] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_B~47 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TADR[3] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_A~47 ; 1 ; 0 ; -; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[14]~24 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VR_FRQ[3] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[19]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[19]~feeder ; 1 ; 0 ; -; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[11]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VMD[3]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBE[3]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[19]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[19]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|DDR[3]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[19]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDB[3]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][38]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][45]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][61]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][53]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][62]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][58]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][27]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][54]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][42]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][57]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][34]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][49]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][26]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][25]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][21]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][37]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][33]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][46]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][23]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][24]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][11]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][22]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][41]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][20]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][5]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][9]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[3][50]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TBCR[3]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TBDR[3]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERB[3]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRA[3]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ram_block1a0 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_GREEN|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ram_block1a0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 1 ; 0 ; -; FB_AD[18] ; ; ; -; - Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_GREEN|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ram_block1a0 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ram_block1a0 ; 1 ; 0 ; -; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[1]~10 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_D[2] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_C[2] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|DATA_REG[2]~4 ; 1 ; 0 ; -; - SRD[2]~output ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VRAS~4 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRA[2] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRB[2] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA[4]~70 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UCR[2] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|TSR[2] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|SCR[2] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[18] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRA~4 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERB[2] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRB~12 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[18]~13 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[11]~20 ; 1 ; 0 ; -; - interrupt_handler:nobody|RTC_ADR[2] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_M_D[2] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_H_D[2] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_L_D[2] ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_CTR[18] ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_ENA[18] ; 1 ; 0 ; -; - interrupt_handler:nobody|ACP_CONF[18] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[2][1] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[2][3] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[2][5] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[2][9] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[2][12] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[2][13] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[2][16] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[2][18] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[2][19] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[2][21] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[2][24] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[2][26] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[2][27] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[2][28] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[2][29] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[2][32] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[2][31] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[2][33] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[2][35] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[2][37] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[2][39] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[2][41] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[2][44] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[2][46] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[2][48] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[2][50] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[2][49] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[2][51] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[2][54] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[2][56] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[2][57] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[2][59] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[2][61] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[2][63] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_HIGH[2] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TACR[2] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TBCR[2] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|GPDR[2] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|AER[2] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[18] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[18] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[18] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDB[2] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBE[2] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDE[2] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HSS[2] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HHT[2] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBE[2] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDE[2] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[18] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VFT[2] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VCT[2] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[2] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE[2] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VSS[2] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|SYS_CTR[2] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|ISRA~8 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|ISRB~6 ; 1 ; 0 ; -; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_compare:cmpr7|cmpr_tnd:auto_generated|aneb_result_wire[0]~1 ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[2][0]~69 ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[2][2]~70 ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[2][4]~71 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MID[2]~5 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW[2]~3 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UDR[2]~9 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[18] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[18] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TDDR[2] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TBDR[2] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_B~18 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDR[2] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_A~18 ; 1 ; 0 ; -; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[15]~21 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[18]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[2]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[2][60]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[2][45]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[2][47]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[2][17]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[2][30]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[2][62]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[2][15]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[2][40]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[2][43]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|DDR[2]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[2][53]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[2][55]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[2][58]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[2][38]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[2][10]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[2][14]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[2][34]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[2][25]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[2][6]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[2][7]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[2][23]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[2][8]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[2][36]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[2][42]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[2][52]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[2][20]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[2][22]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[2]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBB[2]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VMD[2]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBB[2]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDB[2]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[18]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERA[2]~feeder ; 1 ; 0 ; -; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[10]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VR_FRQ[2]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDCR[2]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TADR[2]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[18]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|altdpram0:ST_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated|ram_block1a0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 1 ; 0 ; -; FB_AD[17] ; ; ; -; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[0]~8 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|DATA_REG[1]~5 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_D[1] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_C[1] ; 1 ; 0 ; -; - SRD[1]~output ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VCAS~0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRA[1] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRB[1] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA[3]~72 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UCR[1] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|SCR[1] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|RSR[1] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERA[1] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRA~2 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERB[1] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRB~14 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[17]~14 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[10]~21 ; 1 ; 0 ; -; - interrupt_handler:nobody|RTC_ADR[1] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_M_D[1] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDE[1] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBB[1] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[17] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VFT[1] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VMD[1] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VCT[1] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[1] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[1] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|SYS_CTR[1] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VSS[1] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[17] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[17] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDB[1] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBE[1] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBB[1] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDE[1] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HSS[1] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HHT[1] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDB[1] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_H_D[1] ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_CTR[17] ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_ENA[17] ; 1 ; 0 ; -; - interrupt_handler:nobody|ACP_CONF[17] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[1][1] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[1][3] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[1][5] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[1][6] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[1][9] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[1][12] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[1][13] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[1][16] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[1][15] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[1][18] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[1][19] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[1][21] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[1][23] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[1][26] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[1][27] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[1][28] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[1][29] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[1][31] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[1][34] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[1][36] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[1][37] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[1][40] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[1][42] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[1][43] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[1][46] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[1][47] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[1][49] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[1][51] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[1][53] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[1][55] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[1][58] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[1][60] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[1][61] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[1][62] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_HIGH[1] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TACR[1] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|GPDR[1] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|ISRA~6 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|ISRB~7 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~3 ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[1][0]~65 ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[1][2]~66 ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[1][4]~67 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MID[1]~2 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW[1]~2 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UDR[1]~6 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[17] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[17] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TDDR[1] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_B~12 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDR[1] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_A~12 ; 1 ; 0 ; -; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[16]~18 ; 1 ; 0 ; -; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_compare:cmpr7|cmpr_tnd:auto_generated|aneb_result_wire[0] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[17]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TADR[1]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|TSR[1]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[1][59]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[1][50]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[1][48]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[1][25]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[1][8]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[1][30]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|DDR[1]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[1][20]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[1][22]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|AER[1]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TBCR[1]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDCR[1]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TBDR[1]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[1][56]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[1][45]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[1][63]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[1][44]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[1][54]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[1][7]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[1][17]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[1][52]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[1][38]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[1][10]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[1][14]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[1][41]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[1][57]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[1][32]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[1][35]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[1][24]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[1][39]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[1][33]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[1]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[17]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[17]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBE[1]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[17]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE[1]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VR_FRQ[1]~feeder ; 1 ; 0 ; -; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[9]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[17]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_L_D[1]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|altdpram0:ST_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated|ram_block1a0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 1 ; 0 ; -; FB_AD[16] ; ; ; -; - Video:Fredi_Aschwanden|altdpram0:ST_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated|ram_block1a0 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 1 ; 0 ; -; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[0]~8 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_D[0] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_C[0] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|DATA_REG[0]~6 ; 1 ; 0 ; -; - SRD[0]~output ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|TSR[0] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VWE ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[16] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRA[0] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRB[0] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA[2]~74 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|SCR[0] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERA[0] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRA~6 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERB[0] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRB~16 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[16]~15 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[9]~22 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|RSR[0] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_H_D[0] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_M_D[0] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_L_D[0] ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_ENA[16] ; 1 ; 0 ; -; - interrupt_handler:nobody|RTC_ADR[0] ; 1 ; 0 ; -; - interrupt_handler:nobody|ACP_CONF[16] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][1] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][3] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][5] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][6] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][9] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][10] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][14] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][16] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][18] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][20] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][22] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][24] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][26] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][27] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][28] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][30] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][32] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][34] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][36] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][38] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][40] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][42] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][44] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][46] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][48] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][50] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][52] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][54] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][56] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][58] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][60] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][61] ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][63] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_HIGH[0] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[0] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|GPDR[0] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[16] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDB[0] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBE[0] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBB[0] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDE[0] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HHT[0] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDB[0] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDE[0] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[16] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VFT[0] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VCT[0] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[0] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[0] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VSS[0] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|SYS_CTR[0] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|ISRA~5 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|ISRB~8 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UDR[0]~2 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~4 ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][0]~0 ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][2]~3 ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][4]~6 ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][13]~12 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MID[0]~0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW[0]~0 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[16] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[16] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_B~6 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_A~6 ; 1 ; 0 ; -; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[17]~15 ; 1 ; 0 ; -; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[8] ; 1 ; 0 ; -; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_compare:cmpr7|cmpr_tnd:auto_generated|aneb_result_wire[0] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[16]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[16]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBE[0]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][59]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][12]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][51]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][17]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][7]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][37]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][25]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][29]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][41]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][35]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][15]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_CTR[16]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][31]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][57]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][43]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][47]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][23]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][39]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][49]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][33]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][45]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][62]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][53]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][55]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|AER[0]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HSS[0]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[16]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[16]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VMD[0]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE[0]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[16]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TBDR[0]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TDDR[0]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][21]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][8]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][19]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDR[0]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TACR[0]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDCR[0]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TBCR[0]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|DDR[0]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TADR[0]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBB[0]~feeder ; 1 ; 0 ; -; FB_AD[15] ; ; ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA[1]~76 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[15]~16 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[15] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[15] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[15] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[15] ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_CTR[15] ; 1 ; 0 ; -; - interrupt_handler:nobody|ACP_CONF[15] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~4 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MID[7]~6 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[15] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[15] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[15]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[15]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[15]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_ENA[15]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[15]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM54|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 1 ; 0 ; -; FB_AD[14] ; ; ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA[0]~78 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|BA_S[1]~0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[14]~17 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[14] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[14] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[14] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[14] ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_ENA[14] ; 1 ; 0 ; -; - interrupt_handler:nobody|ACP_CONF[14] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~4 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MID[6]~7 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[14] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[14] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[14]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_CTR[14]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[14]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[14]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[14]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM54|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 1 ; 0 ; -; FB_AD[13] ; ; ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~4 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|BA[1]~9 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|BA_S[0]~5 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[13]~18 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[13] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[13] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[13] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[13] ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_CTR[13] ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_ENA[13] ; 1 ; 0 ; -; - interrupt_handler:nobody|ACP_CONF[13] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~4 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MID[5]~3 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[13] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[13] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[13]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[13]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[13]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[13]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM54|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 1 ; 0 ; -; FB_AD[12] ; ; ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~4 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|BA[0]~11 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA_S[12]~1 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[12]~19 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[12] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[12] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[12] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[12] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[12] ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_ENA[12] ; 1 ; 0 ; -; - interrupt_handler:nobody|ACP_CONF[12] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~5 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MID[4]~4 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[12] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[12] ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_CTR[12]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[12]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[12]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[12]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM54|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 1 ; 0 ; -; FB_AD[11] ; ; ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA_S[11]~2 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[11]~20 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[11] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[11] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[11] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[11] ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_CTR[11] ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_ENA[11] ; 1 ; 0 ; -; - interrupt_handler:nobody|ACP_CONF[11] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~5 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MID[3]~8 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[11] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[11] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[11]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[11]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[11]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[11]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM54|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 1 ; 0 ; -; FB_AD[10] ; ; ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA_S[10]~4 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[10]~21 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[10] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[10] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[10] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[10] ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_ENA[10] ; 1 ; 0 ; -; - interrupt_handler:nobody|ACP_CONF[10] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~5 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MID[2]~5 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[10] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[10] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[10] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[10]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[10]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_CTR[10]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[10]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM54|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 1 ; 0 ; -; FB_AD[9] ; ; ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA_S[9]~8 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[9]~22 ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_CLEAR[9]~0 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[9] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[9] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[9] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[9] ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_CTR[9] ; 1 ; 0 ; -; - interrupt_handler:nobody|ACP_CONF[9] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~5 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MID[1]~2 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[9] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[9] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[9]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[9]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[9]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[9]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_ENA[9]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM54|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 1 ; 0 ; -; FB_AD[8] ; ; ; -; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM54|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA_S[8]~13 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[8]~23 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[8] ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_CLEAR[8]~1 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[8] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[8] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[8] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[8] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[8] ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_CTR[8] ; 1 ; 0 ; -; - interrupt_handler:nobody|ACP_CONF[8] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~6 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MID[0]~0 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[8] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[8] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[8]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[8]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_ENA[8]~feeder ; 1 ; 0 ; -; FB_AD[7] ; ; ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA_S[7]~16 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[7]~24 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[7] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[7] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[7] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[7] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[7] ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_ENA[7] ; 1 ; 0 ; -; - interrupt_handler:nobody|ACP_CONF[7] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~6 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW[7]~4 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[7] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[7] ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_CTR[7]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[7]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[7]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 1 ; 0 ; -; FB_AD[6] ; ; ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA_S[6]~23 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[6]~25 ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_CLEAR[6]~2 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[6] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[6] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[6] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[6] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[6] ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_CTR[6] ; 1 ; 0 ; -; - interrupt_handler:nobody|ACP_CONF[6] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~6 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW[6]~5 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[6] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[6]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[6]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[6]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_ENA[6]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 1 ; 0 ; -; FB_AD[5] ; ; ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA_S[5]~26 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[5]~26 ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_ENA[5] ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_CLEAR[5]~3 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[5] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[5] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[5] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[5] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[5] ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_CTR[5] ; 1 ; 0 ; -; - interrupt_handler:nobody|ACP_CONF[5] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~6 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW[5]~6 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[5] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[5]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[5]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[5]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[5]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 1 ; 0 ; -; FB_AD[4] ; ; ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA_S[4]~29 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[4]~27 ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_CLEAR[4]~4 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[4] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[4] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[4] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[4] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[4] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[4] ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_CTR[4] ; 1 ; 0 ; -; - interrupt_handler:nobody|ACP_CONF[4] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~8 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW[4]~7 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[4] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[4] ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_ENA[4]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[4]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[4]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 1 ; 0 ; -; FB_AD[3] ; ; ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA_S[3]~32 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[3]~28 ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_CLEAR[3]~5 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[3] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[3] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[3] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[3] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[3] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[3] ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_CTR[3] ; 1 ; 0 ; -; - interrupt_handler:nobody|ACP_CONF[3] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~8 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW[3]~8 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[3] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[3] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[3]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_ENA[3]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[3]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 1 ; 0 ; -; FB_AD[2] ; ; ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA_S[2]~35 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[2]~29 ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_ENA[2] ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_CLEAR[2]~6 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[2] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[2] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[2] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[2] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[2] ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_CTR[2] ; 1 ; 0 ; -; - interrupt_handler:nobody|ACP_CONF[2] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~9 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW[2]~3 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[2] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[2] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[2]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[2]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[2]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 1 ; 0 ; -; FB_AD[1] ; ; ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA_S[1]~41 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[1]~30 ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_ENA[1] ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_CLEAR[1]~7 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[1] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[1] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[1] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[1] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[1] ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_CTR[1] ; 1 ; 0 ; -; - interrupt_handler:nobody|ACP_CONF[1] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~9 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW[1]~2 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[1] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[1] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[1]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[1]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[1]~feeder ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 1 ; 0 ; -; FB_AD[0] ; ; ; -; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA_S[0]~43 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[0]~31 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[0] ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_CLEAR[0]~8 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[0] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[0] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[0] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[0] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[0] ; 1 ; 0 ; -; - interrupt_handler:nobody|ACP_CONF[0] ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~9 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW[0]~0 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[7]~5 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[0] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[0] ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_ENA[0]~feeder ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_CTR[0]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[0]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[0]~feeder ; 1 ; 0 ; -; VD[31] ; ; ; -; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[31] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[31]~feeder ; 0 ; 1 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[31]~feeder ; 0 ; 1 ; -; VD[30] ; ; ; -; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[30] ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[30]~feeder ; 1 ; 1 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[30]~feeder ; 1 ; 1 ; -; VD[29] ; ; ; -; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[29] ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[29]~feeder ; 1 ; 1 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[29]~feeder ; 1 ; 1 ; -; VD[28] ; ; ; -; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[28] ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[28]~feeder ; 1 ; 1 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[28]~feeder ; 1 ; 1 ; -; VD[27] ; ; ; -; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[27] ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[27]~feeder ; 1 ; 1 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[27]~feeder ; 1 ; 1 ; -; VD[26] ; ; ; -; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[26] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[26]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[26]~feeder ; 1 ; 0 ; -; VD[25] ; ; ; -; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[25] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[25]~feeder ; 0 ; 1 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[25]~feeder ; 0 ; 1 ; -; VD[24] ; ; ; -; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[24] ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[24]~feeder ; 1 ; 1 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[24]~feeder ; 1 ; 1 ; -; VD[23] ; ; ; -; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[23] ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[23]~feeder ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[23]~feeder ; 0 ; 0 ; -; VD[22] ; ; ; -; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[22] ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[22]~feeder ; 1 ; 1 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[22]~feeder ; 1 ; 1 ; -; VD[21] ; ; ; -; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[21] ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[21]~feeder ; 1 ; 1 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[21]~feeder ; 1 ; 1 ; -; VD[20] ; ; ; -; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[20] ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[20]~feeder ; 1 ; 1 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[20]~feeder ; 1 ; 1 ; -; VD[19] ; ; ; -; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[19] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[19]~feeder ; 0 ; 1 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[19]~feeder ; 0 ; 1 ; -; VD[18] ; ; ; -; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[18] ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[18]~feeder ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[18]~feeder ; 0 ; 0 ; -; VD[17] ; ; ; -; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[17] ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[17]~feeder ; 1 ; 1 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[17]~feeder ; 1 ; 1 ; -; VD[16] ; ; ; -; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[16] ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[16]~feeder ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[16]~feeder ; 0 ; 0 ; -; VD[15] ; ; ; -; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[15] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[15]~feeder ; 0 ; 2 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[15]~feeder ; 0 ; 2 ; -; VD[14] ; ; ; -; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[14] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[14]~feeder ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[14]~feeder ; 1 ; 0 ; -; VD[13] ; ; ; -; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[13] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[13]~feeder ; 0 ; 2 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[13]~feeder ; 0 ; 2 ; -; VD[12] ; ; ; -; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[12] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[12]~feeder ; 0 ; 2 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[12]~feeder ; 0 ; 2 ; -; VD[11] ; ; ; -; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[11] ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[11]~feeder ; 1 ; 2 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[11]~feeder ; 1 ; 2 ; -; VD[10] ; ; ; -; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[10] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[10]~feeder ; 0 ; 2 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[10]~feeder ; 0 ; 2 ; -; VD[9] ; ; ; -; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[9] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[9]~feeder ; 0 ; 2 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[9]~feeder ; 0 ; 2 ; -; VD[8] ; ; ; -; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[8] ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[8]~feeder ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[8]~feeder ; 0 ; 0 ; -; VD[7] ; ; ; -; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[7] ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[7]~feeder ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[7]~feeder ; 0 ; 0 ; -; VD[6] ; ; ; -; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[6] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[6]~feeder ; 0 ; 2 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[6]~feeder ; 0 ; 2 ; -; VD[5] ; ; ; -; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[5] ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[5]~feeder ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[5]~feeder ; 0 ; 0 ; -; VD[4] ; ; ; -; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[4] ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[4]~feeder ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[4]~feeder ; 0 ; 0 ; -; VD[3] ; ; ; -; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[3] ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[3]~feeder ; 1 ; 2 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[3]~feeder ; 1 ; 2 ; -; VD[2] ; ; ; -; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[2] ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[2]~feeder ; 1 ; 2 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[2]~feeder ; 1 ; 2 ; -; VD[1] ; ; ; -; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[1] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[1]~feeder ; 0 ; 2 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[1]~feeder ; 0 ; 2 ; -; VD[0] ; ; ; -; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[0] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[0]~feeder ; 0 ; 2 ; -; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[0]~feeder ; 0 ; 2 ; -; VDQS[3] ; ; ; -; VDQS[2] ; ; ; -; VDQS[1] ; ; ; -; VDQS[0] ; ; ; -; IO[17] ; ; ; -; IO[16] ; ; ; -; IO[15] ; ; ; -; IO[14] ; ; ; -; IO[13] ; ; ; -; IO[12] ; ; ; -; IO[11] ; ; ; -; IO[10] ; ; ; -; IO[9] ; ; ; -; IO[8] ; ; ; -; IO[7] ; ; ; -; IO[6] ; ; ; -; IO[5] ; ; ; -; IO[4] ; ; ; -; IO[3] ; ; ; -; IO[2] ; ; ; -; IO[1] ; ; ; -; IO[0] ; ; ; -; SRD[15] ; ; ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[31]~156 ; 1 ; 0 ; -; SRD[14] ; ; ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[30]~131 ; 1 ; 0 ; -; SRD[13] ; ; ; -; - DSP:Mathias_Alles|FB_AD[29]~3 ; 0 ; 0 ; -; SRD[12] ; ; ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[28]~369 ; 0 ; 0 ; -; SRD[11] ; ; ; -; - DSP:Mathias_Alles|FB_AD[27]~4 ; 0 ; 0 ; -; SRD[10] ; ; ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[26]~197 ; 1 ; 0 ; -; SRD[9] ; ; ; -; - DSP:Mathias_Alles|FB_AD[25]~0 ; 1 ; 0 ; -; SRD[8] ; ; ; -; - DSP:Mathias_Alles|FB_AD[24]~1 ; 1 ; 0 ; -; SRD[7] ; ; ; -; - DSP:Mathias_Alles|FB_AD[23]~2 ; 0 ; 0 ; -; SRD[6] ; ; ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[22]~269 ; 1 ; 0 ; -; SRD[5] ; ; ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[21]~285 ; 0 ; 0 ; -; SRD[4] ; ; ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[20]~301 ; 1 ; 0 ; -; SRD[3] ; ; ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[19]~319 ; 1 ; 0 ; -; SRD[2] ; ; ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[18]~172 ; 0 ; 0 ; -; SRD[1] ; ; ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[17]~86 ; 1 ; 0 ; -; SRD[0] ; ; ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[16]~54 ; 0 ; 0 ; -; SCSI_PAR ; ; ; -; nSCSI_SEL ; ; ; -; nSCSI_BUSY ; ; ; -; nSCSI_RST ; ; ; -; SD_CD_DATA3 ; ; ; -; SD_CMD_D1 ; ; ; -; ACSI_D[7] ; ; ; -; ACSI_D[6] ; ; ; -; ACSI_D[5] ; ; ; -; ACSI_D[4] ; ; ; -; ACSI_D[3] ; ; ; -; ACSI_D[2] ; ; ; -; ACSI_D[1] ; ; ; -; ACSI_D[0] ; ; ; -; LP_D[7] ; ; ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[31]~142 ; 1 ; 0 ; -; LP_D[6] ; ; ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[30]~112 ; 0 ; 0 ; -; LP_D[5] ; ; ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[29]~339 ; 0 ; 0 ; -; LP_D[4] ; ; ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[28]~378 ; 0 ; 0 ; -; LP_D[3] ; ; ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[27]~383 ; 1 ; 0 ; -; LP_D[2] ; ; ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[26]~186 ; 1 ; 0 ; -; LP_D[1] ; ; ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[25]~206 ; 0 ; 0 ; -; LP_D[0] ; ; ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[24]~227 ; 0 ; 0 ; -; SCSI_D[7] ; ; ; -; SCSI_D[6] ; ; ; -; SCSI_D[5] ; ; ; -; SCSI_D[4] ; ; ; -; SCSI_D[3] ; ; ; -; SCSI_D[2] ; ; ; -; SCSI_D[1] ; ; ; -; SCSI_D[0] ; ; ; -; nRSTO_MCF ; ; ; -; nFB_WR ; ; ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|ROM_CS ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|SUB_BUS~0 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VRAS~0 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~3 ; 1 ; 0 ; -; - interrupt_handler:nobody|TIN0~0 ; 1 ; 0 ; -; - DSP:Mathias_Alles|nSRWE~0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|DIG_PORTS~0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|process_8~0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|GPIO_REGISTERS~0 ; 1 ; 0 ; -; - interrupt_handler:nobody|ACP_CONF[31]~0 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|Selector1~1 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|Selector0~0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|P_CTRL_REG~0 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LEVEL_A[4]~0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LEVEL_B[4]~0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LEVEL_C[4]~0 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~11 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[7]~0 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE[7]~0 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FR_S2~0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|SECTORREG~0 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|BA_S[0]~1 ; 0 ; 0 ; -; - interrupt_handler:nobody|INT_ENA[31]~0 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|Selector1~4 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRA~1 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRA[0]~0 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRB~1 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRB[0]~0 ; 0 ; 0 ; -; - interrupt_handler:nobody|INT_CTR[7]~0 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA_S[7]~19 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|ADDRESSLATCH~0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|process_8~1 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[31]~1 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WDC_BSL[0]~0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATAREG~0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CONTROL~0 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT|TDRE~1 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATAREG~0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_A[11]~0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_A[7]~1 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|NOISE_FREQ[4]~0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_SHAPE[2]~0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_RESET~0 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_B[11]~0 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_B[7]~1 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_C[11]~0 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_C[7]~1 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|DATA_OUT~0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|TSR_READ~0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|DATA_OUT~1 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|DATA_OUT~5 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|DATA_OUT~11 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|DATA_OUT~15 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|DATA_OUT_EN~1 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|DATA_OUT~0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UDR_READ~0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|TSR_READ~1 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|RSR_READ~0 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|CPU_REQ~1 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_M_D[7]~0 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|DATA_OUT~0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|DATA_EN~1 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|Mux1~0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|DATA_OUT~2 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|DATA_OUT~3 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|DATA_EN~0 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|DATA_EN~0 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|DATA_EN~0 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[15]~1 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|TRACKREG~0 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|ISRA~0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|ISRB~0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERA[0]~0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERB[0]~0 ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_CLEAR[9]~0 ; 0 ; 0 ; -; - interrupt_handler:nobody|INT_CLEAR[8]~1 ; 0 ; 0 ; -; - interrupt_handler:nobody|INT_CLEAR[6]~2 ; 0 ; 0 ; -; - interrupt_handler:nobody|INT_CLEAR[5]~3 ; 0 ; 0 ; -; - interrupt_handler:nobody|INT_CLEAR[4]~4 ; 0 ; 0 ; -; - interrupt_handler:nobody|INT_CLEAR[3]~5 ; 0 ; 0 ; -; - interrupt_handler:nobody|INT_CLEAR[2]~6 ; 0 ; 0 ; -; - interrupt_handler:nobody|INT_CLEAR[1]~7 ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_CLEAR[0]~8 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|DATA_OUT~4 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|DATA_OUT~5 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|DATA_OUT~6 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|DA_OUT~5 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|DATA_OUT~9 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|DATA_OUT~10 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|DATA_OUT~14 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|DATA_OUT~15 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|DATA_OUT~17 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[25]~218 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~42 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[24]~238 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|DATA_OUT~1 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|DATA_OUT~35 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|DATA_OUT~37 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|DATA_OUT~40 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[29]~350 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|DATA_OUT[3]~1 ; 0 ; 0 ; -; - DSP:Mathias_Alles|nSRWE~1 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UCR[2]~1 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_FREQ[7]~0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|valid_rdreq~0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|nFDC_WR~0 ; 0 ; 0 ; -; - interrupt_handler:nobody|INT_CTR[23]~1 ; 1 ; 0 ; -; - interrupt_handler:nobody|INT_ENA[23]~1 ; 1 ; 0 ; -; - interrupt_handler:nobody|RTC_ADR[5]~0 ; 0 ; 0 ; -; - interrupt_handler:nobody|ACP_CONF[23]~1 ; 0 ; 0 ; -; - interrupt_handler:nobody|_~491 ; 0 ; 0 ; -; - interrupt_handler:nobody|WERTE[0][0]~1 ; 0 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][1]~2 ; 0 ; 0 ; -; - interrupt_handler:nobody|_~492 ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][3]~5 ; 0 ; 0 ; -; - interrupt_handler:nobody|_~496 ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][5]~9 ; 0 ; 0 ; -; - interrupt_handler:nobody|_~503 ; 0 ; 0 ; -; - interrupt_handler:nobody|_~504 ; 1 ; 0 ; -; - interrupt_handler:nobody|_~505 ; 1 ; 0 ; -; - interrupt_handler:nobody|_~506 ; 0 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][10]~10 ; 0 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][12]~11 ; 0 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][13]~13 ; 0 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][14]~15 ; 0 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][15]~16 ; 0 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][16]~17 ; 0 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][17]~18 ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][18]~19 ; 0 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][19]~20 ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][20]~21 ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][21]~22 ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][22]~23 ; 0 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][23]~24 ; 0 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][24]~25 ; 0 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][25]~26 ; 0 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][26]~27 ; 0 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][27]~28 ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][28]~29 ; 0 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][29]~30 ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][30]~31 ; 0 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][31]~32 ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][32]~33 ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][33]~34 ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][34]~35 ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][35]~36 ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][36]~37 ; 0 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][37]~38 ; 0 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][38]~39 ; 0 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][39]~40 ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][40]~41 ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][41]~42 ; 0 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][42]~43 ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][43]~44 ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][44]~45 ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][45]~46 ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][46]~47 ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][47]~48 ; 0 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][48]~49 ; 0 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][49]~50 ; 0 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][50]~51 ; 0 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][51]~52 ; 0 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][52]~53 ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][53]~54 ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][54]~55 ; 0 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][55]~56 ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][56]~57 ; 0 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][57]~58 ; 0 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][58]~59 ; 0 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][59]~60 ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][60]~61 ; 0 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][61]~62 ; 0 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][62]~63 ; 0 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][63]~64 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|process_11~0 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MID[0]~1 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW[0]~1 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TACR[0]~0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDCR[0]~0 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TBCR[0]~0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|DDR[0]~0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|GPDR[0]~0 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|AER[0]~0 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[23]~0 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[23]~0 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[23]~0 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[23]~0 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VMD[3]~0 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VCT[7]~0 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[7]~0 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[7]~2 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VSS[7]~0 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|SYS_CTR[6]~0 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[15]~1 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[15]~1 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[15]~1 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[15]~1 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE[10]~2 ; 0 ; 0 ; -; - interrupt_handler:nobody|ACP_CONF[15]~2 ; 1 ; 0 ; -; - interrupt_handler:nobody|ACP_CONF[15]~3 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|process_10~0 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_CLUT_WR[0] ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[31]~2 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[31]~2 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[31]~2 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[31]~2 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[15]~3 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[15]~1 ; 0 ; 0 ; -; - interrupt_handler:nobody|INT_CTR[31]~3 ; 0 ; 0 ; -; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|_~0 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[7]~4 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[7]~6 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[6]~7 ; 0 ; 0 ; -; - interrupt_handler:nobody|INT_ENA[7]~3 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_X_D[2]~0 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ST_CLUT_WR[0]~0 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VSS[10]~1 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VR_WR~0 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ST_SHIFT_MODE[1]~0 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VCT[8]~1 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VIDEO_RECONFIG~0 ; 1 ; 0 ; -; - interrupt_handler:nobody|WERTE[7][11]~77 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[7]~3 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[7]~3 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[7]~3 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[7]~3 ; 1 ; 0 ; -; - interrupt_handler:nobody|ACP_CONF[7]~4 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_B[7]~0 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|process_2~0 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FB_LE[3] ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FB_LE[1]~2 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FB_LE[2]~3 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FB_LE[0]~4 ; 0 ; 0 ; -; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|read_init_nominal_state~2 ; 1 ; 0 ; -; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|read_init_state~0 ; 1 ; 0 ; -; nFB_CS1 ; ; ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|ROM_CS ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|IDE_CF_CS ; 0 ; 0 ; -; - interrupt_handler:nobody|TIN0~0 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE_CS ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VIDEO_MOD_TA~2 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBE_CS~1 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VCT_CS~2 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF_CS ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW_CS~0 ; 0 ; 0 ; -; - interrupt_handler:nobody|UHR_DS~3 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|MFP_CS~1 ; 1 ; 0 ; -; - interrupt_handler:nobody|_~3 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|NEXT_CMD_STATE.T1~0 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|Selector2~0 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~28 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~31 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~32 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|lpm_bustri_BYT:$00004|lpm_bustri:lpm_bustri_component|dout[0]~7 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_CNT_M ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_CNT_H ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~6 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~8 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~36 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~37 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_M_D[7]~0 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~38 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~39 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~27 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~40 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~41 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_bustri_WORD:$00000|lpm_bustri:lpm_bustri_component|dout[9]~81 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~43 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~38 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~44 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~45 ; 1 ; 0 ; -; - interrupt_handler:nobody|TIN0~1 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_CNT_L ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~46 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~47 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~48 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~49 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~50 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~51 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~52 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~53 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~54 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~55 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_H_D[7]~0 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_L_D[7]~0 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE[7]~1 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[6]~7 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE[10]~3 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ST_SHIFT_MODE[1]~0 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|lpm_bustri_BYT:$00004|lpm_bustri:lpm_bustri_component|dout[0]~34 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|lpm_bustri_BYT:$00004|lpm_bustri:lpm_bustri_component|dout[1]~35 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~59 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|lpm_bustri_BYT:$00004|lpm_bustri:lpm_bustri_component|dout[2]~36 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_DATEN_CS~0 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|SNDCS ; 1 ; 0 ; -; FB_SIZE1 ; ; ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|nRP_UDS~0 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|nRP_LDS~0 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VRAS~0 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~3 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_B1 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_CS~0 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|process_8~0 ; 1 ; 0 ; -; - interrupt_handler:nobody|FB_B[0]~0 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FB_B[1]~0 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FB_B[3]~1 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FR_S2~0 ; 1 ; 0 ; -; - interrupt_handler:nobody|_~22 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~20 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WDC_BSL[0]~0 ; 1 ; 0 ; -; - interrupt_handler:nobody|UHR_AS~0 ; 0 ; 0 ; -; - interrupt_handler:nobody|UHR_DS~6 ; 0 ; 0 ; -; - interrupt_handler:nobody|_~194 ; 1 ; 0 ; -; - interrupt_handler:nobody|FB_B[2]~1 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FB_B[2] ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FB_B[0] ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|SR_VDMP[3]~0 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~491 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~2_RESYN22 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|CPU_REQ~0 ; 1 ; 0 ; -; FB_SIZE0 ; ; ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|nRP_UDS~0 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|nRP_LDS~0 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VRAS~0 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~3 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_B1 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_CS~0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|process_8~0 ; 1 ; 0 ; -; - interrupt_handler:nobody|FB_B[0]~0 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FB_B[1]~0 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FB_B[3]~1 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FR_S2~0 ; 0 ; 0 ; -; - interrupt_handler:nobody|_~22 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~20 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WDC_BSL[0]~0 ; 1 ; 0 ; -; - interrupt_handler:nobody|UHR_AS~0 ; 1 ; 0 ; -; - interrupt_handler:nobody|UHR_DS~6 ; 1 ; 0 ; -; - interrupt_handler:nobody|_~194 ; 1 ; 0 ; -; - interrupt_handler:nobody|FB_B[2]~1 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FB_B[2] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FB_B[0] ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|SR_VDMP[3]~0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~491 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~2_RESYN22 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|CPU_REQ~0 ; 0 ; 0 ; -; FB_ALE ; ; ; -; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[19] ; 0 ; 0 ; -; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[18] ; 0 ; 0 ; -; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[17] ; 0 ; 0 ; -; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[16] ; 0 ; 0 ; -; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[15] ; 0 ; 0 ; -; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[14] ; 0 ; 0 ; -; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[13] ; 0 ; 0 ; -; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[12] ; 0 ; 0 ; -; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[11] ; 0 ; 0 ; -; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[10] ; 0 ; 0 ; -; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[9] ; 1 ; 0 ; -; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[8] ; 1 ; 0 ; -; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[7] ; 1 ; 0 ; -; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[6] ; 1 ; 0 ; -; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[5] ; 1 ; 0 ; -; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[0] ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|DDR_SEL ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~5 ; 1 ; 0 ; -; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[3] ; 1 ; 0 ; -; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[2] ; 1 ; 0 ; -; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[4] ; 1 ; 0 ; -; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[1] ; 1 ; 0 ; -; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[26] ; 0 ; 0 ; -; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[25] ; 0 ; 0 ; -; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[24] ; 0 ; 0 ; -; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[27] ; 0 ; 0 ; -; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[23] ; 0 ; 0 ; -; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[22] ; 0 ; 0 ; -; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[21] ; 0 ; 0 ; -; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[20] ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|DDR_CS ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~2 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA_S[10]~5 ; 1 ; 0 ; -; nFB_CS2 ; ; ; -; - DSP:Mathias_Alles|nSRCS~0 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VIDEO_MOD_TA~4 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VIDEO_PLL_RECONFIG_CS~0 ; 0 ; 0 ; -; - inst2~3 ; 0 ; 0 ; -; - interrupt_handler:nobody|ACP_CONF[31]~0 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[23]~0 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[5]~1 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VIDEO_PLL_CONFIG_CS~0 ; 0 ; 0 ; -; - interrupt_handler:nobody|INT_ENA_CS ; 0 ; 0 ; -; - interrupt_handler:nobody|INT_CTR_CS ; 0 ; 0 ; -; - interrupt_handler:nobody|_~23 ; 0 ; 0 ; -; - interrupt_handler:nobody|ACP_CONF_CS ; 0 ; 0 ; -; - interrupt_handler:nobody|_~25 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH_CS ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH_CS ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL_CS ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL_CS ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~2 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~3 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR_CS ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~13 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~14 ; 0 ; 0 ; -; - interrupt_handler:nobody|_~147 ; 0 ; 0 ; -; - interrupt_handler:nobody|_~148 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR_CS ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~19 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~20 ; 0 ; 0 ; -; - interrupt_handler:nobody|INT_CLEAR_CS ; 0 ; 0 ; -; - interrupt_handler:nobody|_~195 ; 0 ; 0 ; -; - interrupt_handler:nobody|_~196 ; 0 ; 0 ; -; - interrupt_handler:nobody|_~198 ; 0 ; 0 ; -; - interrupt_handler:nobody|_~199 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[31]~2 ; 0 ; 0 ; -; - interrupt_handler:nobody|_~200 ; 0 ; 0 ; -; - interrupt_handler:nobody|_~201 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~24 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~25 ; 0 ; 0 ; -; - interrupt_handler:nobody|_~246 ; 0 ; 0 ; -; - interrupt_handler:nobody|_~247 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~35 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~41 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~42 ; 0 ; 0 ; -; - interrupt_handler:nobody|_~248 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~46 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~47 ; 0 ; 0 ; -; - interrupt_handler:nobody|_~295 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~53 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~54 ; 0 ; 0 ; -; - interrupt_handler:nobody|_~338 ; 0 ; 0 ; -; - interrupt_handler:nobody|_~339 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~60 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~61 ; 0 ; 0 ; -; - interrupt_handler:nobody|_~382 ; 0 ; 0 ; -; - interrupt_handler:nobody|_~383 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~67 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~68 ; 0 ; 0 ; -; - interrupt_handler:nobody|_~426 ; 0 ; 0 ; -; - interrupt_handler:nobody|_~427 ; 0 ; 0 ; -; - interrupt_handler:nobody|_~470 ; 0 ; 0 ; -; - interrupt_handler:nobody|_~471 ; 0 ; 0 ; -; - interrupt_handler:nobody|_~473 ; 0 ; 0 ; -; - interrupt_handler:nobody|_~474 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~71 ; 0 ; 0 ; -; - interrupt_handler:nobody|_~475 ; 0 ; 0 ; -; - interrupt_handler:nobody|_~476 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~73 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~74 ; 0 ; 0 ; -; - interrupt_handler:nobody|_~477 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~75 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~76 ; 0 ; 0 ; -; - interrupt_handler:nobody|_~480 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~77 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~78 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~79 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~80 ; 0 ; 0 ; -; - interrupt_handler:nobody|_~483 ; 0 ; 0 ; -; - interrupt_handler:nobody|_~484 ; 0 ; 0 ; -; - interrupt_handler:nobody|_~485 ; 0 ; 0 ; -; - interrupt_handler:nobody|_~486 ; 0 ; 0 ; -; - interrupt_handler:nobody|_~487 ; 0 ; 0 ; -; - interrupt_handler:nobody|_~488 ; 0 ; 0 ; -; - interrupt_handler:nobody|_~489 ; 0 ; 0 ; -; - interrupt_handler:nobody|_~490 ; 0 ; 0 ; -; - interrupt_handler:nobody|ACP_CONF[23]~1 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[23]~0 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[15]~1 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[15]~3 ; 0 ; 0 ; -; - interrupt_handler:nobody|INT_CTR[15]~2 ; 0 ; 0 ; -; - interrupt_handler:nobody|INT_ENA[15]~2 ; 0 ; 0 ; -; - interrupt_handler:nobody|ACP_CONF[15]~3 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[7]~2 ; 0 ; 0 ; -; - interrupt_handler:nobody|ACP_CONF[7]~4 ; 0 ; 0 ; -; - interrupt_handler:nobody|_~508 ; 0 ; 0 ; -; - interrupt_handler:nobody|lpm_bustri_BYT:$00004|lpm_bustri:lpm_bustri_component|dout[1]~13_RESYN34 ; 0 ; 0 ; -; - interrupt_handler:nobody|lpm_bustri_BYT:$00004|lpm_bustri:lpm_bustri_component|dout[0]~15_RESYN42 ; 0 ; 0 ; -; MAIN_CLK ; ; ; -; nDACK1 ; ; ; -; nFB_OE ; ; ; -; - DSP:Mathias_Alles|nSROE~0 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~31 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~39 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~40 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~43 ; 0 ; 0 ; -; - interrupt_handler:nobody|lpm_bustri_BYT:$00002|lpm_bustri:lpm_bustri_component|dout[0]~0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[16]~45 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~47 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~48 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ST_CLUT_RD ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_CLUT_RD ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~51 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FB_VDOE[3]~2 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FB_VDOE[0]~3 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~55 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~56 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FB_VDOE[1]~4 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FB_VDOE[2]~5 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[16]~59 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~60 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~61 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[16]~65 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~10 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[16]~67 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~70 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~72 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[16]~77 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_bustri_WORD:$00000|lpm_bustri:lpm_bustri_component|dout[3]~28 ; 1 ; 0 ; -; - interrupt_handler:nobody|lpm_bustri_BYT:$00002|lpm_bustri:lpm_bustri_component|dout[1]~3 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[17]~85 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[17]~89 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~94 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~19 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~20 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~111 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~124 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~127 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[30]~129 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_CLUT_RDH ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_bustri_WORD:$00000|lpm_bustri:lpm_bustri_component|dout[14]~34 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_bustri_WORD:$00000|lpm_bustri:lpm_bustri_component|dout[15]~40 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[31]~154 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[31]~160 ; 1 ; 0 ; -; - interrupt_handler:nobody|lpm_bustri_BYT:$00002|lpm_bustri:lpm_bustri_component|dout[2]~6 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_CLUT_RDL~0 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[18]~170 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[18]~175 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[18]~176 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[18]~179 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[16]~181 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[18]~182 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[26]~193 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[26]~195 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[31]~211 ; 0 ; 0 ; -; - DSP:Mathias_Alles|FB_AD[25]~0 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[25]~215 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[25]~220 ; 0 ; 0 ; -; - DSP:Mathias_Alles|FB_AD[24]~1 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[24]~235 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[24]~240 ; 0 ; 0 ; -; - interrupt_handler:nobody|lpm_bustri_BYT:$00002|lpm_bustri:lpm_bustri_component|dout[7]~9 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[23]~250 ; 0 ; 0 ; -; - DSP:Mathias_Alles|FB_AD[23]~2 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[23]~255 ; 0 ; 0 ; -; - interrupt_handler:nobody|lpm_bustri_BYT:$00002|lpm_bustri:lpm_bustri_component|dout[6]~12 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[22]~267 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[22]~272 ; 0 ; 0 ; -; - interrupt_handler:nobody|lpm_bustri_BYT:$00002|lpm_bustri:lpm_bustri_component|dout[5]~15 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[21]~283 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[21]~288 ; 0 ; 0 ; -; - interrupt_handler:nobody|lpm_bustri_BYT:$00002|lpm_bustri:lpm_bustri_component|dout[4]~18 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[20]~299 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[20]~304 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[19]~308 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[19]~312 ; 0 ; 0 ; -; - interrupt_handler:nobody|lpm_bustri_BYT:$00002|lpm_bustri:lpm_bustri_component|dout[3]~21 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[19]~317 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[15]~327 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[29]~352 ; 0 ; 0 ; -; - DSP:Mathias_Alles|FB_AD[29]~3 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[29]~356 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_bustri_WORD:$00000|lpm_bustri:lpm_bustri_component|dout[13]~173 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[28]~366 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[28]~375 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[27]~388 ; 1 ; 0 ; -; - DSP:Mathias_Alles|FB_AD[27]~4 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[27]~392 ; 1 ; 0 ; -; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_bustri_WORD:$00000|lpm_bustri:lpm_bustri_component|dout[11]~186 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[9]~411 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[9]~415 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[8]~420 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[8]~424 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[7]~432 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[6]~437 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[5]~445 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[4]~453 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[3]~461 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[2]~469 ; 0 ; 0 ; -; - interrupt_handler:nobody|_~508 ; 0 ; 0 ; -; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~59 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[31]~490 ; 1 ; 0 ; -; IDE_RDY ; ; ; -; - inst2~1 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|Selector1~0 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|IDE_CF_TA~0 ; 1 ; 0 ; -; CLK33M ; ; ; -; HD_DD ; ; ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|HD_DD_OUT~0 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[16]~62 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL|PHASE_DECODER~0 ; 1 ; 0 ; -; nINDEX ; ; ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|MOTORSWITCH~1 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|MOTORSWITCH~2 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE~78 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|LOCK~0 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|INDEX_MARK~1 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE~113 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE~173 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|INDEX_COUNTER~2 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|INTRQ~4 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE~205 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|\INDEX_COUNTER:LOCK~0 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|DRQ_IPn~0 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|\P_INDEX_MARK:LOCK~0 ; 0 ; 0 ; -; - nINDEX~_wirecell ; 0 ; 0 ; -; RxD ; ; ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|SDATA_IN_I~1 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|SDATA_IN_I~2 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|P_SAMPLE~6 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|P_START_BIT~0 ; 0 ; 0 ; -; nWP ; ; ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE~85 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE~168 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE~176 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|WR_PR~0 ; 1 ; 0 ; -; LP_BUSY ; ; ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|DATA_OUT[0]~20 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|EDGE_ENA~15 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|LOCK~15 ; 0 ; 0 ; -; DCD ; ; ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|DATA_OUT[1]~43 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|EDGE_ENA~10 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|LOCK~10 ; 0 ; 0 ; -; CTS ; ; ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|DATA_OUT[2]~63 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|EDGE_ENA~9 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|LOCK~9 ; 1 ; 0 ; -; TRACK00 ; ; ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|TR_CLR ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|Add1~18 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|Add1~20 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|Add1~22 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|Add1~24 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|Add1~26 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|Add1~28 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE~103 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|LOST_DATA_TR00~2 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|LOST_DATA_TR00~3 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|Add1~30 ; 0 ; 0 ; -; IDE_INT ; ; ; -; RI ; ; ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|EDGE_ENA~11 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|DATA_OUT~104 ; 1 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|LOCK~11 ; 1 ; 0 ; -; nPCI_INTD ; ; ; -; - interrupt_handler:nobody|INT_LATCH[6]~11 ; 0 ; 6 ; -; - interrupt_handler:nobody|_~484 ; 1 ; 0 ; -; nPCI_INTC ; ; ; -; - interrupt_handler:nobody|INT_LATCH[5]~12 ; 1 ; 6 ; -; - interrupt_handler:nobody|lpm_bustri_BYT:$00006|lpm_bustri:lpm_bustri_component|dout[5]~5 ; 0 ; 0 ; -; nPCI_INTB ; ; ; -; - interrupt_handler:nobody|INT_LATCH[4]~13 ; 0 ; 6 ; -; - interrupt_handler:nobody|lpm_bustri_BYT:$00006|lpm_bustri:lpm_bustri_component|dout[4]~8 ; 1 ; 0 ; -; nPCI_INTA ; ; ; -; - interrupt_handler:nobody|INT_LATCH[3]~14 ; 1 ; 6 ; -; - interrupt_handler:nobody|lpm_bustri_BYT:$00006|lpm_bustri:lpm_bustri_component|dout[3]~11 ; 0 ; 0 ; -; DVI_INT ; ; ; -; E0_INT ; ; ; -; PIC_INT ; ; ; -; - interrupt_handler:nobody|INT_LATCH[0]~17 ; 1 ; 6 ; -; - interrupt_handler:nobody|lpm_bustri_BYT:$00006|lpm_bustri:lpm_bustri_component|dout[0]~20 ; 0 ; 0 ; -; - interrupt_handler:nobody|PIC_INT_SYNC[0] ; 0 ; 0 ; -; PIC_AMKB_RX ; ; ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|KEYB_RxD ; 0 ; 1 ; -; MIDI_IN ; ; ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|RXDATA_I~feeder ; 1 ; 1 ; -; nRD_DATA ; ; ; -; AMKB_RX ; ; ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|AMKB_REG[3] ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|AMKB_REG[3]~11 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|AMKB_REG[4] ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|AMKB_REG[4]~14 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|AMKB_REG[2] ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|AMKB_REG[2]~9 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|AMKB_REG[1] ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|AMKB_REG[1]~7 ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|AMKB_REG[0] ; 0 ; 0 ; -; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|AMKB_REG[3]~13 ; 1 ; 0 ; -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+---------+ - - -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Control Signals ; -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------------------------+---------+---------------------------------------+--------+----------------------+------------------+---------------------------+ -; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ; -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------------------------+---------+---------------------------------------+--------+----------------------+------------------+---------------------------+ -; CLK33M ; PIN_AB12 ; 12 ; Clock ; yes ; Global Clock ; GCLK15 ; -- ; -; CLK33M ; PIN_AB12 ; 5 ; Clock ; no ; -- ; -- ; -- ; -; DSP:Mathias_Alles|nSRWE~1 ; LCCOMB_X23_Y8_N20 ; 16 ; Output enable ; no ; -- ; -- ; -- ; -; FB_ALE ; PIN_R7 ; 33 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|AMKB_REG[3]~13 ; LCCOMB_X1_Y10_N14 ; 5 ; Sync. load ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|CLR_FIFO ; LCCOMB_X26_Y22_N16 ; 250 ; Async. clear ; yes ; Global Clock ; GCLK7 ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[31]~1 ; LCCOMB_X18_Y17_N18 ; 32 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW[0]~1 ; LCCOMB_X22_Y14_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MID[0]~1 ; LCCOMB_X22_Y14_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[1]~0 ; LCCOMB_X16_Y14_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[8]~1 ; LCCOMB_X16_Y14_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[13]~104 ; LCCOMB_X21_Y12_N8 ; 16 ; Output enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[16]~78 ; LCCOMB_X22_Y13_N12 ; 2 ; Output enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[18]~183 ; LCCOMB_X22_Y13_N30 ; 4 ; Output enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[18]~259 ; LCCOMB_X22_Y13_N4 ; 2 ; Output enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[26]~203 ; LCCOMB_X22_Y13_N16 ; 1 ; Output enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[26]~224 ; LCCOMB_X22_Y13_N10 ; 2 ; Output enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[31]~141 ; LCCOMB_X33_Y1_N4 ; 5 ; Output enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|Selector4~1 ; LCCOMB_X23_Y18_N0 ; 20 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WDC_BSL[0]~1 ; LCCOMB_X22_Y13_N2 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_AM_DETECTOR:I_AM_DETECTOR|Equal0~4 ; LCCOMB_X22_Y28_N30 ; 7 ; Sync. load ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_AM_DETECTOR:I_AM_DETECTOR|SHIFT[4]~1 ; LCCOMB_X21_Y28_N6 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_AM_DETECTOR:I_AM_DETECTOR|\MFM_SYNCLOCK:TMP[4]~3 ; LCCOMB_X21_Y28_N12 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE.T3_LOAD_SHFT ; FF_X34_Y29_N7 ; 26 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|SHFT_LOAD_ND~0 ; LCCOMB_X28_Y27_N8 ; 4 ; Sync. load ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|Selector68~47 ; LCCOMB_X35_Y25_N2 ; 88 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|Selector78~0 ; LCCOMB_X32_Y25_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|WideNor2~5 ; LCCOMB_X36_Y28_N0 ; 33 ; Sync. clear ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|WideNor8 ; LCCOMB_X28_Y27_N6 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|\RESTORE_TRAP:STEP_CNT[2]~1 ; LCCOMB_X32_Y27_N4 ; 8 ; Sync. clear ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CRC_LOGIC:I_CRC_LOGIC|CRC_SHIFT[5]~37 ; LCCOMB_X27_Y26_N22 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL|PER_CNT~27 ; LCCOMB_X30_Y30_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL|RD_PULSE ; FF_X30_Y32_N13 ; 18 ; Clock enable, Sync. load ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL|\PHASE_DECODER:PHASE_AMOUNT[1]~1 ; LCCOMB_X27_Y32_N24 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|COMMAND_REG[7] ; FF_X32_Y25_N31 ; 20 ; Sync. load ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|COMMAND_REG[7]~1 ; LCCOMB_X32_Y25_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|Equal3~2 ; LCCOMB_X27_Y25_N14 ; 7 ; Sync. load ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|SECTORREG~1 ; LCCOMB_X29_Y25_N2 ; 8 ; Sync. load ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|SHIFT_REG[6]~9 ; LCCOMB_X28_Y27_N26 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|SHIFT_REG~8 ; LCCOMB_X30_Y28_N22 ; 4 ; Sync. load ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|TRACKREG~1 ; LCCOMB_X30_Y26_N20 ; 9 ; Sync. load ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|TRACK_REG[6]~3 ; LCCOMB_X30_Y26_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|AM_SHFT~1 ; LCCOMB_X28_Y30_N28 ; 31 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|WR_CNT~12 ; LCCOMB_X36_Y29_N10 ; 4 ; Sync. load ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|\CLK_MASK:LOCK~0 ; LCCOMB_X25_Y29_N26 ; 1 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|\CLK_MASK:MASK_SHFT[0]~0 ; LCCOMB_X25_Y27_N6 ; 23 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|ADDRESSLATCH~1 ; LCCOMB_X18_Y19_N22 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|DIG_PORTS~0 ; LCCOMB_X15_Y14_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_A[6]~_Duplicate_1 ; FF_X4_Y41_N5 ; 8 ; Output enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_B[7]~0 ; LCCOMB_X7_Y39_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|P_CTRL_REG~0 ; LCCOMB_X19_Y23_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WAV_STRB ; FF_X9_Y21_N23 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_FREQ[7]~0 ; LCCOMB_X17_Y22_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_RESET ; FF_X18_Y22_N21 ; 8 ; Sync. load ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_RESET~0 ; LCCOMB_X18_Y22_N20 ; 9 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_SHAPE[2]~0 ; LCCOMB_X18_Y24_N0 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_STRB~1 ; LCCOMB_X18_Y23_N8 ; 19 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|Equal14~3 ; LCCOMB_X20_Y21_N28 ; 13 ; Sync. clear ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|Equal16~3 ; LCCOMB_X19_Y24_N20 ; 13 ; Sync. clear ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|Equal18~3 ; LCCOMB_X18_Y20_N28 ; 13 ; Sync. clear ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_A[11]~0 ; LCCOMB_X15_Y14_N28 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_A[7]~1 ; LCCOMB_X20_Y23_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_B[11]~0 ; LCCOMB_X19_Y24_N30 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_B[7]~1 ; LCCOMB_X20_Y20_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_C[11]~0 ; LCCOMB_X18_Y20_N2 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_C[7]~1 ; LCCOMB_X17_Y18_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LEVEL_A[4]~0 ; LCCOMB_X17_Y25_N18 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LEVEL_B[4]~0 ; LCCOMB_X20_Y22_N6 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LEVEL_C[4]~0 ; LCCOMB_X21_Y27_N0 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|NOISE_FREQ[4]~0 ; LCCOMB_X17_Y19_N26 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|OSC_A_OUT~1 ; LCCOMB_X17_Y25_N24 ; 39 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|VOL_ENV[3]~12 ; LCCOMB_X18_Y25_N10 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|\NOISEGENERATOR:CLK_DIV[0]~0 ; LCCOMB_X16_Y24_N28 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|\NOISEGENERATOR:CNT_NOISE[0]~0 ; LCCOMB_X16_Y24_N6 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|\NOISEGENERATOR:N_SHFT[16]~2 ; LCCOMB_X16_Y24_N24 ; 17 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG[7]~0 ; LCCOMB_X6_Y18_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|BITCNT~1 ; LCCOMB_X4_Y19_N12 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|DATA_REG[0]~1 ; LCCOMB_X5_Y18_N16 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|RCV_NEXT_STATE~0 ; LCCOMB_X2_Y21_N28 ; 7 ; Sync. load ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|SHIFT_REG[4]~1 ; LCCOMB_X5_Y17_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[5]~1 ; LCCOMB_X1_Y18_N16 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|BITCNT~1 ; LCCOMB_X1_Y19_N30 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG[2]~1 ; LCCOMB_X3_Y19_N4 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|SHIFT_REG[6]~1 ; LCCOMB_X2_Y19_N2 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|TR_STATE.IDLE ; FF_X1_Y20_N15 ; 13 ; Sync. clear ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|\CLKDIV:CLK_DIVCNT[4]~3 ; LCCOMB_X1_Y20_N26 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG[2]~1 ; LCCOMB_X7_Y18_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|BITCNT~1 ; LCCOMB_X4_Y19_N18 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|DATA_REG[2]~1 ; LCCOMB_X5_Y16_N14 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|RCV_NEXT_STATE~0 ; LCCOMB_X3_Y17_N26 ; 6 ; Sync. load ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|SHIFT_REG[0]~1 ; LCCOMB_X4_Y17_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[4]~1 ; LCCOMB_X3_Y17_N22 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|BITCNT~1 ; LCCOMB_X5_Y20_N0 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG[0]~1 ; LCCOMB_X4_Y21_N6 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|SHIFT_REG[4]~1 ; LCCOMB_X5_Y21_N16 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|TR_STATE.IDLE ; FF_X6_Y19_N27 ; 12 ; Sync. clear ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|\CLKDIV:CLK_DIVCNT[2]~1 ; LCCOMB_X6_Y19_N28 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|AER[0]~0 ; LCCOMB_X14_Y18_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|DDR[0]~0 ; LCCOMB_X14_Y14_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|GPDR[0]~0 ; LCCOMB_X14_Y15_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERA[0]~0 ; LCCOMB_X14_Y16_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERB[0]~0 ; LCCOMB_X14_Y16_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRA[0]~0 ; LCCOMB_X16_Y19_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRB[0]~0 ; LCCOMB_X16_Y19_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|INT_PASS[9]~5 ; LCCOMB_X17_Y21_N4 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|INT_STATE.REQUEST ; FF_X16_Y17_N3 ; 23 ; Sync. clear ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|VECT_NUMBER[0]~7 ; LCCOMB_X17_Y17_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|VR[7]~0 ; LCCOMB_X16_Y16_N4 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|PRESCALE_A~0 ; LCCOMB_X6_Y20_N18 ; 8 ; Sync. load ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|PRESCALE_B~0 ; LCCOMB_X6_Y20_N8 ; 8 ; Sync. load ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|PRESCALE_C~0 ; LCCOMB_X3_Y20_N0 ; 8 ; Sync. load ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|PRESCALE_D~0 ; LCCOMB_X9_Y17_N6 ; 8 ; Sync. load ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TACR[0]~0 ; LCCOMB_X12_Y16_N22 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TADR[0]~0 ; LCCOMB_X8_Y20_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TBCR[0]~0 ; LCCOMB_X10_Y18_N30 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TBDR[0]~0 ; LCCOMB_X7_Y17_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDCR[0]~0 ; LCCOMB_X12_Y18_N10 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDR[0]~0 ; LCCOMB_X10_Y15_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TDDR[3]~0 ; LCCOMB_X4_Y15_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMERC~1 ; LCCOMB_X10_Y15_N2 ; 8 ; Sync. load ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMERD~1 ; LCCOMB_X3_Y15_N4 ; 9 ; Sync. load ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_R_A[0]~0 ; LCCOMB_X10_Y18_N16 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_R_B[0]~3 ; LCCOMB_X12_Y17_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_R_C[0]~1 ; LCCOMB_X11_Y18_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_R_D[0]~1 ; LCCOMB_X11_Y18_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|XTAL_STRB ; FF_X3_Y20_N7 ; 44 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|RSR[1]~0 ; LCCOMB_X14_Y19_N26 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|SCR[0]~0 ; LCCOMB_X14_Y22_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|TSR[0]~1 ; LCCOMB_X14_Y19_N24 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UCR[3]~0 ; LCCOMB_X12_Y16_N8 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UCR[7] ; FF_X14_Y20_N1 ; 19 ; Sync. clear, Sync. load ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UDR[7]~3 ; LCCOMB_X11_Y19_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|BITCNT[0]~2 ; LCCOMB_X10_Y24_N14 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|SHIFT_REG[6]~1 ; LCCOMB_X10_Y22_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|\CLKDIV:CLK_DIVCNT[0]~0 ; LCCOMB_X3_Y27_N20 ; 5 ; Sync. load ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT|BITCNT~1 ; LCCOMB_X14_Y23_N6 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT|CLK_STRB ; FF_X2_Y27_N7 ; 15 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT|SHIFTREG~0 ; LCCOMB_X12_Y21_N12 ; 7 ; Sync. load ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT|SHIFT_REG[1]~8 ; LCCOMB_X12_Y23_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT|TX_END ; FF_X12_Y23_N17 ; 17 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|_~0 ; LCCOMB_X21_Y9_N28 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|valid_rdreq~1 ; LCCOMB_X23_Y7_N18 ; 20 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|valid_wrreq~1 ; LCCOMB_X18_Y18_N20 ; 18 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|_~0 ; LCCOMB_X22_Y22_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|valid_rdreq~1 ; LCCOMB_X22_Y22_N4 ; 15 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|valid_wrreq~0 ; LCCOMB_X26_Y24_N4 ; 22 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|process_10~0 ; LCCOMB_X20_Y16_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|process_11~0 ; LCCOMB_X20_Y16_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|process_8~2 ; LCCOMB_X26_Y22_N14 ; 32 ; Async. clear ; yes ; Global Clock ; GCLK5 ; -- ; -; MAIN_CLK ; PIN_G2 ; 2272 ; Clock ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|CLEAR_FIFO_CNT ; FF_X23_Y12_N17 ; 26 ; Sync. load ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|DDR_REFRESH_SIG[3]~1 ; LCCOMB_X27_Y6_N0 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FB_LE[0]~4 ; LCCOMB_X22_Y2_N22 ; 32 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FB_LE[1]~2 ; LCCOMB_X34_Y2_N8 ; 32 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FB_LE[2]~3 ; LCCOMB_X21_Y4_N10 ; 32 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FB_LE[3] ; LCCOMB_X34_Y2_N24 ; 32 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_ADR_CNT[22]~40 ; LCCOMB_X26_Y8_N24 ; 23 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_H_D[7]~0 ; LCCOMB_X26_Y11_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_L_D[7]~0 ; LCCOMB_X26_Y11_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_M_D[7]~0 ; LCCOMB_X25_Y11_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_X_D[2]~0 ; LCCOMB_X23_Y11_N24 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_CLUT_WR[1] ; LCCOMB_X25_Y16_N22 ; 1 ; Write enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_CLUT_WR[2] ; LCCOMB_X25_Y14_N26 ; 1 ; Write enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_CLUT_WR[3] ; LCCOMB_X25_Y16_N0 ; 1 ; Write enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[15]~3 ; LCCOMB_X22_Y19_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[23]~0 ; LCCOMB_X23_Y12_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[31]~2 ; LCCOMB_X27_Y17_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[5]~1 ; LCCOMB_X23_Y18_N22 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[7]~6 ; LCCOMB_X28_Y18_N22 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[15]~1 ; LCCOMB_X21_Y19_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[23]~0 ; LCCOMB_X29_Y14_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[31]~2 ; LCCOMB_X23_Y14_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[7]~3 ; LCCOMB_X23_Y14_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[15]~1 ; LCCOMB_X23_Y19_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[23]~0 ; LCCOMB_X28_Y15_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[31]~2 ; LCCOMB_X25_Y17_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[7]~3 ; LCCOMB_X22_Y17_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[15]~1 ; LCCOMB_X21_Y19_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[23]~0 ; LCCOMB_X28_Y15_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[31]~2 ; LCCOMB_X28_Y17_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[7]~3 ; LCCOMB_X28_Y17_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[15]~1 ; LCCOMB_X23_Y19_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[23]~0 ; LCCOMB_X29_Y12_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[31]~2 ; LCCOMB_X25_Y17_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[7]~3 ; LCCOMB_X25_Y17_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[15]~1 ; LCCOMB_X22_Y18_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[23]~0 ; LCCOMB_X29_Y18_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[7]~2 ; LCCOMB_X23_Y18_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCSEL[0] ; FF_X33_Y18_N13 ; 54 ; Sync. load ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCSEL[1] ; FF_X33_Y18_N15 ; 54 ; Sync. clear ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CLR_FIFO ; FF_X29_Y21_N3 ; 34 ; Async. clear ; yes ; Global Clock ; GCLK11 ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|DOP_FIFO_CLR ; FF_X36_Y17_N25 ; 21 ; Async. clear ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_CLUT_WR[0] ; LCCOMB_X23_Y16_N24 ; 1 ; Write enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_CLUT_WR[1] ; LCCOMB_X23_Y16_N8 ; 1 ; Write enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_CLUT_WR[3] ; LCCOMB_X23_Y16_N18 ; 1 ; Write enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE[10]~3 ; LCCOMB_X28_Y16_N22 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE[7]~1 ; LCCOMB_X28_Y16_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FIFO_RDE ; FF_X37_Y20_N27 ; 141 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|LAST ; FF_X33_Y12_N25 ; 30 ; Clock enable, Sync. clear ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|PIXEL_CLK ; LCCOMB_X26_Y18_N4 ; 3 ; Clock ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|PIXEL_CLK ; LCCOMB_X26_Y18_N4 ; 850 ; Clock ; yes ; Global Clock ; GCLK6 ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ST_CLUT_WR[0] ; LCCOMB_X26_Y13_N18 ; 1 ; Write enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ST_CLUT_WR[1] ; LCCOMB_X21_Y13_N14 ; 1 ; Write enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ST_SHIFT_MODE[1]~0 ; LCCOMB_X29_Y17_N18 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|SUB_PIXEL_CNT[6]~7 ; LCCOMB_X35_Y17_N16 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|SYNC_PIX ; FF_X34_Y14_N13 ; 10 ; Sync. clear ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|SYS_CTR[6]~0 ; LCCOMB_X26_Y16_N6 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBB[11]~1 ; LCCOMB_X30_Y13_N14 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBB[7]~0 ; LCCOMB_X30_Y13_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBE[11]~1 ; LCCOMB_X30_Y10_N2 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBE[7]~0 ; LCCOMB_X29_Y10_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDB[11]~1 ; LCCOMB_X30_Y10_N12 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDB[7]~0 ; LCCOMB_X29_Y10_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDE[11]~1 ; LCCOMB_X33_Y13_N12 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDE[7]~0 ; LCCOMB_X33_Y13_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HHT[11]~1 ; LCCOMB_X30_Y12_N28 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HHT[7]~0 ; LCCOMB_X30_Y12_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HSS[11]~1 ; LCCOMB_X29_Y14_N22 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HSS[7]~0 ; LCCOMB_X26_Y12_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[15]~1 ; LCCOMB_X26_Y17_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[7]~0 ; LCCOMB_X27_Y15_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[15]~3 ; LCCOMB_X26_Y17_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[7]~2 ; LCCOMB_X26_Y15_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBB[10]~1 ; LCCOMB_X30_Y15_N4 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBB[7]~0 ; LCCOMB_X29_Y15_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBE[10]~1 ; LCCOMB_X25_Y13_N18 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBE[7]~0 ; LCCOMB_X30_Y13_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VCT[7]~0 ; LCCOMB_X26_Y18_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VCT[8]~1 ; LCCOMB_X26_Y13_N20 ; 1 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDB[10]~1 ; LCCOMB_X29_Y14_N20 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDB[7]~0 ; LCCOMB_X29_Y13_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDE[10]~1 ; LCCOMB_X30_Y15_N30 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDE[7]~0 ; LCCOMB_X29_Y16_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VFT[10]~1 ; LCCOMB_X26_Y14_N6 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VFT[7]~0 ; LCCOMB_X27_Y16_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VMD[3]~0 ; LCCOMB_X25_Y18_N26 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VSS[10]~1 ; LCCOMB_X27_Y18_N20 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VSS[7]~0 ; LCCOMB_X26_Y16_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VR_FRQ[7]~3 ; LCCOMB_X27_Y18_N6 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~92 ; LCCOMB_X28_Y20_N4 ; 10 ; Sync. clear ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|inst37 ; LCCOMB_X66_Y4_N2 ; 32 ; Output enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|inst65~0 ; LCCOMB_X37_Y20_N28 ; 34 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|inst67 ; LCCOMB_X37_Y17_N12 ; 1 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|inst90 ; DDIOOECELL_X63_Y0_N12 ; 1 ; Output enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|inst90~_Duplicate_1 ; DDIOOECELL_X67_Y11_N12 ; 1 ; Output enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|inst90~_Duplicate_2 ; DDIOOECELL_X52_Y0_N26 ; 1 ; Output enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|inst90~_Duplicate_3 ; DDIOOECELL_X43_Y0_N19 ; 1 ; Output enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|inst95 ; FF_X39_Y18_N21 ; 128 ; Sync. load ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_lk21:auto_generated|a_dpfifo_oq21:dpfifo|_~0 ; LCCOMB_X36_Y20_N2 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|dffpipe_9d9:wraclr|dffe20a[0] ; FF_X57_Y17_N21 ; 72 ; Async. clear ; yes ; Global Clock ; GCLK9 ; -- ; -; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|valid_wrreq~0 ; LCCOMB_X57_Y17_N14 ; 14 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|lpm_shiftreg4:inst26|lpm_shiftreg:lpm_shiftreg_component|dffs[0] ; FF_X45_Y15_N1 ; 258 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|lpm_shiftreg6:inst92|lpm_shiftreg:lpm_shiftreg_component|dffs[0] ; FF_X18_Y13_N29 ; 64 ; Clock enable ; no ; -- ; -- ; -- ; -; Video:Fredi_Aschwanden|lpm_shiftreg6:inst92|lpm_shiftreg:lpm_shiftreg_component|dffs[1] ; FF_X18_Y13_N3 ; 33 ; Clock enable ; no ; -- ; -- ; -- ; -; altpll1:inst|altpll:altpll_component|altpll_pul2:auto_generated|clk[0] ; PLL_3 ; 52 ; Clock ; yes ; Global Clock ; GCLK14 ; -- ; -; altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[0] ; PLL_1 ; 691 ; Clock ; yes ; Global Clock ; GCLK3 ; -- ; -; altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[1] ; PLL_1 ; 96 ; Clock ; yes ; Global Clock ; GCLK1 ; -- ; -; altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[2] ; PLL_1 ; 5 ; Clock ; yes ; Global Clock ; GCLK0 ; -- ; -; altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[3] ; PLL_1 ; 41 ; Clock ; yes ; Global Clock ; GCLK2 ; -- ; -; altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[4] ; PLL_1 ; 189 ; Clock, Latch enable ; yes ; Global Clock ; GCLK4 ; -- ; -; altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|clk[0] ; PLL_4 ; 7 ; Clock ; yes ; Global Clock ; GCLK16 ; -- ; -; altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|clk[1] ; PLL_4 ; 585 ; Clock ; yes ; Global Clock ; GCLK17 ; -- ; -; altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|clk[2] ; PLL_4 ; 4 ; Clock ; yes ; Global Clock ; GCLK18 ; -- ; -; altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|clk[3] ; PLL_4 ; 2 ; Clock ; yes ; Global Clock ; GCLK19 ; -- ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|_~1 ; LCCOMB_X23_Y26_N8 ; 1 ; Async. clear ; no ; -- ; -- ; -- ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|busy ; LCCOMB_X22_Y25_N2 ; 15 ; Clock enable ; no ; -- ; -- ; -- ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|input_latch_enable~0 ; LCCOMB_X22_Y26_N10 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr12|cntr_30l:auto_generated|counter_reg_bit[7]~0 ; LCCOMB_X14_Y25_N0 ; 8 ; Sync. load ; no ; -- ; -- ; -- ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr13|cntr_qij:auto_generated|_~0 ; LCCOMB_X19_Y28_N4 ; 14 ; Clock enable ; no ; -- ; -- ; -- ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr15|cntr_30l:auto_generated|counter_reg_bit[7]~0 ; LCCOMB_X21_Y29_N18 ; 8 ; Sync. load ; no ; -- ; -- ; -- ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr1|cntr_30l:auto_generated|_~9 ; LCCOMB_X21_Y27_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr1|cntr_30l:auto_generated|counter_reg_bit[7]~0 ; LCCOMB_X18_Y29_N18 ; 8 ; Sync. load ; no ; -- ; -- ; -- ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr2|cntr_9cj:auto_generated|_~0 ; LCCOMB_X21_Y26_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|power_up~4 ; LCCOMB_X21_Y26_N10 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|power_up~5 ; LCCOMB_X21_Y27_N12 ; 5 ; Sync. load ; no ; -- ; -- ; -- ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|reconfig_counter_state~0 ; LCCOMB_X21_Y29_N6 ; 16 ; Sync. load ; no ; -- ; -- ; -- ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|reconfig_counter_state~1 ; LCCOMB_X18_Y29_N24 ; 13 ; Clock enable ; no ; -- ; -- ; -- ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|reconfig_seq_ena_state ; FF_X22_Y29_N31 ; 13 ; Sync. load ; no ; -- ; -- ; -- ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|scan_cache_write_enable~0 ; LCCOMB_X20_Y26_N4 ; 3 ; Write enable ; no ; -- ; -- ; -- ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[17]~3 ; LCCOMB_X22_Y23_N2 ; 18 ; Clock enable ; no ; -- ; -- ; -- ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg_clear~0 ; LCCOMB_X22_Y27_N28 ; 35 ; Sync. clear, Sync. load ; no ; -- ; -- ; -- ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|tmp_nominal_data_out_state ; FF_X21_Y25_N29 ; 10 ; Sync. load ; no ; -- ; -- ; -- ; -; inst25 ; LCCOMB_X15_Y23_N20 ; 1027 ; Async. clear, Async. load ; yes ; Global Clock ; GCLK10 ; -- ; -; inst25 ; LCCOMB_X15_Y23_N20 ; 119 ; Clock enable, Sync. clear, Sync. load ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|ACHTELSEKUNDEN[2]~0 ; LCCOMB_X1_Y13_N6 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|ACP_CONF[15]~3 ; LCCOMB_X16_Y11_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|ACP_CONF[23]~1 ; LCCOMB_X11_Y13_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|ACP_CONF[31]~0 ; LCCOMB_X16_Y11_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|ACP_CONF[7]~4 ; LCCOMB_X15_Y11_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|INT_CLEAR[0] ; FF_X17_Y10_N9 ; 1 ; Async. clear ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|INT_CLEAR[1] ; FF_X17_Y10_N31 ; 1 ; Async. clear ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|INT_CLEAR[2] ; FF_X17_Y10_N1 ; 1 ; Async. clear ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|INT_CLEAR[3] ; FF_X17_Y10_N23 ; 1 ; Async. clear ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|INT_CLEAR[4] ; FF_X17_Y10_N21 ; 1 ; Async. clear ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|INT_CLEAR[5] ; FF_X17_Y10_N11 ; 1 ; Async. clear ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|INT_CLEAR[6] ; FF_X17_Y10_N25 ; 1 ; Async. clear ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|INT_CLEAR[8] ; FF_X17_Y10_N15 ; 1 ; Async. clear ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|INT_CLEAR[9] ; FF_X17_Y10_N29 ; 1 ; Async. clear ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|INT_CTR[15]~2 ; LCCOMB_X15_Y15_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|INT_CTR[23]~1 ; LCCOMB_X12_Y11_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|INT_CTR[31]~3 ; LCCOMB_X18_Y12_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|INT_CTR[7]~0 ; LCCOMB_X15_Y13_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|INT_ENA[15]~2 ; LCCOMB_X15_Y15_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|INT_ENA[23]~1 ; LCCOMB_X12_Y13_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|INT_ENA[31]~0 ; LCCOMB_X16_Y13_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|INT_ENA[7]~3 ; LCCOMB_X15_Y13_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|INT_LATCH[0]~26 ; LCCOMB_X14_Y13_N30 ; 1 ; Clock ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|INT_LATCH[1]~25 ; LCCOMB_X15_Y11_N22 ; 1 ; Clock ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|INT_LATCH[2]~24 ; LCCOMB_X15_Y11_N6 ; 1 ; Clock ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|INT_LATCH[3]~23 ; LCCOMB_X15_Y10_N6 ; 1 ; Clock ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|INT_LATCH[4]~22 ; LCCOMB_X14_Y13_N20 ; 1 ; Clock ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|INT_LATCH[5]~21 ; LCCOMB_X15_Y11_N0 ; 1 ; Clock ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|INT_LATCH[6]~20 ; LCCOMB_X15_Y12_N26 ; 1 ; Clock ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|INT_LATCH[8]~19 ; LCCOMB_X15_Y15_N6 ; 1 ; Clock ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|INT_LATCH[9]~18 ; LCCOMB_X15_Y15_N16 ; 1 ; Clock ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|RTC_ADR[5]~0 ; LCCOMB_X8_Y12_N24 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|WERTE[0][0]~1 ; LCCOMB_X6_Y15_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|WERTE[0][13]~14 ; LCCOMB_X4_Y14_N22 ; 1 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|WERTE[0][2]~4 ; LCCOMB_X7_Y15_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|WERTE[7][10]~10 ; LCCOMB_X7_Y14_N4 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|WERTE[7][11]~77 ; LCCOMB_X1_Y13_N26 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|WERTE[7][12]~11 ; LCCOMB_X8_Y13_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|WERTE[7][13]~13 ; LCCOMB_X6_Y14_N18 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|WERTE[7][14]~15 ; LCCOMB_X7_Y14_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|WERTE[7][15]~16 ; LCCOMB_X11_Y13_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|WERTE[7][16]~17 ; LCCOMB_X4_Y13_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|WERTE[7][17]~18 ; LCCOMB_X3_Y11_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|WERTE[7][18]~19 ; LCCOMB_X2_Y14_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|WERTE[7][19]~20 ; LCCOMB_X2_Y13_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|WERTE[7][1]~2 ; LCCOMB_X7_Y13_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|WERTE[7][20]~21 ; LCCOMB_X2_Y13_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|WERTE[7][21]~22 ; LCCOMB_X3_Y14_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|WERTE[7][22]~23 ; LCCOMB_X2_Y14_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|WERTE[7][23]~24 ; LCCOMB_X3_Y10_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|WERTE[7][24]~25 ; LCCOMB_X3_Y10_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|WERTE[7][25]~26 ; LCCOMB_X2_Y12_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|WERTE[7][26]~27 ; LCCOMB_X2_Y12_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|WERTE[7][27]~28 ; LCCOMB_X4_Y9_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|WERTE[7][28]~29 ; LCCOMB_X4_Y13_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|WERTE[7][29]~30 ; LCCOMB_X3_Y11_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|WERTE[7][30]~31 ; LCCOMB_X3_Y12_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|WERTE[7][31]~32 ; LCCOMB_X5_Y12_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|WERTE[7][32]~33 ; LCCOMB_X4_Y10_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|WERTE[7][33]~34 ; LCCOMB_X8_Y10_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|WERTE[7][34]~35 ; LCCOMB_X8_Y10_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|WERTE[7][35]~36 ; LCCOMB_X4_Y10_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|WERTE[7][36]~37 ; LCCOMB_X2_Y10_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|WERTE[7][37]~38 ; LCCOMB_X2_Y10_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|WERTE[7][38]~39 ; LCCOMB_X7_Y10_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|WERTE[7][39]~40 ; LCCOMB_X4_Y10_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|WERTE[7][3]~5 ; LCCOMB_X6_Y13_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|WERTE[7][40]~41 ; LCCOMB_X6_Y9_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|WERTE[7][41]~42 ; LCCOMB_X5_Y13_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|WERTE[7][42]~43 ; LCCOMB_X6_Y9_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|WERTE[7][43]~44 ; LCCOMB_X9_Y11_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|WERTE[7][44]~45 ; LCCOMB_X10_Y11_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|WERTE[7][45]~46 ; LCCOMB_X10_Y10_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|WERTE[7][46]~47 ; LCCOMB_X10_Y10_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|WERTE[7][47]~48 ; LCCOMB_X9_Y13_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|WERTE[7][48]~49 ; LCCOMB_X9_Y13_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|WERTE[7][49]~50 ; LCCOMB_X9_Y10_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|WERTE[7][50]~51 ; LCCOMB_X9_Y10_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|WERTE[7][51]~52 ; LCCOMB_X8_Y9_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|WERTE[7][52]~53 ; LCCOMB_X7_Y9_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|WERTE[7][53]~54 ; LCCOMB_X11_Y9_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|WERTE[7][54]~55 ; LCCOMB_X10_Y9_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|WERTE[7][55]~56 ; LCCOMB_X10_Y11_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|WERTE[7][56]~57 ; LCCOMB_X10_Y9_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|WERTE[7][57]~58 ; LCCOMB_X8_Y12_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|WERTE[7][58]~59 ; LCCOMB_X8_Y12_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|WERTE[7][59]~60 ; LCCOMB_X9_Y12_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|WERTE[7][5]~9 ; LCCOMB_X6_Y14_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|WERTE[7][60]~61 ; LCCOMB_X5_Y12_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|WERTE[7][61]~62 ; LCCOMB_X5_Y12_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|WERTE[7][62]~63 ; LCCOMB_X12_Y12_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|WERTE[7][63]~64 ; LCCOMB_X11_Y12_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|_~503 ; LCCOMB_X6_Y11_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|_~504 ; LCCOMB_X5_Y11_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|_~505 ; LCCOMB_X4_Y14_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; interrupt_handler:nobody|_~506 ; LCCOMB_X7_Y13_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------------------------+---------+---------------------------------------+--------+----------------------+------------------+---------------------------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Global & Other Fast Signals ; -+---------------------------------------------------------------------------------------------------------------------------+--------------------+---------+--------------------------------------+----------------------+------------------+---------------------------+ -; Name ; Location ; Fan-Out ; Fan-Out Using Intentional Clock Skew ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ; -+---------------------------------------------------------------------------------------------------------------------------+--------------------+---------+--------------------------------------+----------------------+------------------+---------------------------+ -; CLK33M ; PIN_AB12 ; 12 ; 0 ; Global Clock ; GCLK15 ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|CLR_FIFO ; LCCOMB_X26_Y22_N16 ; 250 ; 0 ; Global Clock ; GCLK7 ; -- ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|process_8~2 ; LCCOMB_X26_Y22_N14 ; 32 ; 0 ; Global Clock ; GCLK5 ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CLR_FIFO ; FF_X29_Y21_N3 ; 34 ; 0 ; Global Clock ; GCLK11 ; -- ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|PIXEL_CLK ; LCCOMB_X26_Y18_N4 ; 850 ; 0 ; Global Clock ; GCLK6 ; -- ; -; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|dffpipe_9d9:wraclr|dffe20a[0] ; FF_X57_Y17_N21 ; 72 ; 0 ; Global Clock ; GCLK9 ; -- ; -; altpll1:inst|altpll:altpll_component|altpll_pul2:auto_generated|clk[0] ; PLL_3 ; 52 ; 0 ; Global Clock ; GCLK14 ; -- ; -; altpll1:inst|altpll:altpll_component|altpll_pul2:auto_generated|clk[1] ; PLL_3 ; 1 ; 0 ; Global Clock ; GCLK12 ; -- ; -; altpll1:inst|altpll:altpll_component|altpll_pul2:auto_generated|clk[2] ; PLL_3 ; 1 ; 0 ; Global Clock ; GCLK13 ; -- ; -; altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[0] ; PLL_1 ; 691 ; 0 ; Global Clock ; GCLK3 ; -- ; -; altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[1] ; PLL_1 ; 96 ; 0 ; Global Clock ; GCLK1 ; -- ; -; altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[2] ; PLL_1 ; 5 ; 0 ; Global Clock ; GCLK0 ; -- ; -; altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[3] ; PLL_1 ; 41 ; 0 ; Global Clock ; GCLK2 ; -- ; -; altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[4] ; PLL_1 ; 189 ; 0 ; Global Clock ; GCLK4 ; -- ; -; altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|clk[0] ; PLL_4 ; 7 ; 0 ; Global Clock ; GCLK16 ; -- ; -; altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|clk[1] ; PLL_4 ; 585 ; 0 ; Global Clock ; GCLK17 ; -- ; -; altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|clk[2] ; PLL_4 ; 4 ; 0 ; Global Clock ; GCLK18 ; -- ; -; altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|clk[3] ; PLL_4 ; 2 ; 0 ; Global Clock ; GCLK19 ; -- ; -; altpll4:inst22|altpll:altpll_component|altpll_c6j2:auto_generated|clk[0] ; PLL_2 ; 1 ; 0 ; Global Clock ; GCLK8 ; -- ; -; inst25 ; LCCOMB_X15_Y23_N20 ; 1027 ; 0 ; Global Clock ; GCLK10 ; -- ; -+---------------------------------------------------------------------------------------------------------------------------+--------------------+---------+--------------------------------------+----------------------+------------------+---------------------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------+ -; Non-Global High Fan-Out Signals ; -+-----------------------------------------------------------------------------------------------------------------------------------+---------+ -; Name ; Fan-Out ; -+-----------------------------------------------------------------------------------------------------------------------------------+---------+ -; MAIN_CLK~input ; 2272 ; -; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_L_D[0] ; 385 ; -; Video:Fredi_Aschwanden|lpm_shiftreg4:inst26|lpm_shiftreg:lpm_shiftreg_component|dffs[0] ; 258 ; -; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_L_D[2] ; 257 ; -; nFB_WR~input ; 235 ; -; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_L_D[1] ; 225 ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[0] ; 208 ; -; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[5] ; 161 ; -; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[1] ; 158 ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[26] ; 156 ; -; FB_AD[17]~input ; 145 ; -; FB_AD[18]~input ; 145 ; -; FB_AD[20]~input ; 144 ; -; FB_AD[16]~input ; 143 ; -; FB_AD[19]~input ; 143 ; -; FB_AD[21]~input ; 143 ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FIFO_RDE ; 141 ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|INTER_ZEI ; 141 ; -; FB_AD[22]~input ; 140 ; -; FB_AD[23]~input ; 137 ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CLUT_MUX_ADR[0] ; 132 ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CLUT_MUX_ADR[1] ; 132 ; -; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_L_D[3] ; 129 ; -; Video:Fredi_Aschwanden|inst95 ; 128 ; -; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[2] ; 120 ; -; inst25 ; 118 ; -; nFB_OE~input ; 101 ; -; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[3] ; 97 ; -; nFB_CS2~input ; 95 ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|Selector68~47 ; 88 ; -; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[4] ; 83 ; -; interrupt_handler:nobody|RTC_ADR[4] ; 80 ; -; interrupt_handler:nobody|RTC_ADR[5] ; 79 ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL|ROLL_OVER ; 78 ; -; interrupt_handler:nobody|UHR_DS~5 ; 71 ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBE_CS~1 ; 68 ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VMD[2] ; 66 ; -; interrupt_handler:nobody|UHR_DS~6 ; 66 ; -; Video:Fredi_Aschwanden|inst90~_Duplicate_4 ; 65 ; -; Video:Fredi_Aschwanden|lpm_shiftreg6:inst92|lpm_shiftreg:lpm_shiftreg_component|dffs[0] ; 64 ; -; FB_AD[24]~input ; 63 ; -; interrupt_handler:nobody|RTC_ADR[3] ; 62 ; -; interrupt_handler:nobody|RTC_ADR[2] ; 62 ; -; interrupt_handler:nobody|RTC_ADR[1] ; 62 ; -; interrupt_handler:nobody|RTC_ADR[0] ; 62 ; -; ~GND ; 61 ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|DEC_STATE ; 60 ; -; nFB_CS1~input ; 59 ; -; FB_AD[25]~input ; 59 ; -; FB_AD[26]~input ; 57 ; -+-----------------------------------------------------------------------------------------------------------------------------------+---------+ - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fitter RAM Summary ; -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+-------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+------+------+--------------------------------------------------------------------------------------------------------------------------------+ -; Name ; Type ; Mode ; Clock Mode ; Port A Depth ; Port A Width ; Port B Depth ; Port B Width ; Port A Input Registers ; Port A Output Registers ; Port B Input Registers ; Port B Output Registers ; Size ; Implementation Port A Depth ; Implementation Port A Width ; Implementation Port B Depth ; Implementation Port B Width ; Implementation Bits ; M9Ks ; MIF ; Location ; -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+-------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+------+------+--------------------------------------------------------------------------------------------------------------------------------+ -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|altsyncram_bi31:fifo_ram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Dual Clocks ; 1024 ; 8 ; 256 ; 32 ; yes ; no ; yes ; yes ; 8192 ; 1024 ; 8 ; 256 ; 32 ; 8192 ; 1 ; None ; M9K_X24_Y11_N0 ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Dual Clocks ; 256 ; 32 ; 1024 ; 8 ; yes ; no ; yes ; yes ; 8192 ; 256 ; 32 ; 1024 ; 8 ; 8192 ; 1 ; None ; M9K_X24_Y21_N0 ; -; Video:Fredi_Aschwanden|altdpram0:ST_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated|ALTSYNCRAM ; AUTO ; True Dual Port ; Dual Clocks ; 16 ; 3 ; 16 ; 3 ; yes ; yes ; yes ; yes ; 48 ; 16 ; 3 ; 16 ; 3 ; 48 ; 1 ; None ; M9K_X24_Y15_N0 ; -; Video:Fredi_Aschwanden|altdpram0:ST_CLUT_GREEN|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated|ALTSYNCRAM ; AUTO ; True Dual Port ; Dual Clocks ; 16 ; 3 ; 16 ; 3 ; yes ; yes ; yes ; yes ; 48 ; 16 ; 3 ; 16 ; 3 ; 48 ; 1 ; None ; M9K_X24_Y15_N0 ; -; Video:Fredi_Aschwanden|altdpram0:ST_CLUT_RED|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated|ALTSYNCRAM ; AUTO ; True Dual Port ; Dual Clocks ; 16 ; 3 ; 16 ; 3 ; yes ; yes ; yes ; yes ; 48 ; 16 ; 3 ; 16 ; 3 ; 48 ; 1 ; None ; M9K_X24_Y13_N0 ; -; Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ALTSYNCRAM ; AUTO ; True Dual Port ; Dual Clocks ; 256 ; 6 ; 256 ; 6 ; yes ; yes ; yes ; yes ; 1536 ; 256 ; 6 ; 256 ; 6 ; 1536 ; 1 ; None ; M9K_X24_Y20_N0 ; -; Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_GREEN|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ALTSYNCRAM ; AUTO ; True Dual Port ; Dual Clocks ; 256 ; 6 ; 256 ; 6 ; yes ; yes ; yes ; yes ; 1536 ; 256 ; 6 ; 256 ; 6 ; 1536 ; 1 ; None ; M9K_X24_Y19_N0 ; -; Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_RED|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ALTSYNCRAM ; AUTO ; True Dual Port ; Dual Clocks ; 256 ; 6 ; 256 ; 6 ; yes ; yes ; yes ; yes ; 1536 ; 256 ; 6 ; 256 ; 6 ; 1536 ; 1 ; None ; M9K_X24_Y17_N0 ; -; Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM54|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ALTSYNCRAM ; AUTO ; True Dual Port ; Dual Clocks ; 256 ; 8 ; 256 ; 8 ; yes ; yes ; yes ; yes ; 2048 ; 256 ; 8 ; 256 ; 8 ; 2048 ; 1 ; None ; M9K_X24_Y14_N0 ; -; Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ALTSYNCRAM ; AUTO ; True Dual Port ; Dual Clocks ; 256 ; 8 ; 256 ; 8 ; yes ; yes ; yes ; yes ; 2048 ; 256 ; 8 ; 256 ; 8 ; 2048 ; 1 ; None ; M9K_X24_Y16_N0 ; -; Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ALTSYNCRAM ; AUTO ; True Dual Port ; Dual Clocks ; 256 ; 8 ; 256 ; 8 ; yes ; yes ; yes ; yes ; 2048 ; 256 ; 8 ; 256 ; 8 ; 2048 ; 1 ; None ; M9K_X24_Y18_N0 ; -; Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_lk21:auto_generated|a_dpfifo_oq21:dpfifo|altsyncram_gj81:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Dual Clocks ; 128 ; 128 ; 128 ; 128 ; yes ; no ; yes ; no ; 16384 ; 128 ; 128 ; 128 ; 128 ; 16384 ; 4 ; None ; M9K_X40_Y19_N0, M9K_X40_Y20_N0, M9K_X40_Y21_N0, M9K_X40_Y22_N0 ; -; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|altsyncram_tl31:fifo_ram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Dual Clocks ; 512 ; 128 ; 512 ; 128 ; yes ; no ; yes ; yes ; 65536 ; 512 ; 128 ; 512 ; 128 ; 65536 ; 8 ; None ; M9K_X40_Y16_N0, M9K_X40_Y15_N0, M9K_X58_Y16_N0, M9K_X58_Y17_N0, M9K_X40_Y17_N0, M9K_X40_Y14_N0, M9K_X40_Y13_N0, M9K_X40_Y18_N0 ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|altsyncram:altsyncram4|altsyncram_46r:auto_generated|ALTSYNCRAM ; AUTO ; Single Port ; Single Clock ; 144 ; 1 ; -- ; -- ; yes ; no ; -- ; -- ; 144 ; 144 ; 1 ; -- ; -- ; 144 ; 1 ; None ; M9K_X24_Y25_N0 ; -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+-------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+------+------+--------------------------------------------------------------------------------------------------------------------------------+ -Note: Fitter may spread logical memories into multiple blocks to improve timing. The actual required RAM blocks can be found in the Fitter Resource Usage section. - - -+-----------------------------------------------------------------------------------------------+ -; Fitter DSP Block Usage Summary ; -+---------------------------------------+-------------+---------------------+-------------------+ -; Statistic ; Number Used ; Available per Block ; Maximum Available ; -+---------------------------------------+-------------+---------------------+-------------------+ -; Simple Multipliers (9-bit) ; 0 ; 2 ; 252 ; -; Simple Multipliers (18-bit) ; 3 ; 1 ; 126 ; -; Embedded Multiplier Blocks ; 3 ; -- ; 126 ; -; Embedded Multiplier 9-bit elements ; 6 ; 2 ; 252 ; -; Signed Embedded Multipliers ; 0 ; -- ; -- ; -; Unsigned Embedded Multipliers ; 3 ; -- ; -- ; -; Mixed Sign Embedded Multipliers ; 0 ; -- ; -- ; -; Variable Sign Embedded Multipliers ; 0 ; -- ; -- ; -; Dedicated Input Shift Register Chains ; 0 ; -- ; -- ; -+---------------------------------------+-------------+---------------------+-------------------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; DSP Block Details ; -+------------------------------------------------------------------------------------------------------------------------+----------------------------+--------------------+---------------------+--------------------------------+-----------------------+-----------------------+-------------------+-----------------+ -; Name ; Mode ; Location ; Sign Representation ; Has Input Shift Register Chain ; Data A Input Register ; Data B Input Register ; Pipeline Register ; Output Register ; -+------------------------------------------------------------------------------------------------------------------------+----------------------------+--------------------+---------------------+--------------------------------+-----------------------+-----------------------+-------------------+-----------------+ -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_14|mult_cat:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X31_Y14_N2 ; ; No ; ; ; ; no ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_14|mult_cat:auto_generated|mac_mult1 ; ; DSPMULT_X31_Y14_N0 ; Unsigned ; ; no ; no ; no ; ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_6|mult_aat:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X31_Y10_N2 ; ; No ; ; ; ; no ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_6|mult_aat:auto_generated|mac_mult1 ; ; DSPMULT_X31_Y10_N0 ; Unsigned ; ; no ; no ; no ; ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_12|mult_aat:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X31_Y12_N2 ; ; No ; ; ; ; no ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_12|mult_aat:auto_generated|mac_mult1 ; ; DSPMULT_X31_Y12_N0 ; Unsigned ; ; no ; no ; no ; ; -+------------------------------------------------------------------------------------------------------------------------+----------------------------+--------------------+---------------------+--------------------------------+-----------------------+-----------------------+-------------------+-----------------+ - - -+--------------------------------------------------------+ -; Interconnect Usage Summary ; -+----------------------------+---------------------------+ -; Interconnect Resource Type ; Usage ; -+----------------------------+---------------------------+ -; Block interconnects ; 16,358 / 116,715 ( 14 % ) ; -; C16 interconnects ; 749 / 3,886 ( 19 % ) ; -; C4 interconnects ; 10,626 / 73,752 ( 14 % ) ; -; Direct links ; 2,046 / 116,715 ( 2 % ) ; -; Global clocks ; 20 / 20 ( 100 % ) ; -; Local interconnects ; 4,734 / 39,600 ( 12 % ) ; -; R24 interconnects ; 882 / 3,777 ( 23 % ) ; -; R4 interconnects ; 11,442 / 99,858 ( 11 % ) ; -+----------------------------+---------------------------+ - - -+-----------------------------------------------------------------------------+ -; LAB Logic Elements ; -+---------------------------------------------+-------------------------------+ -; Number of Logic Elements (Average = 12.60) ; Number of LABs (Total = 756) ; -+---------------------------------------------+-------------------------------+ -; 1 ; 41 ; -; 2 ; 20 ; -; 3 ; 22 ; -; 4 ; 11 ; -; 5 ; 13 ; -; 6 ; 12 ; -; 7 ; 15 ; -; 8 ; 13 ; -; 9 ; 13 ; -; 10 ; 30 ; -; 11 ; 23 ; -; 12 ; 32 ; -; 13 ; 29 ; -; 14 ; 47 ; -; 15 ; 59 ; -; 16 ; 376 ; -+---------------------------------------------+-------------------------------+ - - -+--------------------------------------------------------------------+ -; LAB-wide Signals ; -+------------------------------------+-------------------------------+ -; LAB-wide Signals (Average = 1.78) ; Number of LABs (Total = 756) ; -+------------------------------------+-------------------------------+ -; 1 Async. clear ; 239 ; -; 1 Clock ; 631 ; -; 1 Clock enable ; 289 ; -; 1 Sync. clear ; 20 ; -; 1 Sync. load ; 26 ; -; 2 Async. clears ; 12 ; -; 2 Clock enables ; 84 ; -; 2 Clocks ; 41 ; -+------------------------------------+-------------------------------+ - - -+------------------------------------------------------------------------------+ -; LAB Signals Sourced ; -+----------------------------------------------+-------------------------------+ -; Number of Signals Sourced (Average = 18.19) ; Number of LABs (Total = 756) ; -+----------------------------------------------+-------------------------------+ -; 0 ; 0 ; -; 1 ; 19 ; -; 2 ; 26 ; -; 3 ; 12 ; -; 4 ; 16 ; -; 5 ; 8 ; -; 6 ; 14 ; -; 7 ; 5 ; -; 8 ; 11 ; -; 9 ; 8 ; -; 10 ; 14 ; -; 11 ; 9 ; -; 12 ; 20 ; -; 13 ; 17 ; -; 14 ; 15 ; -; 15 ; 30 ; -; 16 ; 49 ; -; 17 ; 41 ; -; 18 ; 43 ; -; 19 ; 30 ; -; 20 ; 42 ; -; 21 ; 35 ; -; 22 ; 49 ; -; 23 ; 45 ; -; 24 ; 31 ; -; 25 ; 31 ; -; 26 ; 27 ; -; 27 ; 28 ; -; 28 ; 20 ; -; 29 ; 17 ; -; 30 ; 18 ; -; 31 ; 10 ; -; 32 ; 16 ; -+----------------------------------------------+-------------------------------+ - - -+---------------------------------------------------------------------------------+ -; LAB Signals Sourced Out ; -+-------------------------------------------------+-------------------------------+ -; Number of Signals Sourced Out (Average = 8.27) ; Number of LABs (Total = 756) ; -+-------------------------------------------------+-------------------------------+ -; 0 ; 1 ; -; 1 ; 61 ; -; 2 ; 48 ; -; 3 ; 47 ; -; 4 ; 43 ; -; 5 ; 40 ; -; 6 ; 51 ; -; 7 ; 50 ; -; 8 ; 53 ; -; 9 ; 71 ; -; 10 ; 46 ; -; 11 ; 45 ; -; 12 ; 51 ; -; 13 ; 46 ; -; 14 ; 26 ; -; 15 ; 25 ; -; 16 ; 19 ; -; 17 ; 5 ; -; 18 ; 9 ; -; 19 ; 6 ; -; 20 ; 4 ; -; 21 ; 1 ; -; 22 ; 2 ; -; 23 ; 0 ; -; 24 ; 3 ; -; 25 ; 2 ; -; 26 ; 0 ; -; 27 ; 1 ; -+-------------------------------------------------+-------------------------------+ - - -+------------------------------------------------------------------------------+ -; LAB Distinct Inputs ; -+----------------------------------------------+-------------------------------+ -; Number of Distinct Inputs (Average = 18.51) ; Number of LABs (Total = 756) ; -+----------------------------------------------+-------------------------------+ -; 0 ; 0 ; -; 1 ; 1 ; -; 2 ; 22 ; -; 3 ; 24 ; -; 4 ; 30 ; -; 5 ; 15 ; -; 6 ; 15 ; -; 7 ; 23 ; -; 8 ; 16 ; -; 9 ; 20 ; -; 10 ; 17 ; -; 11 ; 19 ; -; 12 ; 16 ; -; 13 ; 20 ; -; 14 ; 18 ; -; 15 ; 17 ; -; 16 ; 19 ; -; 17 ; 34 ; -; 18 ; 26 ; -; 19 ; 19 ; -; 20 ; 27 ; -; 21 ; 33 ; -; 22 ; 35 ; -; 23 ; 33 ; -; 24 ; 33 ; -; 25 ; 30 ; -; 26 ; 30 ; -; 27 ; 21 ; -; 28 ; 15 ; -; 29 ; 16 ; -; 30 ; 26 ; -; 31 ; 28 ; -; 32 ; 29 ; -; 33 ; 25 ; -; 34 ; 4 ; -+----------------------------------------------+-------------------------------+ - - -+------------------------------------------+ -; I/O Rules Summary ; -+----------------------------------+-------+ -; I/O Rules Statistic ; Total ; -+----------------------------------+-------+ -; Total I/O Rules ; 30 ; -; Number of I/O Rules Passed ; 17 ; -; Number of I/O Rules Failed ; 0 ; -; Number of I/O Rules Unchecked ; 0 ; -; Number of I/O Rules Inapplicable ; 13 ; -+----------------------------------+-------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; I/O Rules Details ; -+--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ -; Status ; ID ; Category ; Rule Description ; Severity ; Information ; Area ; Extra Information ; -+--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ -; Pass ; IO_000001 ; Capacity Checks ; Number of pins in an I/O bank should not exceed the number of locations available. ; Critical ; 0 such failures found. ; I/O ; ; -; Pass ; IO_000002 ; Capacity Checks ; Number of clocks in an I/O bank should not exceed the number of clocks available. ; Critical ; 0 such failures found. ; I/O ; ; -; Pass ; IO_000003 ; Capacity Checks ; Number of pins in a Vrefgroup should not exceed the number of locations available. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000004 ; Voltage Compatibility Checks ; The I/O bank should support the requested VCCIO. ; Critical ; No IOBANK_VCCIO assignments found. ; I/O ; ; -; Inapplicable ; IO_000005 ; Voltage Compatibility Checks ; The I/O bank should not have competing VREF values. ; Critical ; No VREF I/O Standard assignments found. ; I/O ; ; -; Pass ; IO_000006 ; Voltage Compatibility Checks ; The I/O bank should not have competing VCCIO values. ; Critical ; 0 such failures found. ; I/O ; ; -; Pass ; IO_000007 ; Valid Location Checks ; Checks for unavailable locations. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000008 ; Valid Location Checks ; Checks for reserved locations. ; Critical ; No reserved LogicLock region found. ; I/O ; ; -; Pass ; IO_000009 ; I/O Properties Checks for One I/O ; The location should support the requested I/O standard. ; Critical ; 0 such failures found. ; I/O ; ; -; Pass ; IO_000010 ; I/O Properties Checks for One I/O ; The location should support the requested I/O direction. ; Critical ; 0 such failures found. ; I/O ; ; -; Pass ; IO_000011 ; I/O Properties Checks for One I/O ; The location should support the requested Current Strength. ; Critical ; 0 such failures found. ; I/O ; ; -; Pass ; IO_000012 ; I/O Properties Checks for One I/O ; The location should support the requested On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000013 ; I/O Properties Checks for One I/O ; The location should support the requested Bus Hold value. ; Critical ; No Enable Bus-Hold Circuitry assignments found. ; I/O ; ; -; Inapplicable ; IO_000014 ; I/O Properties Checks for One I/O ; The location should support the requested Weak Pull Up value. ; Critical ; No Weak Pull-Up Resistor assignments found. ; I/O ; ; -; Pass ; IO_000015 ; I/O Properties Checks for One I/O ; The location should support the requested PCI Clamp Diode. ; Critical ; 0 such failures found. ; I/O ; ; -; Pass ; IO_000018 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Current Strength. ; Critical ; 0 such failures found. ; I/O ; ; -; Pass ; IO_000019 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; -; Pass ; IO_000020 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested PCI Clamp Diode. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000021 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Weak Pull Up value. ; Critical ; No Weak Pull-Up Resistor assignments found. ; I/O ; ; -; Inapplicable ; IO_000022 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Bus Hold value. ; Critical ; No Enable Bus-Hold Circuitry assignments found. ; I/O ; ; -; Pass ; IO_000023 ; I/O Properties Checks for One I/O ; The I/O standard should support the Open Drain value. ; Critical ; 0 such failures found. ; I/O ; ; -; Pass ; IO_000024 ; I/O Properties Checks for One I/O ; The I/O direction should support the On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; -; Pass ; IO_000026 ; I/O Properties Checks for One I/O ; On Chip Termination and Current Strength should not be used at the same time. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000027 ; I/O Properties Checks for One I/O ; Weak Pull Up and Bus Hold should not be used at the same time. ; Critical ; No Enable Bus-Hold Circuitry or Weak Pull-Up Resistor assignments found. ; I/O ; ; -; Inapplicable ; IO_000045 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Slew Rate value. ; Critical ; No Slew Rate assignments found. ; I/O ; ; -; Inapplicable ; IO_000046 ; I/O Properties Checks for One I/O ; The location should support the requested Slew Rate value. ; Critical ; No Slew Rate assignments found. ; I/O ; ; -; Inapplicable ; IO_000047 ; I/O Properties Checks for One I/O ; On Chip Termination and Slew Rate should not be used at the same time. ; Critical ; No Slew Rate assignments found. ; I/O ; ; -; Pass ; IO_000033 ; Electromigration Checks ; Current density for consecutive I/Os should not exceed 240mA for row I/Os and 240mA for column I/Os. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000034 ; SI Related Distance Checks ; Single-ended outputs should be 5 LAB row(s) away from a differential I/O. ; High ; No Differential I/O Standard assignments found. ; I/O ; ; -; Inapplicable ; IO_000042 ; SI Related SSO Limit Checks ; No more than 20 outputs are allowed in a VREF group when VREF is being read from. ; High ; No VREF I/O Standard assignments found. ; I/O ; ; -; ---- ; ---- ; Disclaimer ; OCT rules are checked but not reported. ; None ; ---- ; On Chip Termination ; ; -+--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; I/O Rules Matrix ; -+--------------------+-----------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+ -; Pin/Rules ; IO_000001 ; IO_000002 ; IO_000003 ; IO_000004 ; IO_000005 ; IO_000006 ; IO_000007 ; IO_000008 ; IO_000009 ; IO_000010 ; IO_000011 ; IO_000012 ; IO_000013 ; IO_000014 ; IO_000015 ; IO_000018 ; IO_000019 ; IO_000020 ; IO_000021 ; IO_000022 ; IO_000023 ; IO_000024 ; IO_000026 ; IO_000027 ; IO_000045 ; IO_000046 ; IO_000047 ; IO_000033 ; IO_000034 ; IO_000042 ; -+--------------------+-----------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+ -; Total Pass ; 295 ; 121 ; 295 ; 0 ; 0 ; 295 ; 295 ; 0 ; 295 ; 295 ; 168 ; 3 ; 0 ; 0 ; 183 ; 168 ; 3 ; 183 ; 0 ; 0 ; 11 ; 3 ; 171 ; 0 ; 0 ; 0 ; 0 ; 295 ; 0 ; 0 ; -; Total Unchecked ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; Total Inapplicable ; 0 ; 174 ; 0 ; 295 ; 295 ; 0 ; 0 ; 295 ; 0 ; 0 ; 127 ; 292 ; 295 ; 295 ; 112 ; 127 ; 292 ; 112 ; 295 ; 295 ; 284 ; 292 ; 124 ; 295 ; 295 ; 295 ; 295 ; 0 ; 295 ; 295 ; -; Total Fail ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; CLK24M576 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; LP_STR ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nFB_BURST ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nACSI_DRQ ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nACSI_INT ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nSCSI_DRQ ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nSCSI_MSG ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nDCHG ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; SD_DATA0 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; SD_DATA1 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; SD_DATA2 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; SD_CARD_DEDECT ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; SD_WP ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nDACK0 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; WP_CF_CARD ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nSCSI_C_D ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nSCSI_I_O ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nFB_CS3 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; CLK25M ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nACSI_ACK ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nACSI_RESET ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nACSI_CS ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; ACSI_DIR ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; ACSI_A1 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nSCSI_ACK ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nSCSI_ATN ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; SCSI_DIR ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; MIDI_OLR ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; MIDI_TLR ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; TxD ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; RTS ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; DTR ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; AMKB_TX ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; IDE_RES ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nIDE_CS0 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nIDE_CS1 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nIDE_WR ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nIDE_RD ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nCF_CS0 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nCF_CS1 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nROM3 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nROM4 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nRP_UDS ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nRP_LDS ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nSDSEL ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nWR_GATE ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nWR ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; YM_QA ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; YM_QB ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; YM_QC ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; SD_CLK ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; DSA_D ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nVWE ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nVCAS ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nVRAS ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nVCS ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nPD_VGA ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; TIN0 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nSRCS ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nSRBLE ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nSRBHE ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nSRWE ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nDREQ1 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; LED_FPGA_OK ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nSROE ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VCKE ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nFB_TA ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nDDR_CLK ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; DDR_CLK ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VSYNC_PAD ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; HSYNC_PAD ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nBLANK_PAD ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; PIXEL_CLK_PAD ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nSYNC ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nMOT_ON ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nSTEP_DIR ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nSTEP ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; CLKUSB ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; LPDIR ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; BA[1] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; BA[0] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nIRQ[7] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nIRQ[6] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nIRQ[5] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nIRQ[4] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nIRQ[3] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nIRQ[2] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VA[12] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VA[11] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VA[10] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VA[9] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VA[8] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VA[7] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VA[6] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VA[5] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VA[4] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VA[3] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VA[2] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VA[1] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VA[0] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VB[7] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VB[6] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VB[5] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VB[4] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VB[3] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VB[2] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VB[1] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VB[0] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VDM[3] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VDM[2] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VDM[1] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VDM[0] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VG[7] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VG[6] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VG[5] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VG[4] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VG[3] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VG[2] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VG[1] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VG[0] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VR[7] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VR[6] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VR[5] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VR[4] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VR[3] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VR[2] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VR[1] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VR[0] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; TOUT0 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nMASTER ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; FB_AD[31] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; FB_AD[30] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; FB_AD[29] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; FB_AD[28] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; FB_AD[27] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; FB_AD[26] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; FB_AD[25] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; FB_AD[24] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; FB_AD[23] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; FB_AD[22] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; FB_AD[21] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; FB_AD[20] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; FB_AD[19] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; FB_AD[18] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; FB_AD[17] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; FB_AD[16] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; FB_AD[15] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; FB_AD[14] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; FB_AD[13] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; FB_AD[12] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; FB_AD[11] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; FB_AD[10] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; FB_AD[9] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; FB_AD[8] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; FB_AD[7] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; FB_AD[6] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; FB_AD[5] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; FB_AD[4] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; FB_AD[3] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; FB_AD[2] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; FB_AD[1] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; FB_AD[0] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VD[31] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VD[30] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VD[29] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VD[28] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VD[27] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VD[26] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VD[25] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VD[24] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VD[23] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VD[22] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VD[21] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VD[20] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VD[19] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VD[18] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VD[17] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VD[16] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VD[15] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VD[14] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VD[13] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VD[12] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VD[11] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VD[10] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VD[9] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VD[8] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VD[7] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VD[6] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VD[5] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VD[4] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VD[3] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VD[2] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VD[1] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VD[0] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VDQS[3] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VDQS[2] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VDQS[1] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VDQS[0] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; IO[17] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; IO[16] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; IO[15] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; IO[14] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; IO[13] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; IO[12] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; IO[11] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; IO[10] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; IO[9] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; IO[8] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; IO[7] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; IO[6] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; IO[5] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; IO[4] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; IO[3] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; IO[2] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; IO[1] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; IO[0] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; SRD[15] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; SRD[14] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; SRD[13] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; SRD[12] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; SRD[11] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; SRD[10] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; SRD[9] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; SRD[8] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; SRD[7] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; SRD[6] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; SRD[5] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; SRD[4] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; SRD[3] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; SRD[2] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; SRD[1] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; SRD[0] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; SCSI_PAR ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nSCSI_SEL ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nSCSI_BUSY ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nSCSI_RST ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; SD_CD_DATA3 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; SD_CMD_D1 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; ACSI_D[7] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; ACSI_D[6] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; ACSI_D[5] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; ACSI_D[4] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; ACSI_D[3] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; ACSI_D[2] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; ACSI_D[1] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; ACSI_D[0] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; LP_D[7] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; LP_D[6] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; LP_D[5] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; LP_D[4] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; LP_D[3] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; LP_D[2] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; LP_D[1] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; LP_D[0] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; SCSI_D[7] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; SCSI_D[6] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; SCSI_D[5] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; SCSI_D[4] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; SCSI_D[3] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; SCSI_D[2] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; SCSI_D[1] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; SCSI_D[0] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nRSTO_MCF ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nFB_WR ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nFB_CS1 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; FB_SIZE1 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; FB_SIZE0 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; FB_ALE ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nFB_CS2 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; MAIN_CLK ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nDACK1 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nFB_OE ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; IDE_RDY ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; CLK33M ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; HD_DD ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nINDEX ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; RxD ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nWP ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; LP_BUSY ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; DCD ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; CTS ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; TRACK00 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; IDE_INT ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; RI ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nPCI_INTD ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nPCI_INTC ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nPCI_INTB ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nPCI_INTA ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; DVI_INT ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; E0_INT ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; PIC_INT ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; PIC_AMKB_RX ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; MIDI_IN ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; nRD_DATA ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; AMKB_RX ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -+--------------------+-----------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+ - - -+-------------------------------------------------------------------------+ -; Fitter Device Options ; -+----------------------------------------------+--------------------------+ -; Option ; Setting ; -+----------------------------------------------+--------------------------+ -; Enable user-supplied start-up clock (CLKUSR) ; Off ; -; Enable device-wide reset (DEV_CLRn) ; On ; -; Enable device-wide output enable (DEV_OE) ; On ; -; Enable INIT_DONE output ; Off ; -; Configuration scheme ; Passive Serial ; -; Error detection CRC ; Off ; -; Enable Open Drain on CRC Error pin ; Off ; -; Configuration Voltage Level ; Auto ; -; Force Configuration Voltage Level ; On ; -; nCEO ; As output driving ground ; -; Data[0] ; As input tri-stated ; -; Data[1]/ASDO ; As input tri-stated ; -; Data[7..2] ; Unreserved ; -; FLASH_nCE/nCSO ; As input tri-stated ; -; Other Active Parallel pins ; Unreserved ; -; DCLK ; As input tri-stated ; -; Base pin-out file on sameframe device ; Off ; -+----------------------------------------------+--------------------------+ - - -+------------------------------------+ -; Operating Settings and Conditions ; -+---------------------------+--------+ -; Setting ; Value ; -+---------------------------+--------+ -; Nominal Core Voltage ; 1.20 V ; -; Low Junction Temperature ; 0 °C ; -; High Junction Temperature ; 85 °C ; -+---------------------------+--------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Estimated Delay Added for Hold Timing ; -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+ -; Source Clock(s) ; Destination Clock(s) ; Delay Added in ns ; -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+ -; I/O ; MAIN_CLK ; 245.886 ; -; MAIN_CLK ; altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|clk[2],altpll4:inst22|altpll:altpll_component|altpll_c6j2:auto_generated|clk[0],CLK33M,MAIN_CLK ; 444.109 ; -; altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|clk[2],altpll4:inst22|altpll:altpll_component|altpll_c6j2:auto_generated|clk[0],CLK33M,MAIN_CLK ; altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|clk[2],altpll4:inst22|altpll:altpll_component|altpll_c6j2:auto_generated|clk[0],CLK33M,MAIN_CLK ; 1092.93 ; -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+ -Note: For more information on problematic transfers, consider running the Fitter again with the Optimize hold timing option (Settings Menu) turned off. -This will disable optimization of problematic paths and expose them for further analysis using either the TimeQuest Timing Analyzer or the Classic Timing Analyzer. - - -+-----------------+ -; Fitter Messages ; -+-----------------+ -Info: ******************************************************************* -Info: Running Quartus II Fitter - Info: Version 9.1 Build 350 03/24/2010 Service Pack 2 SJ Web Edition - Info: Processing started: Wed Dec 15 02:21:57 2010 -Info: Command: quartus_fit --read_settings_files=off --write_settings_files=off firebeei1 -c firebee1 -Info: Selected device EP3C40F484C6 for design "firebee1" -Info: Core supply voltage is 1.2V -Info: Low junction temperature is 0 degrees C -Info: High junction temperature is 85 degrees C -Info: Implemented PLL "altpll1:inst|altpll:altpll_component|altpll_pul2:auto_generated|pll1" as Cyclone III PLL type - Info: Implementing clock multiplication of 1, clock division of 66, and phase shift of 0 degrees (0 ps) for altpll1:inst|altpll:altpll_component|altpll_pul2:auto_generated|clk[0] port - Info: Implementing clock multiplication of 67, clock division of 900, and phase shift of 0 degrees (0 ps) for altpll1:inst|altpll:altpll_component|altpll_pul2:auto_generated|clk[1] port - Info: Implementing clock multiplication of 67, clock division of 90, and phase shift of 0 degrees (0 ps) for altpll1:inst|altpll:altpll_component|altpll_pul2:auto_generated|clk[2] port -Info: None of the inputs fed by the compensated output clock of PLL "altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|pll1" in Source Synchronous mode are set as the compensated input - Info: Input "nRD_DATA" that is fed by the compensated output clock of PLL "altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|pll1" in Source Synchronous mode has been set as a compensated input -Warning: Implemented PLL "altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|pll1" as Cyclone III PLL type, but with warnings - Warning: Can't achieve requested value multiplication of 16 for clock output altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|clk[3] of parameter multiplication factor -- achieved value of multiplication of 109 - Warning: Can't achieve requested value division of 11 for clock output altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|clk[3] of parameter division factor -- achieved value of division of 75 - Info: Implementing clock multiplication of 109, clock division of 1800, and phase shift of 0 degrees (0 ps) for altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|clk[0] port - Info: Implementing clock multiplication of 109, clock division of 225, and phase shift of 0 degrees (0 ps) for altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|clk[1] port - Info: Implementing clock multiplication of 109, clock division of 144, and phase shift of 0 degrees (0 ps) for altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|clk[2] port - Info: Implementing clock multiplication of 109, clock division of 75, and phase shift of 0 degrees (0 ps) for altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|clk[3] port -Info: None of the inputs fed by the compensated output clock of PLL "altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|pll1" in Source Synchronous mode are set as the compensated input - Info: Input "MAIN_CLK" that is fed by the compensated output clock of PLL "altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|pll1" in Source Synchronous mode has been set as a compensated input -Info: Implemented PLL "altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|pll1" as Cyclone III PLL type - Info: Implementing clock multiplication of 4, clock division of 1, and phase shift of 240 degrees (5051 ps) for altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[0] port - Info: Implementing clock multiplication of 4, clock division of 1, and phase shift of 0 degrees (0 ps) for altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[1] port - Info: Implementing clock multiplication of 4, clock division of 1, and phase shift of 180 degrees (3788 ps) for altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[2] port - Info: Implementing clock multiplication of 4, clock division of 1, and phase shift of 105 degrees (2210 ps) for altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[3] port - Info: Implementing clock multiplication of 2, clock division of 1, and phase shift of 270 degrees (11364 ps) for altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[4] port -Info: Implemented PLL "altpll4:inst22|altpll:altpll_component|altpll_c6j2:auto_generated|pll1" as Cyclone III PLL type - Info: Implementing clock multiplication of 2, clock division of 1, and phase shift of 0 degrees (0 ps) for altpll4:inst22|altpll:altpll_component|altpll_c6j2:auto_generated|clk[0] port -Critical Warning: The input clock frequency specification of PLL "altpll4:inst22|altpll:altpll_component|altpll_c6j2:auto_generated|pll1" is different from the output clock frequency specification of the source PLLs that are driving it - Critical Warning: Input port inclk[0] of PLL "altpll4:inst22|altpll:altpll_component|altpll_c6j2:auto_generated|pll1" and its source clk[3] (the output port of PLL "altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|pll1") have different specified frequencies, 48.0 MHz and 48.0 MHz respectively -Info: Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time -Warning: Feature LogicLock is only available with a valid subscription license. Please purchase a software subscription to gain full access to this feature. -Info: Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices - Info: Device EP3C16F484C6 is compatible - Info: Device EP3C55F484C6 is compatible - Info: Device EP3C80F484C6 is compatible -Info: Fitter converted 7 user pins into dedicated programming pins - Info: Pin ~ALTERA_ASDO_DATA1~ is reserved at location D1 - Info: Pin ~ALTERA_FLASH_nCE_nCSO~ is reserved at location E2 - Info: Pin ~ALTERA_DCLK~ is reserved at location K2 - Info: Pin ~ALTERA_DATA0~ is reserved at location K1 - Info: Pin ~ALTERA_DEV_OE~ is reserved at location N22 - Info: Pin ~ALTERA_DEV_CLRn~ is reserved at location N21 - Info: Pin ~ALTERA_nCEO~ is reserved at location K22 -Warning: Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details -Info: Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements. -Warning: The parameters of the PLL altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|pll1 and the PLL altpll1:inst|altpll:altpll_component|altpll_pul2:auto_generated|pll1 do not have the same values - hence these PLLs cannot be merged - Info: The values of the parameter "M" do not match for the PLL atoms altpll1:inst|altpll:altpll_component|altpll_pul2:auto_generated|pll1 and PLL altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|pll1 - Info: The value of the parameter "M" for the PLL atom altpll1:inst|altpll:altpll_component|altpll_pul2:auto_generated|pll1 is 67 - Info: The value of the parameter "M" for the PLL atom altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|pll1 is 109 - Info: The values of the parameter "N" do not match for the PLL atoms altpll1:inst|altpll:altpll_component|altpll_pul2:auto_generated|pll1 and PLL altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|pll1 - Info: The value of the parameter "N" for the PLL atom altpll1:inst|altpll:altpll_component|altpll_pul2:auto_generated|pll1 is 6 - Info: The value of the parameter "N" for the PLL atom altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|pll1 is 3 - Info: The values of the parameter "LOOP FILTER R" do not match for the PLL atoms altpll1:inst|altpll:altpll_component|altpll_pul2:auto_generated|pll1 and PLL altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|pll1 - Info: The value of the parameter "LOOP FILTER R" for the PLL atom altpll1:inst|altpll:altpll_component|altpll_pul2:auto_generated|pll1 is 12000 - Info: The value of the parameter "LOOP FILTER R" for the PLL atom altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|pll1 is 10000 - Info: The values of the parameter "VCO POST SCALE" do not match for the PLL atoms altpll1:inst|altpll:altpll_component|altpll_pul2:auto_generated|pll1 and PLL altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|pll1 - Info: The value of the parameter "VCO POST SCALE" for the PLL atom altpll1:inst|altpll:altpll_component|altpll_pul2:auto_generated|pll1 is 2 - Info: The value of the parameter "VCO POST SCALE" for the PLL atom altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|pll1 is 1 - Info: The values of the parameter "Min VCO Period" do not match for the PLL atoms altpll1:inst|altpll:altpll_component|altpll_pul2:auto_generated|pll1 and PLL altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|pll1 - Info: The value of the parameter "Min VCO Period" for the PLL atom altpll1:inst|altpll:altpll_component|altpll_pul2:auto_generated|pll1 is 1538 - Info: The value of the parameter "Min VCO Period" for the PLL atom altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|pll1 is 769 - Info: The values of the parameter "Max VCO Period" do not match for the PLL atoms altpll1:inst|altpll:altpll_component|altpll_pul2:auto_generated|pll1 and PLL altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|pll1 - Info: The value of the parameter "Max VCO Period" for the PLL atom altpll1:inst|altpll:altpll_component|altpll_pul2:auto_generated|pll1 is 3333 - Info: The value of the parameter "Max VCO Period" for the PLL atom altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|pll1 is 1666 - Info: The values of the parameter "Center VCO Period" do not match for the PLL atoms altpll1:inst|altpll:altpll_component|altpll_pul2:auto_generated|pll1 and PLL altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|pll1 - Info: The value of the parameter "Center VCO Period" for the PLL atom altpll1:inst|altpll:altpll_component|altpll_pul2:auto_generated|pll1 is 1538 - Info: The value of the parameter "Center VCO Period" for the PLL atom altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|pll1 is 769 - Info: The values of the parameter "Min Lock Period" do not match for the PLL atoms altpll1:inst|altpll:altpll_component|altpll_pul2:auto_generated|pll1 and PLL altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|pll1 - Info: The value of the parameter "Min Lock Period" for the PLL atom altpll1:inst|altpll:altpll_component|altpll_pul2:auto_generated|pll1 is 17174 - Info: The value of the parameter "Min Lock Period" for the PLL atom altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|pll1 is 27940 - Info: The values of the parameter "Max Lock Period" do not match for the PLL atoms altpll1:inst|altpll:altpll_component|altpll_pul2:auto_generated|pll1 and PLL altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|pll1 - Info: The value of the parameter "Max Lock Period" for the PLL atom altpll1:inst|altpll:altpll_component|altpll_pul2:auto_generated|pll1 is 30864 - Info: The value of the parameter "Max Lock Period" for the PLL atom altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|pll1 is 59523 - Info: The values of the parameter "Compensate Clock" do not match for the PLL atoms altpll1:inst|altpll:altpll_component|altpll_pul2:auto_generated|pll1 and PLL altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|pll1 - Info: The value of the parameter "Compensate Clock" for the PLL atom altpll1:inst|altpll:altpll_component|altpll_pul2:auto_generated|pll1 is clock0 - Info: The value of the parameter "Compensate Clock" for the PLL atom altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|pll1 is clock1 -Warning: The input ports of the PLL altpll4:inst22|altpll:altpll_component|altpll_c6j2:auto_generated|pll1 and the PLL altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|pll1 are mismatched, preventing the PLLs to be merged - Warning: Input clock frequency of PLL altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|pll1 differs from input clock frequency of PLL altpll4:inst22|altpll:altpll_component|altpll_c6j2:auto_generated|pll1 -Warning: Implemented PLL "altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|pll1" as Cyclone III PLL type, but with warnings - Warning: Can't achieve requested value multiplication of 16 for clock output altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|clk[3] of parameter multiplication factor -- achieved value of multiplication of 109 - Warning: Can't achieve requested value division of 11 for clock output altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|clk[3] of parameter division factor -- achieved value of division of 75 - Info: Implementing clock multiplication of 109, clock division of 1800, and phase shift of 0 degrees (0 ps) for altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|clk[0] port - Info: Implementing clock multiplication of 109, clock division of 225, and phase shift of 0 degrees (0 ps) for altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|clk[1] port - Info: Implementing clock multiplication of 109, clock division of 144, and phase shift of 0 degrees (0 ps) for altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|clk[2] port - Info: Implementing clock multiplication of 109, clock division of 75, and phase shift of 0 degrees (0 ps) for altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|clk[3] port -Info: Implemented PLL "altpll4:inst22|altpll:altpll_component|altpll_c6j2:auto_generated|pll1" as Cyclone III PLL type - Info: Implementing clock multiplication of 2, clock division of 1, and phase shift of 0 degrees (0 ps) for altpll4:inst22|altpll:altpll_component|altpll_c6j2:auto_generated|clk[0] port -Critical Warning: Input pin "CLK33M" feeds inclk port of PLL "altpll1:inst|altpll:altpll_component|altpll_pul2:auto_generated|pll1" by global clock - I/O timing will be affected -Info: Timing-driven compilation is using the Classic Timing Analyzer -Info: Detected fmax, tsu, tco, and/or tpd requirements -- optimizing circuit to achieve only the specified requirements -Info: Automatically promoted node altpll1:inst|altpll:altpll_component|altpll_pul2:auto_generated|clk[0] (placed in counter C1 of PLL_3) - Info: Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G14 -Info: Automatically promoted node altpll1:inst|altpll:altpll_component|altpll_pul2:auto_generated|clk[1] (placed in counter C2 of PLL_3) - Info: Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G12 -Info: Automatically promoted node altpll1:inst|altpll:altpll_component|altpll_pul2:auto_generated|clk[2] (placed in counter C3 of PLL_3) - Info: Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G13 -Info: Automatically promoted node altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[0] (placed in counter C0 of PLL_1) - Info: Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G3 -Info: Automatically promoted node altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[1] (placed in counter C3 of PLL_1) - Info: Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G1 -Info: Automatically promoted node altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[2] (placed in counter C2 of PLL_1) - Info: Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G0 -Info: Automatically promoted node altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[3] (placed in counter C4 of PLL_1) - Info: Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G2 -Info: Automatically promoted node altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[4] (placed in counter C1 of PLL_1) - Info: Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G4 -Info: Automatically promoted node altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|clk[0] (placed in counter C1 of PLL_4) - Info: Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G16 -Info: Automatically promoted node altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|clk[1] (placed in counter C2 of PLL_4) - Info: Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G17 -Info: Automatically promoted node altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|clk[2] (placed in counter C3 of PLL_4) - Info: Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G18 -Info: Automatically promoted node altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|clk[3] (placed in counter C4 of PLL_4) - Info: Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G19 -Info: Automatically promoted node altpll4:inst22|altpll:altpll_component|altpll_c6j2:auto_generated|clk[0] (placed in counter C0 of PLL_2) - Info: Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G8 -Info: Automatically promoted node CLK33M~input (placed in PIN AB12 (CLK12, DIFFCLK_7n)) - Info: Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G15 - Info: Following destination nodes may be non-global or may not use global or regional clocks - Info: Destination node Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|PIXEL_CLK~0 - Info: Destination node Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|PIXEL_CLK~3 - Info: Destination node Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CLK17M -Info: Automatically promoted node Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|PIXEL_CLK - Info: Automatically promoted destinations to use location or clock signal Global Clock - Info: Following destination nodes may be non-global or may not use global or regional clocks - Info: Destination node Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|HSYNC - Info: Destination node Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VSYNC -Info: Automatically promoted node inst25 - Info: Automatically promoted destinations to use location or clock signal Global Clock - Info: Following destination nodes may be non-global or may not use global or regional clocks - Info: Destination node FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|nIDE_WR~reg0 - Info: Destination node FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|nIDE_RD~reg0 - Info: Destination node FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|MFM_In - Info: Destination node FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|DTACK_OUTn - Info: Destination node FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT|TDRE - Info: Destination node FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|INT_PASS[10] - Info: Destination node FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|INT_PASS[14] - Info: Destination node FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|INT_PASS[15] - Info: Destination node FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|INT_PASS[12] - Info: Destination node FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|INT_PASS[13] - Info: Non-global destination nodes limited to 10 nodes -Info: Automatically promoted node FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|CLR_FIFO - Info: Automatically promoted destinations to use location or clock signal Global Clock -Info: Automatically promoted node Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CLR_FIFO - Info: Automatically promoted destinations to use location or clock signal Global Clock - Info: Following destination nodes may be non-global or may not use global or regional clocks - Info: Destination node Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|CLR_FIFO_SYNC -Info: Automatically promoted node Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|dffpipe_9d9:wraclr|dffe20a[0] - Info: Automatically promoted destinations to use location or clock signal Global Clock - Info: Following destination nodes may be non-global or may not use global or regional clocks - Info: Destination node Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_njc:wrptr_gp|_~0 - Info: Destination node Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|valid_wrreq~0 -Info: Automatically promoted node FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|process_8~2 - Info: Automatically promoted destinations to use location or clock signal Global Clock -Info: Following DDIO Input nodes are constrained by the Fitter to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[31]" is constrained to location LAB_X43_Y1_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[31]" is constrained to location LAB_X43_Y1_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[31]" is constrained to location LAB_X43_Y1_N0 to improve DDIO timing - Info: Node "VD[31]~input" is constrained to location IOIBUF_X43_Y0_N1 to improve DDIO timing - Info: Node "VD[31]" is constrained to location PIN U12 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[30]" is constrained to location LAB_X41_Y1_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[30]" is constrained to location LAB_X41_Y1_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[30]" is constrained to location LAB_X41_Y1_N0 to improve DDIO timing - Info: Node "VD[30]~input" is constrained to location IOIBUF_X41_Y0_N29 to improve DDIO timing - Info: Node "VD[30]" is constrained to location PIN V12 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[29]" is constrained to location LAB_X38_Y1_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[29]" is constrained to location LAB_X38_Y1_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[29]" is constrained to location LAB_X38_Y1_N0 to improve DDIO timing - Info: Node "VD[29]~input" is constrained to location IOIBUF_X38_Y0_N22 to improve DDIO timing - Info: Node "VD[29]" is constrained to location PIN AB13 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[28]" is constrained to location LAB_X43_Y1_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[28]" is constrained to location LAB_X43_Y1_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[28]" is constrained to location LAB_X43_Y1_N0 to improve DDIO timing - Info: Node "VD[28]~input" is constrained to location IOIBUF_X43_Y0_N29 to improve DDIO timing - Info: Node "VD[28]" is constrained to location PIN W13 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[27]" is constrained to location LAB_X48_Y1_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[27]" is constrained to location LAB_X48_Y1_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[27]" is constrained to location LAB_X48_Y1_N0 to improve DDIO timing - Info: Node "VD[27]~input" is constrained to location IOIBUF_X48_Y0_N29 to improve DDIO timing - Info: Node "VD[27]" is constrained to location PIN V13 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[26]" is constrained to location LAB_X38_Y1_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[26]" is constrained to location LAB_X38_Y1_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[26]" is constrained to location LAB_X38_Y1_N0 to improve DDIO timing - Info: Node "VD[26]~input" is constrained to location IOIBUF_X38_Y0_N8 to improve DDIO timing - Info: Node "VD[26]" is constrained to location PIN AB14 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[25]" is constrained to location LAB_X38_Y1_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[25]" is constrained to location LAB_X38_Y1_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[25]" is constrained to location LAB_X38_Y1_N0 to improve DDIO timing - Info: Node "VD[25]~input" is constrained to location IOIBUF_X38_Y0_N15 to improve DDIO timing - Info: Node "VD[25]" is constrained to location PIN AA14 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[24]" is constrained to location LAB_X43_Y1_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[24]" is constrained to location LAB_X43_Y1_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[24]" is constrained to location LAB_X43_Y1_N0 to improve DDIO timing - Info: Node "VD[24]~input" is constrained to location IOIBUF_X43_Y0_N8 to improve DDIO timing - Info: Node "VD[24]" is constrained to location PIN AB15 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[23]" is constrained to location LAB_X45_Y1_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[23]" is constrained to location LAB_X45_Y1_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[23]" is constrained to location LAB_X45_Y1_N0 to improve DDIO timing - Info: Node "VD[23]~input" is constrained to location IOIBUF_X45_Y0_N15 to improve DDIO timing - Info: Node "VD[23]" is constrained to location PIN AB16 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[22]" is constrained to location LAB_X48_Y1_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[22]" is constrained to location LAB_X48_Y1_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[22]" is constrained to location LAB_X48_Y1_N0 to improve DDIO timing - Info: Node "VD[22]~input" is constrained to location IOIBUF_X48_Y0_N22 to improve DDIO timing - Info: Node "VD[22]" is constrained to location PIN W14 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[21]" is constrained to location LAB_X50_Y1_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[21]" is constrained to location LAB_X50_Y1_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[21]" is constrained to location LAB_X50_Y1_N0 to improve DDIO timing - Info: Node "VD[21]~input" is constrained to location IOIBUF_X50_Y0_N1 to improve DDIO timing - Info: Node "VD[21]" is constrained to location PIN V15 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[20]" is constrained to location LAB_X50_Y1_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[20]" is constrained to location LAB_X50_Y1_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[20]" is constrained to location LAB_X50_Y1_N0 to improve DDIO timing - Info: Node "VD[20]~input" is constrained to location IOIBUF_X50_Y0_N29 to improve DDIO timing - Info: Node "VD[20]" is constrained to location PIN U13 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[19]" is constrained to location LAB_X50_Y1_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[19]" is constrained to location LAB_X50_Y1_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[19]" is constrained to location LAB_X50_Y1_N0 to improve DDIO timing - Info: Node "VD[19]~input" is constrained to location IOIBUF_X50_Y0_N22 to improve DDIO timing - Info: Node "VD[19]" is constrained to location PIN V14 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[18]" is constrained to location LAB_X38_Y1_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[18]" is constrained to location LAB_X38_Y1_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[18]" is constrained to location LAB_X38_Y1_N0 to improve DDIO timing - Info: Node "VD[18]~input" is constrained to location IOIBUF_X38_Y0_N29 to improve DDIO timing - Info: Node "VD[18]" is constrained to location PIN AA13 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[17]" is constrained to location LAB_X43_Y1_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[17]" is constrained to location LAB_X43_Y1_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[17]" is constrained to location LAB_X43_Y1_N0 to improve DDIO timing - Info: Node "VD[17]~input" is constrained to location IOIBUF_X43_Y0_N22 to improve DDIO timing - Info: Node "VD[17]" is constrained to location PIN Y13 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[16]" is constrained to location LAB_X45_Y1_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[16]" is constrained to location LAB_X45_Y1_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[16]" is constrained to location LAB_X45_Y1_N0 to improve DDIO timing - Info: Node "VD[16]~input" is constrained to location IOIBUF_X45_Y0_N8 to improve DDIO timing - Info: Node "VD[16]" is constrained to location PIN T12 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[15]" is constrained to location LAB_X66_Y15_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[15]" is constrained to location LAB_X66_Y15_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[15]" is constrained to location LAB_X66_Y15_N0 to improve DDIO timing - Info: Node "VD[15]~input" is constrained to location IOIBUF_X67_Y15_N8 to improve DDIO timing - Info: Node "VD[15]" is constrained to location PIN N20 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[14]" is constrained to location LAB_X66_Y13_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[14]" is constrained to location LAB_X66_Y13_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[14]" is constrained to location LAB_X66_Y13_N0 to improve DDIO timing - Info: Node "VD[14]~input" is constrained to location IOIBUF_X67_Y13_N8 to improve DDIO timing - Info: Node "VD[14]" is constrained to location PIN R22 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[13]" is constrained to location LAB_X66_Y14_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[13]" is constrained to location LAB_X66_Y14_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[13]" is constrained to location LAB_X66_Y14_N0 to improve DDIO timing - Info: Node "VD[13]~input" is constrained to location IOIBUF_X67_Y14_N22 to improve DDIO timing - Info: Node "VD[13]" is constrained to location PIN P20 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[12]" is constrained to location LAB_X66_Y17_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[12]" is constrained to location LAB_X66_Y17_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[12]" is constrained to location LAB_X66_Y17_N0 to improve DDIO timing - Info: Node "VD[12]~input" is constrained to location IOIBUF_X67_Y17_N22 to improve DDIO timing - Info: Node "VD[12]" is constrained to location PIN N17 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[11]" is constrained to location LAB_X66_Y13_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[11]" is constrained to location LAB_X66_Y13_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[11]" is constrained to location LAB_X66_Y13_N0 to improve DDIO timing - Info: Node "VD[11]~input" is constrained to location IOIBUF_X67_Y13_N1 to improve DDIO timing - Info: Node "VD[11]" is constrained to location PIN R21 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[10]" is constrained to location LAB_X66_Y10_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[10]" is constrained to location LAB_X66_Y10_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[10]" is constrained to location LAB_X66_Y10_N0 to improve DDIO timing - Info: Node "VD[10]~input" is constrained to location IOIBUF_X67_Y10_N15 to improve DDIO timing - Info: Node "VD[10]" is constrained to location PIN P17 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[9]" is constrained to location LAB_X66_Y12_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[9]" is constrained to location LAB_X66_Y12_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[9]" is constrained to location LAB_X66_Y12_N0 to improve DDIO timing - Info: Node "VD[9]~input" is constrained to location IOIBUF_X67_Y12_N22 to improve DDIO timing - Info: Node "VD[9]" is constrained to location PIN R18 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[8]" is constrained to location LAB_X66_Y10_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[8]" is constrained to location LAB_X66_Y10_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[8]" is constrained to location LAB_X66_Y10_N0 to improve DDIO timing - Info: Node "VD[8]~input" is constrained to location IOIBUF_X67_Y10_N8 to improve DDIO timing - Info: Node "VD[8]" is constrained to location PIN V22 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[7]" is constrained to location LAB_X66_Y11_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[7]" is constrained to location LAB_X66_Y11_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[7]" is constrained to location LAB_X66_Y11_N0 to improve DDIO timing - Info: Node "VD[7]~input" is constrained to location IOIBUF_X67_Y11_N1 to improve DDIO timing - Info: Node "VD[7]" is constrained to location PIN U21 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[6]" is constrained to location LAB_X66_Y12_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[6]" is constrained to location LAB_X66_Y12_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[6]" is constrained to location LAB_X66_Y12_N0 to improve DDIO timing - Info: Node "VD[6]~input" is constrained to location IOIBUF_X67_Y12_N15 to improve DDIO timing - Info: Node "VD[6]" is constrained to location PIN R19 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[5]" is constrained to location LAB_X66_Y10_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[5]" is constrained to location LAB_X66_Y10_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[5]" is constrained to location LAB_X66_Y10_N0 to improve DDIO timing - Info: Node "VD[5]~input" is constrained to location IOIBUF_X67_Y10_N22 to improve DDIO timing - Info: Node "VD[5]" is constrained to location PIN R17 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[4]" is constrained to location LAB_X66_Y14_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[4]" is constrained to location LAB_X66_Y14_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[4]" is constrained to location LAB_X66_Y14_N0 to improve DDIO timing - Info: Node "VD[4]~input" is constrained to location IOIBUF_X67_Y14_N1 to improve DDIO timing - Info: Node "VD[4]" is constrained to location PIN P21 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[3]" is constrained to location LAB_X66_Y11_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[3]" is constrained to location LAB_X66_Y11_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[3]" is constrained to location LAB_X66_Y11_N0 to improve DDIO timing - Info: Node "VD[3]~input" is constrained to location IOIBUF_X67_Y11_N22 to improve DDIO timing - Info: Node "VD[3]" is constrained to location PIN R20 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[2]" is constrained to location LAB_X66_Y14_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[2]" is constrained to location LAB_X66_Y14_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[2]" is constrained to location LAB_X66_Y14_N0 to improve DDIO timing - Info: Node "VD[2]~input" is constrained to location IOIBUF_X67_Y14_N8 to improve DDIO timing - Info: Node "VD[2]" is constrained to location PIN P22 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[1]" is constrained to location LAB_X66_Y18_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[1]" is constrained to location LAB_X66_Y18_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[1]" is constrained to location LAB_X66_Y18_N0 to improve DDIO timing - Info: Node "VD[1]~input" is constrained to location IOIBUF_X67_Y18_N1 to improve DDIO timing - Info: Node "VD[1]" is constrained to location PIN M21 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[0]" is constrained to location LAB_X66_Y18_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[0]" is constrained to location LAB_X66_Y18_N0 to improve DDIO timing - Info: Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[0]" is constrained to location LAB_X66_Y18_N0 to improve DDIO timing - Info: Node "VD[0]~input" is constrained to location IOIBUF_X67_Y18_N8 to improve DDIO timing - Info: Node "VD[0]" is constrained to location PIN M22 to improve DDIO timing -Info: Starting register packing -Extra Info: Performing register packing on registers with non-logic cell location assignments -Extra Info: Completed register packing on registers with non-logic cell location assignments -Extra Info: Started Fast Input/Output/OE register processing -Warning: Can't pack node Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|MCS[0] to I/O pin - Warning: Can't pack node Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|MCS[0] and I/O node MAIN_CLK -- I/O node is a dedicated I/O pin -Extra Info: Finished Fast Input/Output/OE register processing -Extra Info: Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density -Extra Info: Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks -Info: Finished register packing - Extra Info: Packed 33 registers into blocks of type I/O Input Buffer - Extra Info: Packed 25 registers into blocks of type I/O Output Buffer - Extra Info: Created 9 register duplicates -Warning: PLL "altpll1:inst|altpll:altpll_component|altpll_pul2:auto_generated|pll1" in Source Synchronous mode with compensated output clock set to clk[0] is not fully compensated because it does not feed an I/O input register -Warning: PLL "altpll1:inst|altpll:altpll_component|altpll_pul2:auto_generated|pll1" input clock inclk[0] is not fully compensated and may have reduced jitter performance because it is fed by a non-dedicated input - Info: Input port INCLK[0] of node "altpll1:inst|altpll:altpll_component|altpll_pul2:auto_generated|pll1" is driven by CLK33M~inputclkctrl which is OUTCLK output port of Clock control block type node CLK33M~inputclkctrl -Warning: PLL "altpll1:inst|altpll:altpll_component|altpll_pul2:auto_generated|pll1" output port clk[2] feeds output pin "CLK24M576~output" via non-dedicated routing -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance -Warning: PLL "altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|pll1" output port clk[2] feeds output pin "CLK25M~output" via non-dedicated routing -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance -Warning: PLL "altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|pll1" output port clk[3] feeds output pin "CLKUSB~output" via non-dedicated routing -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance -Warning: PLL "altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|pll1" output port clk[0] feeds output pin "VDQS[3]~output" via non-dedicated routing -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance -Warning: PLL "altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|pll1" output port clk[0] feeds output pin "VDQS[2]~output" via non-dedicated routing -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance -Warning: PLL "altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|pll1" output port clk[0] feeds output pin "VDQS[1]~output" via non-dedicated routing -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance -Warning: PLL "altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|pll1" output port clk[0] feeds output pin "VDQS[0]~output" via non-dedicated routing -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance -Warning: PLL "altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|pll1" output port clk[0] feeds output pin "nDDR_CLK~output" via non-dedicated routing -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance -Warning: PLL "altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|pll1" output port clk[0] feeds output pin "DDR_CLK~output" via non-dedicated routing -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance -Warning: PLL "altpll4:inst22|altpll:altpll_component|altpll_c6j2:auto_generated|pll1" input clock inclk[0] is not fully compensated and may have reduced jitter performance because it is fed by a non-dedicated input - Info: Input port INCLK[0] of node "altpll4:inst22|altpll:altpll_component|altpll_c6j2:auto_generated|pll1" is driven by altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|clk[3]~clkctrl which is OUTCLK output port of Clock control block type node altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated|clk[3]~clkctrl -Info: Starting physical synthesis optimizations for speed -Info: Starting physical synthesis algorithm combinational resynthesis using boolean division -Info: Physical synthesis algorithm combinational resynthesis using boolean division complete: estimated slack improvement of 2208 ps -Info: Physical synthesis optimizations for speed complete: elapsed CPU time is 00:00:23 -Info: Fitter preparation operations ending: elapsed time is 00:00:47 -Info: Fitter placement preparation operations beginning -Info: Fitter placement preparation operations ending: elapsed time is 00:00:18 -Info: Fitter placement operations beginning -Info: Fitter placement was successful -Info: Fitter placement operations ending: elapsed time is 00:01:10 -Info: Starting physical synthesis optimizations for speed -Info: Physical synthesis optimizations for speed complete: elapsed CPU time is 00:00:05 -Info: Estimated most critical path is register to pin delay of 5.130 ns - Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LAB_X15_Y12_N0; Fanout = 3; REG Node = 'interrupt_handler:nobody|INT_LATCH[9]' - Info: 2: + IC(0.161 ns) + CELL(0.369 ns) = 0.530 ns; Loc. = LAB_X16_Y12_N0; Fanout = 1; COMB Node = 'FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[29]~359_RESYN14_BDD15' - Info: 3: + IC(0.528 ns) + CELL(0.243 ns) = 1.301 ns; Loc. = LAB_X17_Y13_N0; Fanout = 1; COMB Node = 'FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[29]~359' - Info: 4: + IC(0.172 ns) + CELL(0.130 ns) = 1.603 ns; Loc. = LAB_X17_Y13_N0; Fanout = 1; COMB Node = 'FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[29]~360' - Info: 5: + IC(1.521 ns) + CELL(2.006 ns) = 5.130 ns; Loc. = IOOBUF_X34_Y0_N23; Fanout = 1; COMB Node = 'FB_AD[29]~output' - Info: 6: + IC(0.000 ns) + CELL(0.000 ns) = 5.130 ns; Loc. = PIN_W10; Fanout = 0; PIN Node = 'FB_AD[29]' - Info: Total cell delay = 2.748 ns ( 53.57 % ) - Info: Total interconnect delay = 2.382 ns ( 46.43 % ) -Info: Fitter routing operations beginning -Info: 2 (of 32134) connections in the design require a large routing delay to satisfy hold requirements. Refer to the Fitter report for a summary of the relevant clock transfers. Also, check the circuit's timing constraints and clocking methodology, especially multicycles and gated clocks. -Info: Average interconnect usage is 13% of the available device resources - Info: Peak interconnect usage is 51% of the available device resources in the region that extends from location X22_Y11 to location X33_Y21 -Info: Fitter routing operations ending: elapsed time is 00:01:18 -Info: The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. - Info: Optimizations that may affect the design's routability were skipped -Info: Started post-fitting delay annotation -Info: Delay annotation completed successfully -Info: Auto delay chain can't change the delay chain setting on I/O pin nRD_DATA since it's a PLL compensated pin -Warning: PLL "altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|pll1" in Source Synchronous mode with compensated output clock set to clk[0] is not fully compensated because it does not feed an I/O input register -Warning: Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information. -Warning: Total number of single-ended output or bi-directional pins in bank 4 have exceeded the recommended amount in a bank where dedicated LVDS, RSDS, or mini-LVDS outputs exists. Refer to the pad placement and DC guidelines section in the Cyclone III Device I/O Features chapter of the Cyclone III Device Handbook for details on this condition. - Info: There are 32 output pin(s) with I/O standard 2.5 V and current strength 12mA - Info: Location AA13 (pad PAD_208): Pin VD[18] of type bi-directional uses 2.5 V I/O standard - Info: Location AB13 (pad PAD_209): Pin VD[29] of type bi-directional uses 2.5 V I/O standard - Info: Location AA14 (pad PAD_210): Pin VD[25] of type bi-directional uses 2.5 V I/O standard - Info: Location AB14 (pad PAD_211): Pin VD[26] of type bi-directional uses 2.5 V I/O standard - Info: Location V12 (pad PAD_213): Pin VD[30] of type bi-directional uses 2.5 V I/O standard - Info: Location W13 (pad PAD_218): Pin VD[28] of type bi-directional uses 2.5 V I/O standard - Info: Location Y13 (pad PAD_219): Pin VD[17] of type bi-directional uses 2.5 V I/O standard - Info: Location AA15 (pad PAD_220): Pin VDQS[0] of type bi-directional uses 2.5 V I/O standard - Info: Location AB15 (pad PAD_221): Pin VD[24] of type bi-directional uses 2.5 V I/O standard - Info: Location U12 (pad PAD_222): Pin VD[31] of type bi-directional uses 2.5 V I/O standard - Info: Location AA16 (pad PAD_224): Pin VDM[0] of type output uses 2.5 V I/O standard - Info: Location AB16 (pad PAD_225): Pin VD[23] of type bi-directional uses 2.5 V I/O standard - Info: Location T12 (pad PAD_226): Pin VD[16] of type bi-directional uses 2.5 V I/O standard - Info: Location V13 (pad PAD_228): Pin VD[27] of type bi-directional uses 2.5 V I/O standard - Info: Location W14 (pad PAD_229): Pin VD[22] of type bi-directional uses 2.5 V I/O standard - Info: Location U13 (pad PAD_233): Pin VD[20] of type bi-directional uses 2.5 V I/O standard - Info: Location V14 (pad PAD_234): Pin VD[19] of type bi-directional uses 2.5 V I/O standard - Info: Location U15 (pad PAD_236): Pin VCKE of type output uses 2.5 V I/O standard - Info: Location V15 (pad PAD_237): Pin VD[21] of type bi-directional uses 2.5 V I/O standard - Info: Location W15 (pad PAD_239): Pin VDQS[1] of type bi-directional uses 2.5 V I/O standard - Info: Location AB18 (pad PAD_242): Pin nVCAS of type output uses 2.5 V I/O standard - Info: Location AA17 (pad PAD_243): Pin nDDR_CLK of type output uses 2.5 V I/O standard - Info: Location AB17 (pad PAD_244): Pin DDR_CLK of type output uses 2.5 V I/O standard - Info: Location AA18 (pad PAD_245): Pin VA[12] of type output uses 2.5 V I/O standard - Info: Location AA19 (pad PAD_252): Pin BA[1] of type output uses 2.5 V I/O standard - Info: Location AB19 (pad PAD_253): Pin VA[9] of type output uses 2.5 V I/O standard - Info: Location W17 (pad PAD_257): Pin nVRAS of type output uses 2.5 V I/O standard - Info: Location Y17 (pad PAD_258): Pin nVWE of type output uses 2.5 V I/O standard - Info: Location AA20 (pad PAD_259): Pin VA[7] of type output uses 2.5 V I/O standard - Info: Location AB20 (pad PAD_260): Pin VA[8] of type output uses 2.5 V I/O standard - Info: Location V16 (pad PAD_261): Pin VDM[1] of type output uses 2.5 V I/O standard - Info: Location T16 (pad PAD_266): Pin VDQS[3] of type bi-directional uses 2.5 V I/O standard -Warning: Total number of single-ended output or bi-directional pins in bank 5 have exceeded the recommended amount in a bank where dedicated LVDS, RSDS, or mini-LVDS outputs exists. Refer to the pad placement and DC guidelines section in the Cyclone III Device I/O Features chapter of the Cyclone III Device Handbook for details on this condition. - Info: There are 30 output pin(s) with I/O standard 2.5 V and current strength 12mA - Info: Location AA22 (pad PAD_273): Pin VA[4] of type output uses 2.5 V I/O standard - Info: Location AA21 (pad PAD_274): Pin VA[6] of type output uses 2.5 V I/O standard - Info: Location T17 (pad PAD_277): Pin VDM[3] of type output uses 2.5 V I/O standard - Info: Location T18 (pad PAD_278): Pin nVCS of type output uses 2.5 V I/O standard - Info: Location W20 (pad PAD_280): Pin VA[0] of type output uses 2.5 V I/O standard - Info: Location W19 (pad PAD_285): Pin BA[0] of type output uses 2.5 V I/O standard - Info: Location Y22 (pad PAD_288): Pin VA[3] of type output uses 2.5 V I/O standard - Info: Location Y21 (pad PAD_289): Pin VA[5] of type output uses 2.5 V I/O standard - Info: Location U20 (pad PAD_290): Pin VDM[2] of type output uses 2.5 V I/O standard - Info: Location U19 (pad PAD_291): Pin VA[11] of type output uses 2.5 V I/O standard - Info: Location W22 (pad PAD_292): Pin VA[1] of type output uses 2.5 V I/O standard - Info: Location W21 (pad PAD_293): Pin VA[2] of type output uses 2.5 V I/O standard - Info: Location R17 (pad PAD_301): Pin VD[5] of type bi-directional uses 2.5 V I/O standard - Info: Location P17 (pad PAD_302): Pin VD[10] of type bi-directional uses 2.5 V I/O standard - Info: Location V22 (pad PAD_303): Pin VD[8] of type bi-directional uses 2.5 V I/O standard - Info: Location V21 (pad PAD_304): Pin VA[10] of type output uses 2.5 V I/O standard - Info: Location R20 (pad PAD_305): Pin VD[3] of type bi-directional uses 2.5 V I/O standard - Info: Location U22 (pad PAD_307): Pin VDQS[2] of type bi-directional uses 2.5 V I/O standard - Info: Location U21 (pad PAD_308): Pin VD[7] of type bi-directional uses 2.5 V I/O standard - Info: Location R18 (pad PAD_309): Pin VD[9] of type bi-directional uses 2.5 V I/O standard - Info: Location R19 (pad PAD_310): Pin VD[6] of type bi-directional uses 2.5 V I/O standard - Info: Location R22 (pad PAD_315): Pin VD[14] of type bi-directional uses 2.5 V I/O standard - Info: Location R21 (pad PAD_316): Pin VD[11] of type bi-directional uses 2.5 V I/O standard - Info: Location P20 (pad PAD_317): Pin VD[13] of type bi-directional uses 2.5 V I/O standard - Info: Location P22 (pad PAD_319): Pin VD[2] of type bi-directional uses 2.5 V I/O standard - Info: Location P21 (pad PAD_320): Pin VD[4] of type bi-directional uses 2.5 V I/O standard - Info: Location N20 (pad PAD_323): Pin VD[15] of type bi-directional uses 2.5 V I/O standard - Info: Location N17 (pad PAD_329): Pin VD[12] of type bi-directional uses 2.5 V I/O standard - Info: Location M22 (pad PAD_333): Pin VD[0] of type bi-directional uses 2.5 V I/O standard - Info: Location M21 (pad PAD_334): Pin VD[1] of type bi-directional uses 2.5 V I/O standard -Warning: 145 pins must meet Altera requirements for 3.3, 3.0, and 2.5-V interfaces. Refer to the device Application Note 447 (Interfacing Cyclone III Devices with 3.3/3.0/2.5-V LVTTL/LVCMOS I/O Systems). - Info: Pin nFB_BURST uses I/O standard 3.3-V LVTTL at T3 - Info: Pin nACSI_DRQ uses I/O standard 3.3-V LVTTL at K7 - Info: Pin nACSI_INT uses I/O standard 3.3-V LVTTL at J4 - Info: Pin nSCSI_DRQ uses I/O standard 3.3-V LVTTL at U1 - Info: Pin nSCSI_MSG uses I/O standard 3.3-V LVTTL at H2 - Info: Pin nDCHG uses I/O standard 3.3-V LVTTL at C17 - Info: Pin SD_DATA0 uses I/O standard 3.3-V LVTTL at B16 - Info: Pin SD_DATA1 uses I/O standard 3.3-V LVTTL at A16 - Info: Pin SD_DATA2 uses I/O standard 3.3-V LVTTL at B17 - Info: Pin SD_CARD_DEDECT uses I/O standard 3.3-V LVTTL at M20 - Info: Pin SD_WP uses I/O standard 3.3-V LVTTL at M19 - Info: Pin nDACK0 uses I/O standard 3.3-V LVTTL at B12 - Info: Pin WP_CF_CARD uses I/O standard 3.3-V LVTTL at T1 - Info: Pin nSCSI_C_D uses I/O standard 3.3-V LVTTL at H1 - Info: Pin nSCSI_I_O uses I/O standard 3.3-V LVTTL at J3 - Info: Pin nFB_CS3 uses I/O standard 3.3-V LVTTL at V6 - Info: Pin TOUT0 uses I/O standard 3.3-V LVTTL at T22 - Info: Pin nMASTER uses I/O standard 3.3-V LVTTL at T21 - Info: Pin FB_AD[31] uses I/O standard 3.3-V LVTTL at AA10 - Info: Pin FB_AD[30] uses I/O standard 3.3-V LVTTL at Y10 - Info: Pin FB_AD[29] uses I/O standard 3.3-V LVTTL at W10 - Info: Pin FB_AD[28] uses I/O standard 3.3-V LVTTL at V11 - Info: Pin FB_AD[27] uses I/O standard 3.3-V LVTTL at U11 - Info: Pin FB_AD[26] uses I/O standard 3.3-V LVTTL at AB9 - Info: Pin FB_AD[25] uses I/O standard 3.3-V LVTTL at AA9 - Info: Pin FB_AD[24] uses I/O standard 3.3-V LVTTL at T11 - Info: Pin FB_AD[23] uses I/O standard 3.3-V LVTTL at AB8 - Info: Pin FB_AD[22] uses I/O standard 3.3-V LVTTL at AA8 - Info: Pin FB_AD[21] uses I/O standard 3.3-V LVTTL at U10 - Info: Pin FB_AD[20] uses I/O standard 3.3-V LVTTL at T10 - Info: Pin FB_AD[19] uses I/O standard 3.3-V LVTTL at V10 - Info: Pin FB_AD[18] uses I/O standard 3.3-V LVTTL at V9 - Info: Pin FB_AD[17] uses I/O standard 3.3-V LVTTL at Y8 - Info: Pin FB_AD[16] uses I/O standard 3.3-V LVTTL at AB7 - Info: Pin FB_AD[15] uses I/O standard 3.3-V LVTTL at AA7 - Info: Pin FB_AD[14] uses I/O standard 3.3-V LVTTL at W8 - Info: Pin FB_AD[13] uses I/O standard 3.3-V LVTTL at V8 - Info: Pin FB_AD[12] uses I/O standard 3.3-V LVTTL at U9 - Info: Pin FB_AD[11] uses I/O standard 3.3-V LVTTL at Y7 - Info: Pin FB_AD[10] uses I/O standard 3.3-V LVTTL at W7 - Info: Pin FB_AD[9] uses I/O standard 3.3-V LVTTL at AB5 - Info: Pin FB_AD[8] uses I/O standard 3.3-V LVTTL at AA5 - Info: Pin FB_AD[7] uses I/O standard 3.3-V LVTTL at AB4 - Info: Pin FB_AD[6] uses I/O standard 3.3-V LVTTL at AA4 - Info: Pin FB_AD[5] uses I/O standard 3.3-V LVTTL at V7 - Info: Pin FB_AD[4] uses I/O standard 3.3-V LVTTL at W6 - Info: Pin FB_AD[3] uses I/O standard 3.3-V LVTTL at AB3 - Info: Pin FB_AD[2] uses I/O standard 3.3-V LVTTL at AA3 - Info: Pin FB_AD[1] uses I/O standard 3.3-V LVTTL at Y6 - Info: Pin FB_AD[0] uses I/O standard 3.3-V LVTTL at Y3 - Info: Pin IO[17] uses I/O standard 3.3-V LVTTL at B13 - Info: Pin IO[16] uses I/O standard 3.3-V LVTTL at A13 - Info: Pin IO[15] uses I/O standard 3.3-V LVTTL at B14 - Info: Pin IO[14] uses I/O standard 3.3-V LVTTL at A14 - Info: Pin IO[13] uses I/O standard 3.3-V LVTTL at E13 - Info: Pin IO[12] uses I/O standard 3.3-V LVTTL at D13 - Info: Pin IO[11] uses I/O standard 3.3-V LVTTL at C13 - Info: Pin IO[10] uses I/O standard 3.3-V LVTTL at B15 - Info: Pin IO[9] uses I/O standard 3.3-V LVTTL at A15 - Info: Pin IO[8] uses I/O standard 3.3-V LVTTL at G10 - Info: Pin IO[7] uses I/O standard 3.3-V LVTTL at C7 - Info: Pin IO[6] uses I/O standard 3.3-V LVTTL at C8 - Info: Pin IO[5] uses I/O standard 3.3-V LVTTL at E9 - Info: Pin IO[4] uses I/O standard 3.3-V LVTTL at B6 - Info: Pin IO[3] uses I/O standard 3.3-V LVTTL at A6 - Info: Pin IO[2] uses I/O standard 3.3-V LVTTL at B7 - Info: Pin IO[1] uses I/O standard 3.3-V LVTTL at A7 - Info: Pin IO[0] uses I/O standard 3.3-V LVTTL at A8 - Info: Pin SRD[15] uses I/O standard 3.3-V LVTTL at H10 - Info: Pin SRD[14] uses I/O standard 3.3-V LVTTL at G9 - Info: Pin SRD[13] uses I/O standard 3.3-V LVTTL at F10 - Info: Pin SRD[12] uses I/O standard 3.3-V LVTTL at D10 - Info: Pin SRD[11] uses I/O standard 3.3-V LVTTL at B10 - Info: Pin SRD[10] uses I/O standard 3.3-V LVTTL at A9 - Info: Pin SRD[9] uses I/O standard 3.3-V LVTTL at A10 - Info: Pin SRD[8] uses I/O standard 3.3-V LVTTL at B9 - Info: Pin SRD[7] uses I/O standard 3.3-V LVTTL at H11 - Info: Pin SRD[6] uses I/O standard 3.3-V LVTTL at E10 - Info: Pin SRD[5] uses I/O standard 3.3-V LVTTL at F9 - Info: Pin SRD[4] uses I/O standard 3.3-V LVTTL at C10 - Info: Pin SRD[3] uses I/O standard 3.3-V LVTTL at G11 - Info: Pin SRD[2] uses I/O standard 3.3-V LVTTL at C6 - Info: Pin SRD[1] uses I/O standard 3.3-V LVTTL at A5 - Info: Pin SRD[0] uses I/O standard 3.3-V LVTTL at B5 - Info: Pin SCSI_PAR uses I/O standard 3.3-V LVTTL at M7 - Info: Pin nSCSI_SEL uses I/O standard 3.3-V LVTTL at M8 - Info: Pin nSCSI_BUSY uses I/O standard 3.3-V LVTTL at N8 - Info: Pin nSCSI_RST uses I/O standard 3.3-V LVTTL at N6 - Info: Pin SD_CD_DATA3 uses I/O standard 3.3-V LVTTL at F13 - Info: Pin SD_CMD_D1 uses I/O standard 3.3-V LVTTL at E14 - Info: Pin ACSI_D[7] uses I/O standard 3.3-V LVTTL at H6 - Info: Pin ACSI_D[6] uses I/O standard 3.3-V LVTTL at H7 - Info: Pin ACSI_D[5] uses I/O standard 3.3-V LVTTL at D2 - Info: Pin ACSI_D[4] uses I/O standard 3.3-V LVTTL at C1 - Info: Pin ACSI_D[3] uses I/O standard 3.3-V LVTTL at C2 - Info: Pin ACSI_D[2] uses I/O standard 3.3-V LVTTL at E3 - Info: Pin ACSI_D[1] uses I/O standard 3.3-V LVTTL at G5 - Info: Pin ACSI_D[0] uses I/O standard 3.3-V LVTTL at B1 - Info: Pin LP_D[7] uses I/O standard 3.3-V LVTTL at G8 - Info: Pin LP_D[6] uses I/O standard 3.3-V LVTTL at A3 - Info: Pin LP_D[5] uses I/O standard 3.3-V LVTTL at B3 - Info: Pin LP_D[4] uses I/O standard 3.3-V LVTTL at D6 - Info: Pin LP_D[3] uses I/O standard 3.3-V LVTTL at E7 - Info: Pin LP_D[2] uses I/O standard 3.3-V LVTTL at C3 - Info: Pin LP_D[1] uses I/O standard 3.3-V LVTTL at C4 - Info: Pin LP_D[0] uses I/O standard 3.3-V LVTTL at F7 - Info: Pin SCSI_D[7] uses I/O standard 3.3-V LVTTL at K8 - Info: Pin SCSI_D[6] uses I/O standard 3.3-V LVTTL at L8 - Info: Pin SCSI_D[5] uses I/O standard 3.3-V LVTTL at G3 - Info: Pin SCSI_D[4] uses I/O standard 3.3-V LVTTL at G4 - Info: Pin SCSI_D[3] uses I/O standard 3.3-V LVTTL at F1 - Info: Pin SCSI_D[2] uses I/O standard 3.3-V LVTTL at F2 - Info: Pin SCSI_D[1] uses I/O standard 3.3-V LVTTL at E1 - Info: Pin SCSI_D[0] uses I/O standard 3.3-V LVTTL at J6 - Info: Pin nRSTO_MCF uses I/O standard 3.3-V LVTTL at B11 - Info: Pin nFB_WR uses I/O standard 3.3-V LVTTL at T5 - Info: Pin nFB_CS1 uses I/O standard 3.3-V LVTTL at T8 - Info: Pin FB_SIZE1 uses I/O standard 3.3-V LVTTL at Y4 - Info: Pin FB_SIZE0 uses I/O standard 3.3-V LVTTL at U8 - Info: Pin FB_ALE uses I/O standard 3.3-V LVTTL at R7 - Info: Pin nFB_CS2 uses I/O standard 3.3-V LVTTL at T9 - Info: Pin MAIN_CLK uses I/O standard 3.3-V LVTTL at G2 - Info: Pin nDACK1 uses I/O standard 3.3-V LVTTL at A12 - Info: Pin nFB_OE uses I/O standard 3.3-V LVTTL at R6 - Info: Pin IDE_RDY uses I/O standard 3.3-V LVTTL at Y1 - Info: Pin CLK33M uses I/O standard 3.3-V LVTTL at AB12 - Info: Pin HD_DD uses I/O standard 3.3-V LVTTL at F16 - Info: Pin nINDEX uses I/O standard 3.3-V LVTTL at E16 - Info: Pin RxD uses I/O standard 3.3-V LVTTL at H15 - Info: Pin nWP uses I/O standard 3.3-V LVTTL at D19 - Info: Pin LP_BUSY uses I/O standard 3.3-V LVTTL at G7 - Info: Pin DCD uses I/O standard 3.3-V LVTTL at A19 - Info: Pin CTS uses I/O standard 3.3-V LVTTL at H14 - Info: Pin TRACK00 uses I/O standard 3.3-V LVTTL at C19 - Info: Pin RI uses I/O standard 3.3-V LVTTL at B19 - Info: Pin nPCI_INTD uses I/O standard 3.3-V LVTTL at P6 - Info: Pin nPCI_INTC uses I/O standard 3.3-V LVTTL at V3 - Info: Pin nPCI_INTB uses I/O standard 3.3-V LVTTL at V4 - Info: Pin nPCI_INTA uses I/O standard 3.3-V LVTTL at AA1 - Info: Pin DVI_INT uses I/O standard 3.3-V LVTTL at A11 - Info: Pin PIC_INT uses I/O standard 3.3-V LVTTL at AA2 - Info: Pin PIC_AMKB_RX uses I/O standard 3.3-V LVTTL at L7 - Info: Pin MIDI_IN uses I/O standard 3.3-V LVTTL at E12 - Info: Pin nRD_DATA uses I/O standard 3.3-V LVTTL at A20 - Info: Pin AMKB_RX uses I/O standard 3.3-V LVTTL at Y2 -Warning: Following 40 pins have no output enable or a GND or VCC output enable - later changes to this connectivity may change fitting results - Info: Pin IO[17] has a permanently enabled output enable - Info: Pin IO[16] has a permanently enabled output enable - Info: Pin IO[15] has a permanently enabled output enable - Info: Pin IO[14] has a permanently enabled output enable - Info: Pin IO[13] has a permanently enabled output enable - Info: Pin IO[12] has a permanently enabled output enable - Info: Pin IO[11] has a permanently enabled output enable - Info: Pin IO[10] has a permanently enabled output enable - Info: Pin IO[9] has a permanently enabled output enable - Info: Pin IO[8] has a permanently enabled output enable - Info: Pin IO[7] has a permanently enabled output enable - Info: Pin IO[6] has a permanently enabled output enable - Info: Pin IO[5] has a permanently enabled output enable - Info: Pin IO[4] has a permanently enabled output enable - Info: Pin IO[3] has a permanently enabled output enable - Info: Pin IO[2] has a permanently enabled output enable - Info: Pin IO[1] has a permanently enabled output enable - Info: Pin IO[0] has a permanently enabled output enable - Info: Pin SCSI_PAR has a permanently disabled output enable - Info: Pin nSCSI_SEL has a permanently enabled output enable - Info: Pin nSCSI_BUSY has a permanently enabled output enable - Info: Pin nSCSI_RST has a permanently disabled output enable - Info: Pin SD_CD_DATA3 has a permanently disabled output enable - Info: Pin SD_CMD_D1 has a permanently disabled output enable - Info: Pin ACSI_D[7] has a permanently disabled output enable - Info: Pin ACSI_D[6] has a permanently disabled output enable - Info: Pin ACSI_D[5] has a permanently disabled output enable - Info: Pin ACSI_D[4] has a permanently disabled output enable - Info: Pin ACSI_D[3] has a permanently disabled output enable - Info: Pin ACSI_D[2] has a permanently disabled output enable - Info: Pin ACSI_D[1] has a permanently disabled output enable - Info: Pin ACSI_D[0] has a permanently disabled output enable - Info: Pin SCSI_D[7] has a permanently disabled output enable - Info: Pin SCSI_D[6] has a permanently disabled output enable - Info: Pin SCSI_D[5] has a permanently disabled output enable - Info: Pin SCSI_D[4] has a permanently disabled output enable - Info: Pin SCSI_D[3] has a permanently disabled output enable - Info: Pin SCSI_D[2] has a permanently disabled output enable - Info: Pin SCSI_D[1] has a permanently disabled output enable - Info: Pin SCSI_D[0] has a permanently disabled output enable -Info: Quartus II Fitter was successful. 0 errors, 34 warnings - Info: Peak virtual memory: 334 megabytes - Info: Processing ended: Wed Dec 15 02:25:07 2010 - Info: Elapsed time: 00:03:10 - Info: Total CPU time (on all processors): 00:03:11 - - +Fitter report for firebee1 +Sat Oct 20 18:39:28 2012 +Quartus II 32-bit Version 12.0 Build 263 08/02/2012 Service Pack 2 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Fitter Summary + 3. Fitter Settings + 4. Parallel Compilation + 5. I/O Assignment Warnings + 6. Ignored Assignments + 7. Incremental Compilation Preservation Summary + 8. Incremental Compilation Partition Settings + 9. Incremental Compilation Placement Preservation + 10. Pin-Out File + 11. Fitter Resource Usage Summary + 12. Fitter Partition Statistics + 13. Input Pins + 14. Output Pins + 15. Bidir Pins + 16. Dual Purpose and Dedicated Pins + 17. I/O Bank Usage + 18. All Package Pins + 19. PLL Summary + 20. PLL Usage + 21. Fitter Resource Utilization by Entity + 22. Delay Chain Summary + 23. Pad To Core Delay Chain Fanout + 24. Control Signals + 25. Global & Other Fast Signals + 26. Non-Global High Fan-Out Signals + 27. Fitter RAM Summary + 28. Fitter DSP Block Usage Summary + 29. DSP Block Details + 30. Interconnect Usage Summary + 31. LAB Logic Elements + 32. LAB-wide Signals + 33. LAB Signals Sourced + 34. LAB Signals Sourced Out + 35. LAB Distinct Inputs + 36. I/O Rules Summary + 37. I/O Rules Details + 38. I/O Rules Matrix + 39. Fitter Device Options + 40. Operating Settings and Conditions + 41. Estimated Delay Added for Hold Timing Summary + 42. Estimated Delay Added for Hold Timing Details + 43. Fitter Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2012 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++------------------------------------------------------------------------------------+ +; Fitter Summary ; ++------------------------------------+-----------------------------------------------+ +; Fitter Status ; Successful - Sat Oct 20 18:39:28 2012 ; +; Quartus II 32-bit Version ; 12.0 Build 263 08/02/2012 SP 2 SJ Web Edition ; +; Revision Name ; firebee1 ; +; Top-level Entity Name ; firebee1 ; +; Family ; Cyclone III ; +; Device ; EP3C40F484C6 ; +; Timing Models ; Final ; +; Total logic elements ; 9,435 / 39,600 ( 24 % ) ; +; Total combinational functions ; 7,967 / 39,600 ( 20 % ) ; +; Dedicated logic registers ; 4,622 / 39,600 ( 12 % ) ; +; Total registers ; 4750 ; +; Total pins ; 295 / 332 ( 89 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 109,344 / 1,161,216 ( 9 % ) ; +; Embedded Multiplier 9-bit elements ; 6 / 252 ( 2 % ) ; +; Total PLLs ; 4 / 4 ( 100 % ) ; ++------------------------------------+-----------------------------------------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Settings ; ++----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+ +; Option ; Setting ; Default Value ; ++----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+ +; Device ; EP3C40F484C6 ; ; +; Nominal Core Supply Voltage ; 1.2V ; ; +; Minimum Core Junction Temperature ; 0 ; ; +; Maximum Core Junction Temperature ; 85 ; ; +; Fit Attempts to Skip ; 0 ; 0.0 ; +; Device I/O Standard ; 3.3-V LVTTL ; ; +; Perform Physical Synthesis for Combinational Logic for Fitting ; On ; Off ; +; Perform Physical Synthesis for Combinational Logic for Performance ; On ; Off ; +; Perform Register Duplication for Performance ; On ; Off ; +; Physical Synthesis Effort Level ; Fast ; Normal ; +; Use smart compilation ; Off ; Off ; +; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; +; Enable compact report table ; Off ; Off ; +; Auto Merge PLLs ; On ; On ; +; Router Timing Optimization Level ; Normal ; Normal ; +; Perform Clocking Topology Analysis During Routing ; Off ; Off ; +; Placement Effort Multiplier ; 1.0 ; 1.0 ; +; Router Effort Multiplier ; 1.0 ; 1.0 ; +; Optimize Hold Timing ; All Paths ; All Paths ; +; Optimize Multi-Corner Timing ; On ; On ; +; PowerPlay Power Optimization ; Normal compilation ; Normal compilation ; +; SSN Optimization ; Off ; Off ; +; Optimize Timing ; Normal compilation ; Normal compilation ; +; Optimize Timing for ECOs ; Off ; Off ; +; Regenerate full fit report during ECO compiles ; Off ; Off ; +; Optimize IOC Register Placement for Timing ; Normal ; Normal ; +; Limit to One Fitting Attempt ; Off ; Off ; +; Final Placement Optimizations ; Automatically ; Automatically ; +; Fitter Aggressive Routability Optimizations ; Automatically ; Automatically ; +; Fitter Initial Placement Seed ; 1 ; 1 ; +; PCI I/O ; Off ; Off ; +; Weak Pull-Up Resistor ; Off ; Off ; +; Enable Bus-Hold Circuitry ; Off ; Off ; +; Auto Packed Registers ; Auto ; Auto ; +; Auto Delay Chains ; On ; On ; +; Allow Single-ended Buffer for Differential-XSTL Input ; Off ; Off ; +; Treat Bidirectional Pin as Output Pin ; Off ; Off ; +; Perform Logic to Memory Mapping for Fitting ; Off ; Off ; +; Perform Register Retiming for Performance ; Off ; Off ; +; Perform Asynchronous Signal Pipelining ; Off ; Off ; +; Fitter Effort ; Auto Fit ; Auto Fit ; +; Logic Cell Insertion - Logic Duplication ; Auto ; Auto ; +; Auto Register Duplication ; Auto ; Auto ; +; Auto Global Clock ; On ; On ; +; Auto Global Register Control Signals ; On ; On ; +; Reserve all unused pins ; As input tri-stated with weak pull-up ; As input tri-stated with weak pull-up ; +; Synchronizer Identification ; Off ; Off ; +; Enable Beneficial Skew Optimization ; On ; On ; +; Optimize Design for Metastability ; On ; On ; +; Force Fitter to Avoid Periphery Placement Warnings ; Off ; Off ; +; RAM Bit Reservation (Cyclone III) ; Off ; Off ; +; Enable input tri-state on active configuration pins in user mode ; Off ; Off ; ++----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+ + + +Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. ++-------------------------------------+ +; Parallel Compilation ; ++----------------------------+--------+ +; Processors ; Number ; ++----------------------------+--------+ +; Number detected on machine ; 4 ; +; Maximum allowed ; 1 ; ++----------------------------+--------+ + + ++------------------------------------------------------+ +; I/O Assignment Warnings ; ++---------------+--------------------------------------+ +; Pin Name ; Reason ; ++---------------+--------------------------------------+ +; LP_STR ; Missing drive strength ; +; nACSI_ACK ; Missing drive strength ; +; nACSI_RESET ; Missing drive strength ; +; nACSI_CS ; Missing drive strength ; +; ACSI_DIR ; Missing drive strength ; +; ACSI_A1 ; Missing drive strength ; +; nSCSI_ACK ; Missing drive strength ; +; nSCSI_ATN ; Missing drive strength ; +; SCSI_DIR ; Missing drive strength ; +; MIDI_OLR ; Missing drive strength ; +; MIDI_TLR ; Missing drive strength ; +; TxD ; Missing drive strength ; +; RTS ; Missing drive strength ; +; DTR ; Missing drive strength ; +; IDE_RES ; Missing drive strength ; +; nIDE_CS0 ; Missing drive strength ; +; nIDE_CS1 ; Missing drive strength ; +; nIDE_WR ; Missing drive strength ; +; nIDE_RD ; Missing drive strength ; +; nCF_CS0 ; Missing drive strength ; +; nCF_CS1 ; Missing drive strength ; +; nROM3 ; Missing drive strength ; +; nROM4 ; Missing drive strength ; +; nRP_UDS ; Missing drive strength ; +; nRP_LDS ; Missing drive strength ; +; nSDSEL ; Missing drive strength ; +; nWR_GATE ; Missing drive strength ; +; nWR ; Missing drive strength ; +; YM_QA ; Missing drive strength ; +; YM_QB ; Missing drive strength ; +; YM_QC ; Missing drive strength ; +; SD_CLK ; Missing drive strength ; +; DSA_D ; Missing drive strength ; +; nVWE ; Missing slew rate ; +; nVCAS ; Missing slew rate ; +; nVRAS ; Missing slew rate ; +; nVCS ; Missing slew rate ; +; TIN0 ; Missing drive strength ; +; nDREQ1 ; Missing drive strength ; +; LED_FPGA_OK ; Missing slew rate ; +; VCKE ; Missing slew rate ; +; nFB_TA ; Missing drive strength ; +; nDDR_CLK ; Missing slew rate ; +; DDR_CLK ; Missing slew rate ; +; VSYNC_PAD ; Missing slew rate ; +; HSYNC_PAD ; Missing slew rate ; +; nBLANK_PAD ; Missing slew rate ; +; PIXEL_CLK_PAD ; Missing slew rate ; +; nSYNC ; Missing slew rate ; +; nMOT_ON ; Missing drive strength ; +; nSTEP_DIR ; Missing drive strength ; +; nSTEP ; Missing drive strength ; +; LPDIR ; Missing drive strength ; +; BA[1] ; Missing slew rate ; +; BA[0] ; Missing slew rate ; +; nIRQ[7] ; Missing drive strength ; +; nIRQ[6] ; Missing drive strength ; +; nIRQ[5] ; Missing drive strength ; +; nIRQ[4] ; Missing drive strength and slew rate ; +; nIRQ[3] ; Missing drive strength and slew rate ; +; nIRQ[2] ; Missing drive strength and slew rate ; +; VA[12] ; Missing slew rate ; +; VA[11] ; Missing slew rate ; +; VA[10] ; Missing slew rate ; +; VA[9] ; Missing slew rate ; +; VA[8] ; Missing slew rate ; +; VA[7] ; Missing slew rate ; +; VA[6] ; Missing slew rate ; +; VA[5] ; Missing slew rate ; +; VA[4] ; Missing slew rate ; +; VA[3] ; Missing slew rate ; +; VA[2] ; Missing slew rate ; +; VA[1] ; Missing slew rate ; +; VA[0] ; Missing slew rate ; +; VB[7] ; Missing slew rate ; +; VB[6] ; Missing slew rate ; +; VB[5] ; Missing slew rate ; +; VB[4] ; Missing slew rate ; +; VB[3] ; Missing slew rate ; +; VB[2] ; Missing slew rate ; +; VB[1] ; Missing slew rate ; +; VB[0] ; Missing slew rate ; +; VDM[3] ; Missing slew rate ; +; VDM[2] ; Missing slew rate ; +; VDM[1] ; Missing slew rate ; +; VDM[0] ; Missing slew rate ; +; VG[7] ; Missing slew rate ; +; VG[6] ; Missing slew rate ; +; VG[5] ; Missing slew rate ; +; VG[4] ; Missing slew rate ; +; VG[3] ; Missing slew rate ; +; VG[2] ; Missing slew rate ; +; VG[1] ; Missing slew rate ; +; VG[0] ; Missing slew rate ; +; VR[7] ; Missing slew rate ; +; VR[6] ; Missing slew rate ; +; VR[5] ; Missing slew rate ; +; VR[4] ; Missing slew rate ; +; VR[3] ; Missing slew rate ; +; VR[2] ; Missing slew rate ; +; VR[1] ; Missing slew rate ; +; VR[0] ; Missing slew rate ; +; VD[31] ; Missing slew rate ; +; VD[30] ; Missing slew rate ; +; VD[29] ; Missing slew rate ; +; VD[28] ; Missing slew rate ; +; VD[27] ; Missing slew rate ; +; VD[26] ; Missing slew rate ; +; VD[25] ; Missing slew rate ; +; VD[24] ; Missing slew rate ; +; VD[23] ; Missing slew rate ; +; VD[22] ; Missing slew rate ; +; VD[21] ; Missing slew rate ; +; VD[20] ; Missing slew rate ; +; VD[19] ; Missing slew rate ; +; VD[18] ; Missing slew rate ; +; VD[17] ; Missing slew rate ; +; VD[16] ; Missing slew rate ; +; VD[15] ; Missing slew rate ; +; VD[14] ; Missing slew rate ; +; VD[13] ; Missing slew rate ; +; VD[12] ; Missing slew rate ; +; VD[11] ; Missing slew rate ; +; VD[10] ; Missing slew rate ; +; VD[9] ; Missing slew rate ; +; VD[8] ; Missing slew rate ; +; VD[7] ; Missing slew rate ; +; VD[6] ; Missing slew rate ; +; VD[5] ; Missing slew rate ; +; VD[4] ; Missing slew rate ; +; VD[3] ; Missing slew rate ; +; VD[2] ; Missing slew rate ; +; VD[1] ; Missing slew rate ; +; VD[0] ; Missing slew rate ; +; VDQS[3] ; Missing slew rate ; +; VDQS[2] ; Missing slew rate ; +; VDQS[1] ; Missing slew rate ; +; VDQS[0] ; Missing slew rate ; +; SCSI_PAR ; Missing drive strength ; +; nSCSI_SEL ; Missing drive strength ; +; nSCSI_BUSY ; Missing drive strength ; +; nSCSI_RST ; Missing drive strength ; +; SD_CD_DATA3 ; Missing drive strength ; +; SD_CMD_D1 ; Missing drive strength ; +; ACSI_D[7] ; Missing drive strength ; +; ACSI_D[6] ; Missing drive strength ; +; ACSI_D[5] ; Missing drive strength ; +; ACSI_D[4] ; Missing drive strength ; +; ACSI_D[3] ; Missing drive strength ; +; ACSI_D[2] ; Missing drive strength ; +; ACSI_D[1] ; Missing drive strength ; +; ACSI_D[0] ; Missing drive strength ; +; LP_D[7] ; Missing drive strength ; +; LP_D[6] ; Missing drive strength ; +; LP_D[5] ; Missing drive strength ; +; LP_D[4] ; Missing drive strength ; +; LP_D[3] ; Missing drive strength ; +; LP_D[2] ; Missing drive strength ; +; LP_D[1] ; Missing drive strength ; +; LP_D[0] ; Missing drive strength ; +; SCSI_D[7] ; Missing drive strength ; +; SCSI_D[6] ; Missing drive strength ; +; SCSI_D[5] ; Missing drive strength ; +; SCSI_D[4] ; Missing drive strength ; +; SCSI_D[3] ; Missing drive strength ; +; SCSI_D[2] ; Missing drive strength ; +; SCSI_D[1] ; Missing drive strength ; +; SCSI_D[0] ; Missing drive strength ; ++---------------+--------------------------------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------+ +; Ignored Assignments ; ++-----------------------------+----------------+--------------+----------------------------+------------------------+----------------------------+ +; Name ; Ignored Entity ; Ignored From ; Ignored To ; Ignored Value ; Ignored Source ; ++-----------------------------+----------------+--------------+----------------------------+------------------------+----------------------------+ +; DDIO_INPUT_REGISTER ; altddio_bidir ; ; input_cell_H ; HIGH ; Compiler or HDL Assignment ; +; DDIO_INPUT_REGISTER ; altddio_bidir ; ; input_cell_L ; LOW ; Compiler or HDL Assignment ; +; Synchronizer Identification ; dcfifo_0hh1 ; ; rs_dgwp_reg ; FORCED_IF_ASYNCHRONOUS ; Compiler or HDL Assignment ; +; Synchronizer Identification ; dcfifo_3fh1 ; ; ws_dgrp_reg ; FORCED_IF_ASYNCHRONOUS ; Compiler or HDL Assignment ; +; Synchronizer Identification ; dcfifo_8fi1 ; ; rdemp_eq_comp_lsb_aeb ; FORCED_IF_ASYNCHRONOUS ; Compiler or HDL Assignment ; +; Synchronizer Identification ; dcfifo_8fi1 ; ; rdemp_eq_comp_msb_aeb ; FORCED_IF_ASYNCHRONOUS ; Compiler or HDL Assignment ; +; Synchronizer Identification ; dcfifo_8fi1 ; ; rs_dgwp_reg ; FORCED_IF_ASYNCHRONOUS ; Compiler or HDL Assignment ; +; Synchronizer Identification ; dcfifo_8fi1 ; ; wrfull_eq_comp_lsb_mux_reg ; FORCED_IF_ASYNCHRONOUS ; Compiler or HDL Assignment ; +; Synchronizer Identification ; dcfifo_8fi1 ; ; wrfull_eq_comp_msb_mux_reg ; FORCED_IF_ASYNCHRONOUS ; Compiler or HDL Assignment ; ++-----------------------------+----------------+--------------+----------------------------+------------------------+----------------------------+ + + ++----------------------------------------------+ +; Incremental Compilation Preservation Summary ; ++---------------------+------------------------+ +; Type ; Value ; ++---------------------+------------------------+ +; Placement (by node) ; ; +; -- Requested ; 0 / 13731 ( 0.00 % ) ; +; -- Achieved ; 0 / 13731 ( 0.00 % ) ; +; ; ; +; Routing (by net) ; ; +; -- Requested ; 0 / 0 ( 0.00 % ) ; +; -- Achieved ; 0 / 0 ( 0.00 % ) ; ++---------------------+------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Incremental Compilation Partition Settings ; ++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ +; Partition Name ; Partition Type ; Netlist Type Used ; Preservation Level Used ; Netlist Type Requested ; Preservation Level Requested ; Contents ; ++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ +; Top ; User-created ; Source File ; N/A ; Source File ; N/A ; ; +; hard_block:auto_generated_inst ; Auto-generated ; Source File ; N/A ; Source File ; N/A ; hard_block:auto_generated_inst ; ++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ + + ++------------------------------------------------------------------------------------------------------------+ +; Incremental Compilation Placement Preservation ; ++--------------------------------+---------+-------------------+-------------------------+-------------------+ +; Partition Name ; # Nodes ; # Preserved Nodes ; Preservation Level Used ; Netlist Type Used ; ++--------------------------------+---------+-------------------+-------------------------+-------------------+ +; Top ; 13700 ; 0 ; N/A ; Source File ; +; hard_block:auto_generated_inst ; 31 ; 0 ; N/A ; Source File ; ++--------------------------------+---------+-------------------+-------------------------+-------------------+ + + ++--------------+ +; Pin-Out File ; ++--------------+ +The pin-out file can be found in /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/firebee1.pin. + + ++----------------------------------------------------------------------------+ +; Fitter Resource Usage Summary ; ++---------------------------------------------+------------------------------+ +; Resource ; Usage ; ++---------------------------------------------+------------------------------+ +; Total logic elements ; 9,435 / 39,600 ( 24 % ) ; +; -- Combinational with no register ; 4813 ; +; -- Register only ; 1468 ; +; -- Combinational with a register ; 3154 ; +; ; ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 4737 ; +; -- 3 input functions ; 1912 ; +; -- <=2 input functions ; 1318 ; +; -- Register only ; 1468 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 7133 ; +; -- arithmetic mode ; 834 ; +; ; ; +; Total registers* ; 4,750 / 41,185 ( 12 % ) ; +; -- Dedicated logic registers ; 4,622 / 39,600 ( 12 % ) ; +; -- I/O registers ; 128 / 1,585 ( 8 % ) ; +; ; ; +; Total LABs: partially or completely used ; 730 / 2,475 ( 29 % ) ; +; User inserted logic elements ; 0 ; +; Virtual pins ; 0 ; +; I/O pins ; 295 / 332 ( 89 % ) ; +; -- Clock pins ; 7 / 8 ( 88 % ) ; +; -- Dedicated input pins ; 0 / 9 ( 0 % ) ; +; ; ; +; Global signals ; 18 ; +; M9Ks ; 23 / 126 ( 18 % ) ; +; Total block memory bits ; 109,344 / 1,161,216 ( 9 % ) ; +; Total block memory implementation bits ; 211,968 / 1,161,216 ( 18 % ) ; +; Embedded Multiplier 9-bit elements ; 6 / 252 ( 2 % ) ; +; PLLs ; 4 / 4 ( 100 % ) ; +; Global clocks ; 18 / 20 ( 90 % ) ; +; JTAGs ; 0 / 1 ( 0 % ) ; +; CRC blocks ; 0 / 1 ( 0 % ) ; +; ASMI blocks ; 0 / 1 ( 0 % ) ; +; Impedance control blocks ; 0 / 4 ( 0 % ) ; +; Average interconnect usage (total/H/V) ; 21% / 19% / 24% ; +; Peak interconnect usage (total/H/V) ; 68% / 64% / 77% ; +; Maximum fan-out node ; MAIN_CLK~input ; +; Maximum fan-out ; 2265 ; +; Highest non-global fan-out signal ; MAIN_CLK~input ; +; Highest non-global fan-out ; 2265 ; +; Total fan-out ; 43990 ; +; Average fan-out ; 3.04 ; ++---------------------------------------------+------------------------------+ +* Register count does not include registers inside RAM blocks or DSP blocks. + + + ++------------------------------------------------------------------------------------------------------+ +; Fitter Partition Statistics ; ++---------------------------------------------+-----------------------+--------------------------------+ +; Statistic ; Top ; hard_block:auto_generated_inst ; ++---------------------------------------------+-----------------------+--------------------------------+ +; Difficulty Clustering Region ; Low ; Low ; +; ; ; ; +; Total logic elements ; 9435 / 39600 ( 24 % ) ; 0 / 39600 ( 0 % ) ; +; -- Combinational with no register ; 4813 ; 0 ; +; -- Register only ; 1468 ; 0 ; +; -- Combinational with a register ; 3154 ; 0 ; +; ; ; ; +; Logic element usage by number of LUT inputs ; ; ; +; -- 4 input functions ; 4737 ; 0 ; +; -- 3 input functions ; 1912 ; 0 ; +; -- <=2 input functions ; 1318 ; 0 ; +; -- Register only ; 1468 ; 0 ; +; ; ; ; +; Logic elements by mode ; ; ; +; -- normal mode ; 7133 ; 0 ; +; -- arithmetic mode ; 834 ; 0 ; +; ; ; ; +; Total registers ; 4750 ; 0 ; +; -- Dedicated logic registers ; 4622 / 39600 ( 12 % ) ; 0 / 39600 ( 0 % ) ; +; -- I/O registers ; 256 ; 0 ; +; ; ; ; +; Total LABs: partially or completely used ; 730 / 2475 ( 29 % ) ; 0 / 2475 ( 0 % ) ; +; ; ; ; +; Virtual pins ; 0 ; 0 ; +; I/O pins ; 295 ; 0 ; +; Embedded Multiplier 9-bit elements ; 6 / 252 ( 2 % ) ; 0 / 252 ( 0 % ) ; +; Total memory bits ; 109344 ; 0 ; +; Total RAM block bits ; 211968 ; 0 ; +; PLL ; 0 / 4 ( 0 % ) ; 4 / 4 ( 100 % ) ; +; M9K ; 23 / 126 ( 18 % ) ; 0 / 126 ( 0 % ) ; +; Clock control block ; 5 / 24 ( 20 % ) ; 13 / 24 ( 54 % ) ; +; Double Data Rate I/O output circuitry ; 64 / 520 ( 12 % ) ; 0 / 520 ( 0 % ) ; +; ; ; ; +; Connections ; ; ; +; -- Input Connections ; 1903 ; 8 ; +; -- Registered Input Connections ; 1562 ; 0 ; +; -- Output Connections ; 140 ; 1771 ; +; -- Registered Output Connections ; 0 ; 0 ; +; ; ; ; +; Internal Connections ; ; ; +; -- Total Connections ; 44423 ; 1804 ; +; -- Registered Connections ; 17983 ; 0 ; +; ; ; ; +; External Connections ; ; ; +; -- Top ; 264 ; 1779 ; +; -- hard_block:auto_generated_inst ; 1779 ; 0 ; +; ; ; ; +; Partition Interface ; ; ; +; -- Input Ports ; 51 ; 8 ; +; -- Output Ports ; 112 ; 15 ; +; -- Bidir Ports ; 132 ; 0 ; +; ; ; ; +; Registered Ports ; ; ; +; -- Registered Input Ports ; 0 ; 0 ; +; -- Registered Output Ports ; 0 ; 0 ; +; ; ; ; +; Port Connectivity ; ; ; +; -- Input Ports driven by GND ; 0 ; 0 ; +; -- Output Ports driven by GND ; 0 ; 0 ; +; -- Input Ports driven by VCC ; 0 ; 0 ; +; -- Output Ports driven by VCC ; 0 ; 0 ; +; -- Input Ports with no Source ; 0 ; 0 ; +; -- Output Ports with no Source ; 0 ; 0 ; +; -- Input Ports with no Fanout ; 0 ; 2 ; +; -- Output Ports with no Fanout ; 0 ; 0 ; ++---------------------------------------------+-----------------------+--------------------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Input Pins ; ++----------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination Control Block ; Location assigned by ; ++----------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+ +; AMKB_RX ; Y2 ; 2 ; 0 ; 10 ; 21 ; 6 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; +; CLK33M ; AB12 ; 4 ; 36 ; 0 ; 0 ; 16 ; 0 ; yes ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; +; CTS ; H14 ; 7 ; 61 ; 43 ; 7 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; +; DCD ; A19 ; 7 ; 56 ; 43 ; 21 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; +; DVI_INT ; A11 ; 8 ; 34 ; 43 ; 14 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; +; E0_INT ; G21 ; 6 ; 67 ; 22 ; 0 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; +; FB_ALE ; R7 ; 2 ; 0 ; 2 ; 0 ; 32 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; +; FB_SIZE0 ; U8 ; 3 ; 3 ; 0 ; 21 ; 25 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; +; FB_SIZE1 ; Y4 ; 3 ; 3 ; 0 ; 14 ; 25 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; +; HD_DD ; F16 ; 7 ; 65 ; 43 ; 21 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; +; IDE_INT ; G22 ; 6 ; 67 ; 22 ; 7 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; +; IDE_RDY ; Y1 ; 2 ; 0 ; 9 ; 0 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; +; LP_BUSY ; G7 ; 8 ; 3 ; 43 ; 28 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; +; MAIN_CLK ; G2 ; 1 ; 0 ; 21 ; 0 ; 2265 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; +; MIDI_IN ; E12 ; 7 ; 36 ; 43 ; 7 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; +; PIC_AMKB_RX ; L7 ; 2 ; 0 ; 18 ; 7 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; +; PIC_INT ; AA2 ; 2 ; 0 ; 7 ; 21 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; +; RI ; B19 ; 7 ; 56 ; 43 ; 14 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; +; RxD ; H15 ; 7 ; 61 ; 43 ; 0 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; +; SD_CARD_DEDECT ; M20 ; 5 ; 67 ; 19 ; 21 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; +; SD_DATA0 ; B16 ; 7 ; 50 ; 43 ; 14 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; +; SD_DATA1 ; A16 ; 7 ; 50 ; 43 ; 7 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; +; SD_DATA2 ; B17 ; 7 ; 50 ; 43 ; 0 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; +; SD_WP ; M19 ; 5 ; 67 ; 19 ; 14 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; +; TOUT0 ; T22 ; 5 ; 67 ; 22 ; 21 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; +; TRACK00 ; C19 ; 7 ; 61 ; 43 ; 28 ; 6 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; +; WP_CF_CARD ; T1 ; 2 ; 0 ; 21 ; 21 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; +; nACSI_DRQ ; K7 ; 1 ; 0 ; 30 ; 14 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; +; nACSI_INT ; J4 ; 1 ; 0 ; 29 ; 14 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; +; nDACK0 ; B12 ; 7 ; 34 ; 43 ; 7 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; +; nDACK1 ; A12 ; 7 ; 34 ; 43 ; 0 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; +; nDCHG ; C17 ; 7 ; 56 ; 43 ; 7 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; +; nFB_BURST ; T3 ; 2 ; 0 ; 7 ; 0 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; +; nFB_CS1 ; T8 ; 3 ; 14 ; 0 ; 28 ; 55 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; +; nFB_CS2 ; T9 ; 3 ; 14 ; 0 ; 21 ; 57 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; +; nFB_CS3 ; V6 ; 3 ; 1 ; 0 ; 28 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; +; nFB_OE ; R6 ; 2 ; 0 ; 3 ; 0 ; 94 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; +; nFB_WR ; T5 ; 2 ; 0 ; 4 ; 0 ; 248 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; +; nINDEX ; E16 ; 7 ; 65 ; 43 ; 28 ; 14 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; +; nMASTER ; T21 ; 5 ; 67 ; 22 ; 14 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; +; nPCI_INTA ; AA1 ; 2 ; 0 ; 6 ; 0 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; +; nPCI_INTB ; V4 ; 2 ; 0 ; 5 ; 0 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; +; nPCI_INTC ; V3 ; 2 ; 0 ; 5 ; 7 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; +; nPCI_INTD ; P6 ; 2 ; 0 ; 5 ; 14 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; +; nRD_DATA ; A20 ; 7 ; 59 ; 43 ; 7 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; +; nRSTO_MCF ; B11 ; 8 ; 34 ; 43 ; 21 ; 28 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; +; nSCSI_C_D ; H1 ; 1 ; 0 ; 28 ; 0 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; +; nSCSI_DRQ ; U1 ; 2 ; 0 ; 15 ; 21 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; +; nSCSI_I_O ; J3 ; 1 ; 0 ; 28 ; 7 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; +; nSCSI_MSG ; H2 ; 1 ; 0 ; 29 ; 21 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; +; nWP ; D19 ; 7 ; 59 ; 43 ; 0 ; 4 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; ++----------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Output Pins ; ++---------------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Output Register ; Output Enable Register ; Power Up High ; Slew Rate ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Termination Control Block ; Output Buffer Pre-emphasis ; Voltage Output Differential ; Location assigned by ; Output Enable Source ; Output Enable Group ; ++---------------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ +; ACSI_A1 ; M6 ; 2 ; 0 ; 20 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; +; ACSI_DIR ; L6 ; 2 ; 0 ; 20 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; +; AMKB_TX ; N1 ; 2 ; 0 ; 19 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 2mA ; Off ; -- ; no ; no ; User ; - ; - ; +; BA[0] ; W19 ; 5 ; 67 ; 5 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; - ; - ; +; BA[1] ; AA19 ; 4 ; 56 ; 0 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; - ; - ; +; CLK24M576 ; AB10 ; 3 ; 34 ; 0 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; +; CLK25M ; T4 ; 2 ; 0 ; 4 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; +; CLKUSB ; J1 ; 1 ; 0 ; 28 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; +; DDR_CLK ; AB17 ; 4 ; 54 ; 0 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; - ; - ; +; DSA_D ; F15 ; 7 ; 63 ; 43 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; +; DTR ; D15 ; 7 ; 54 ; 43 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; +; HSYNC_PAD ; K21 ; 6 ; 67 ; 27 ; 14 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; - ; - ; +; IDE_RES ; M5 ; 2 ; 0 ; 18 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; +; LED_FPGA_OK ; N19 ; 5 ; 67 ; 15 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 4mA ; Off ; -- ; no ; no ; User ; - ; - ; +; LPDIR ; E5 ; 8 ; 1 ; 43 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; +; LP_STR ; E6 ; 8 ; 1 ; 43 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; +; MIDI_OLR ; H5 ; 1 ; 0 ; 31 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; +; MIDI_TLR ; B2 ; 1 ; 0 ; 41 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; +; PIXEL_CLK_PAD ; F19 ; 6 ; 67 ; 37 ; 14 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; - ; - ; +; RTS ; B18 ; 7 ; 54 ; 43 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; +; SCSI_DIR ; J7 ; 1 ; 0 ; 30 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; +; SD_CLK ; C15 ; 7 ; 50 ; 43 ; 21 ; no ; no ; no ; 2 ; no ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; +; TIN0 ; R5 ; 2 ; 0 ; 4 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; +; TxD ; A18 ; 7 ; 54 ; 43 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; +; VA[0] ; W20 ; 5 ; 67 ; 3 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; - ; - ; +; VA[10] ; V21 ; 5 ; 67 ; 10 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; - ; - ; +; VA[11] ; U19 ; 5 ; 67 ; 7 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; - ; - ; +; VA[12] ; AA18 ; 4 ; 54 ; 0 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; - ; - ; +; VA[1] ; W22 ; 5 ; 67 ; 7 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; - ; - ; +; VA[2] ; W21 ; 5 ; 67 ; 8 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; - ; - ; +; VA[3] ; Y22 ; 5 ; 67 ; 6 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; - ; - ; +; VA[4] ; AA22 ; 5 ; 67 ; 2 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; - ; - ; +; VA[5] ; Y21 ; 5 ; 67 ; 7 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; - ; - ; +; VA[6] ; AA21 ; 5 ; 67 ; 2 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; - ; - ; +; VA[7] ; AA20 ; 4 ; 61 ; 0 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; - ; - ; +; VA[8] ; AB20 ; 4 ; 61 ; 0 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; - ; - ; +; VA[9] ; AB19 ; 4 ; 59 ; 0 ; 28 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; - ; - ; +; VB[0] ; G18 ; 6 ; 67 ; 37 ; 0 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; - ; - ; +; VB[1] ; H17 ; 6 ; 67 ; 38 ; 21 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; - ; - ; +; VB[2] ; C22 ; 6 ; 67 ; 38 ; 14 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; - ; - ; +; VB[3] ; C21 ; 6 ; 67 ; 38 ; 7 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; - ; - ; +; VB[4] ; B22 ; 6 ; 67 ; 39 ; 21 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; - ; - ; +; VB[5] ; B21 ; 6 ; 67 ; 39 ; 14 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; - ; - ; +; VB[6] ; C20 ; 6 ; 67 ; 39 ; 7 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; - ; - ; +; VB[7] ; D20 ; 6 ; 67 ; 40 ; 21 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; - ; - ; +; VCKE ; U15 ; 4 ; 50 ; 0 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; - ; - ; +; VDM[0] ; AA16 ; 4 ; 45 ; 0 ; 21 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; - ; - ; +; VDM[1] ; V16 ; 4 ; 61 ; 0 ; 7 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; - ; - ; +; VDM[2] ; U20 ; 5 ; 67 ; 7 ; 14 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; - ; - ; +; VDM[3] ; T17 ; 5 ; 67 ; 3 ; 21 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; - ; - ; +; VG[0] ; H19 ; 6 ; 67 ; 34 ; 14 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; - ; - ; +; VG[1] ; E22 ; 6 ; 67 ; 34 ; 7 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; - ; - ; +; VG[2] ; E21 ; 6 ; 67 ; 34 ; 0 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; - ; - ; +; VG[3] ; H18 ; 6 ; 67 ; 35 ; 0 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; - ; - ; +; VG[4] ; J17 ; 6 ; 67 ; 36 ; 21 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; - ; - ; +; VG[5] ; H16 ; 6 ; 67 ; 36 ; 14 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; - ; - ; +; VG[6] ; D22 ; 6 ; 67 ; 36 ; 7 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; - ; - ; +; VG[7] ; D21 ; 6 ; 67 ; 36 ; 0 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; - ; - ; +; VR[0] ; J22 ; 6 ; 67 ; 28 ; 21 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; - ; - ; +; VR[1] ; J21 ; 6 ; 67 ; 28 ; 14 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; - ; - ; +; VR[2] ; H22 ; 6 ; 67 ; 28 ; 7 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; - ; - ; +; VR[3] ; H21 ; 6 ; 67 ; 28 ; 0 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; - ; - ; +; VR[4] ; K17 ; 6 ; 67 ; 29 ; 0 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; - ; - ; +; VR[5] ; K18 ; 6 ; 67 ; 30 ; 21 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; - ; - ; +; VR[6] ; J18 ; 6 ; 67 ; 31 ; 21 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; - ; - ; +; VR[7] ; F22 ; 6 ; 67 ; 31 ; 7 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; - ; - ; +; VSYNC_PAD ; K19 ; 6 ; 67 ; 26 ; 21 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; - ; - ; +; YM_QA ; A17 ; 7 ; 52 ; 43 ; 28 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; +; YM_QB ; G13 ; 7 ; 52 ; 43 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; +; YM_QC ; E15 ; 7 ; 54 ; 43 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; +; nACSI_ACK ; M4 ; 2 ; 0 ; 19 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; +; nACSI_CS ; M2 ; 2 ; 0 ; 20 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; +; nACSI_RESET ; M1 ; 2 ; 0 ; 20 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; +; nBLANK_PAD ; G17 ; 6 ; 67 ; 41 ; 14 ; yes ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVTTL ; 16mA ; Off ; -- ; no ; no ; User ; - ; - ; +; nCF_CS0 ; W2 ; 2 ; 0 ; 10 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; +; nCF_CS1 ; W1 ; 2 ; 0 ; 10 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; +; nDDR_CLK ; AA17 ; 4 ; 54 ; 0 ; 28 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; - ; - ; +; nDREQ1 ; E11 ; 7 ; 36 ; 43 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; +; nFB_TA ; T7 ; 2 ; 0 ; 2 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; +; nIDE_CS0 ; R2 ; 2 ; 0 ; 16 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; +; nIDE_CS1 ; R1 ; 2 ; 0 ; 16 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; +; nIDE_RD ; P1 ; 2 ; 0 ; 17 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; +; nIDE_WR ; P2 ; 2 ; 0 ; 17 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; +; nIRQ[2] ; F21 ; 6 ; 67 ; 31 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVCMOS ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; nIRQ[3] ; H20 ; 6 ; 67 ; 34 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVCMOS ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; nIRQ[4] ; F20 ; 6 ; 67 ; 37 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVCMOS ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; +; nIRQ[5] ; P5 ; 2 ; 0 ; 12 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; +; nIRQ[6] ; P7 ; 2 ; 0 ; 7 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; +; nIRQ[7] ; N7 ; 2 ; 0 ; 7 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; +; nMOT_ON ; G16 ; 7 ; 63 ; 43 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; +; nPD_VGA ; V1 ; 2 ; 0 ; 13 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; +; nROM3 ; P3 ; 2 ; 0 ; 15 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; +; nROM4 ; U2 ; 2 ; 0 ; 15 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; +; nRP_LDS ; N5 ; 2 ; 0 ; 16 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; +; nRP_UDS ; P4 ; 2 ; 0 ; 16 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; +; nSCSI_ACK ; N2 ; 2 ; 0 ; 19 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; +; nSCSI_ATN ; M3 ; 2 ; 0 ; 19 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; +; nSDSEL ; B20 ; 7 ; 59 ; 43 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; +; nSRBHE ; B4 ; 8 ; 7 ; 43 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; +; nSRBLE ; A4 ; 8 ; 9 ; 43 ; 28 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; +; nSRCS ; B8 ; 8 ; 25 ; 43 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; +; nSROE ; F11 ; 7 ; 36 ; 43 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; +; nSRWE ; F8 ; 8 ; 7 ; 43 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; +; nSTEP ; F14 ; 7 ; 63 ; 43 ; 28 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; +; nSTEP_DIR ; G15 ; 7 ; 63 ; 43 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; +; nSYNC ; F17 ; 6 ; 67 ; 41 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.0-V LVCMOS ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; +; nVCAS ; AB18 ; 4 ; 52 ; 0 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; - ; - ; +; nVCS ; T18 ; 5 ; 67 ; 3 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; - ; - ; +; nVRAS ; W17 ; 4 ; 59 ; 0 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; - ; - ; +; nVWE ; Y17 ; 4 ; 61 ; 0 ; 28 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; no ; no ; User ; - ; - ; +; nWR ; G14 ; 7 ; 54 ; 43 ; 28 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; +; nWR_GATE ; D17 ; 7 ; 61 ; 43 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; ++---------------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Bidir Pins ; ++-------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+-----------------+------------------------+---------------+-----------+-----------------+------------+----------+--------------+--------------+------------------+--------------------+---------------------------+----------------------+------+--------------------------------------------------------------------------------------------------+---------------------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Output Register ; Output Enable Register ; Power Up High ; Slew Rate ; PCI I/O Enabled ; Open Drain ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Output Termination ; Termination Control Block ; Location assigned by ; Load ; Output Enable Source ; Output Enable Group ; ++-------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+-----------------+------------------------+---------------+-----------+-----------------+------------+----------+--------------+--------------+------------------+--------------------+---------------------------+----------------------+------+--------------------------------------------------------------------------------------------------+---------------------+ +; ACSI_D[0] ; B1 ; 1 ; 0 ; 40 ; 0 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; yes ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; +; ACSI_D[1] ; G5 ; 1 ; 0 ; 40 ; 7 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; yes ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; +; ACSI_D[2] ; E3 ; 1 ; 0 ; 39 ; 7 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; yes ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; +; ACSI_D[3] ; C2 ; 1 ; 0 ; 38 ; 14 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; yes ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; +; ACSI_D[4] ; C1 ; 1 ; 0 ; 38 ; 21 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; yes ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; +; ACSI_D[5] ; D2 ; 1 ; 0 ; 37 ; 0 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; yes ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; +; ACSI_D[6] ; H7 ; 1 ; 0 ; 37 ; 14 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; yes ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; +; ACSI_D[7] ; H6 ; 1 ; 0 ; 37 ; 21 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; yes ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; +; FB_AD[0] ; Y3 ; 3 ; 3 ; 0 ; 7 ; 22 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[13]~103 (inverted) ; - ; +; FB_AD[10] ; W7 ; 3 ; 14 ; 0 ; 14 ; 20 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[13]~103 (inverted) ; - ; +; FB_AD[11] ; Y7 ; 3 ; 14 ; 0 ; 7 ; 20 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[13]~103 (inverted) ; - ; +; FB_AD[12] ; U9 ; 3 ; 16 ; 0 ; 21 ; 22 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[13]~103 (inverted) ; - ; +; FB_AD[13] ; V8 ; 3 ; 16 ; 0 ; 14 ; 22 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[13]~103 (inverted) ; - ; +; FB_AD[14] ; W8 ; 3 ; 16 ; 0 ; 7 ; 21 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[13]~103 (inverted) ; - ; +; FB_AD[15] ; AA7 ; 3 ; 16 ; 0 ; 0 ; 20 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[13]~103 (inverted) ; - ; +; FB_AD[16] ; AB7 ; 3 ; 18 ; 0 ; 21 ; 143 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[16]~76 (inverted) ; - ; +; FB_AD[17] ; Y8 ; 3 ; 18 ; 0 ; 14 ; 145 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[16]~76 (inverted) ; - ; +; FB_AD[18] ; V9 ; 3 ; 20 ; 0 ; 21 ; 145 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[18]~162 (inverted) ; - ; +; FB_AD[19] ; V10 ; 3 ; 20 ; 0 ; 14 ; 143 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[18]~228 (inverted) ; - ; +; FB_AD[1] ; Y6 ; 3 ; 5 ; 0 ; 14 ; 21 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[13]~103 (inverted) ; - ; +; FB_AD[20] ; T10 ; 3 ; 18 ; 0 ; 7 ; 145 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[18]~162 (inverted) ; - ; +; FB_AD[21] ; U10 ; 3 ; 22 ; 0 ; 14 ; 144 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[18]~162 (inverted) ; - ; +; FB_AD[22] ; AA8 ; 3 ; 22 ; 0 ; 7 ; 141 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[18]~162 (inverted) ; - ; +; FB_AD[23] ; AB8 ; 3 ; 22 ; 0 ; 0 ; 138 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[18]~228 (inverted) ; - ; +; FB_AD[24] ; T11 ; 3 ; 18 ; 0 ; 0 ; 63 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[26]~195 (inverted) ; - ; +; FB_AD[25] ; AA9 ; 3 ; 27 ; 0 ; 7 ; 59 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[26]~195 (inverted) ; - ; +; FB_AD[26] ; AB9 ; 3 ; 27 ; 0 ; 0 ; 57 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[26]~178 (inverted) ; - ; +; FB_AD[27] ; U11 ; 3 ; 29 ; 0 ; 28 ; 48 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[31]~129 (inverted) ; - ; +; FB_AD[28] ; V11 ; 3 ; 34 ; 0 ; 28 ; 37 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[31]~129 (inverted) ; - ; +; FB_AD[29] ; W10 ; 3 ; 34 ; 0 ; 21 ; 33 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[31]~129 (inverted) ; - ; +; FB_AD[2] ; AA3 ; 3 ; 7 ; 0 ; 28 ; 21 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[13]~103 (inverted) ; - ; +; FB_AD[30] ; Y10 ; 3 ; 34 ; 0 ; 14 ; 35 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[31]~129 (inverted) ; - ; +; FB_AD[31] ; AA10 ; 3 ; 34 ; 0 ; 7 ; 35 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[31]~129 (inverted) ; - ; +; FB_AD[3] ; AB3 ; 3 ; 7 ; 0 ; 21 ; 21 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[13]~103 (inverted) ; - ; +; FB_AD[4] ; W6 ; 3 ; 7 ; 0 ; 14 ; 21 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[13]~103 (inverted) ; - ; +; FB_AD[5] ; V7 ; 3 ; 7 ; 0 ; 7 ; 21 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[13]~103 (inverted) ; - ; +; FB_AD[6] ; AA4 ; 3 ; 9 ; 0 ; 28 ; 20 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[13]~103 (inverted) ; - ; +; FB_AD[7] ; AB4 ; 3 ; 9 ; 0 ; 21 ; 19 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[13]~103 (inverted) ; - ; +; FB_AD[8] ; AA5 ; 3 ; 9 ; 0 ; 14 ; 21 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[13]~103 (inverted) ; - ; +; FB_AD[9] ; AB5 ; 3 ; 9 ; 0 ; 7 ; 21 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[13]~103 (inverted) ; - ; +; IO[0] ; A8 ; 8 ; 25 ; 43 ; 0 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; +; IO[10] ; B15 ; 7 ; 45 ; 43 ; 14 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; +; IO[11] ; C13 ; 7 ; 45 ; 43 ; 21 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; +; IO[12] ; D13 ; 7 ; 45 ; 43 ; 28 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; +; IO[13] ; E13 ; 7 ; 41 ; 43 ; 7 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; +; IO[14] ; A14 ; 7 ; 41 ; 43 ; 14 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; +; IO[15] ; B14 ; 7 ; 38 ; 43 ; 0 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; +; IO[16] ; A13 ; 7 ; 38 ; 43 ; 21 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; +; IO[17] ; B13 ; 7 ; 38 ; 43 ; 28 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; +; IO[1] ; A7 ; 8 ; 25 ; 43 ; 14 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; +; IO[2] ; B7 ; 8 ; 25 ; 43 ; 21 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; +; IO[3] ; A6 ; 8 ; 25 ; 43 ; 28 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; +; IO[4] ; B6 ; 8 ; 22 ; 43 ; 0 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; +; IO[5] ; E9 ; 8 ; 22 ; 43 ; 28 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; +; IO[6] ; C8 ; 8 ; 20 ; 43 ; 0 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; +; IO[7] ; C7 ; 8 ; 20 ; 43 ; 7 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; +; IO[8] ; G10 ; 8 ; 11 ; 43 ; 28 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; +; IO[9] ; A15 ; 7 ; 45 ; 43 ; 7 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; +; LP_D[0] ; F7 ; 8 ; 3 ; 43 ; 21 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_A[6] ; - ; +; LP_D[1] ; C4 ; 8 ; 3 ; 43 ; 0 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_A[6] ; - ; +; LP_D[2] ; C3 ; 8 ; 5 ; 43 ; 28 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_A[6] ; - ; +; LP_D[3] ; E7 ; 8 ; 5 ; 43 ; 21 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_A[6] ; - ; +; LP_D[4] ; D6 ; 8 ; 5 ; 43 ; 14 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_A[6] ; - ; +; LP_D[5] ; B3 ; 8 ; 5 ; 43 ; 7 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_A[6] ; - ; +; LP_D[6] ; A3 ; 8 ; 5 ; 43 ; 0 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_A[6] ; - ; +; LP_D[7] ; G8 ; 8 ; 7 ; 43 ; 21 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_A[6] ; - ; +; SCSI_D[0] ; J6 ; 1 ; 0 ; 36 ; 0 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; +; SCSI_D[1] ; E1 ; 1 ; 0 ; 36 ; 14 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; +; SCSI_D[2] ; F2 ; 1 ; 0 ; 35 ; 7 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; +; SCSI_D[3] ; F1 ; 1 ; 0 ; 35 ; 14 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; +; SCSI_D[4] ; G4 ; 1 ; 0 ; 41 ; 0 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; +; SCSI_D[5] ; G3 ; 1 ; 0 ; 41 ; 7 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; +; SCSI_D[6] ; L8 ; 1 ; 0 ; 31 ; 21 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; +; SCSI_D[7] ; K8 ; 1 ; 0 ; 30 ; 0 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; +; SCSI_PAR ; M7 ; 2 ; 0 ; 11 ; 0 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; +; SD_CD_DATA3 ; F13 ; 7 ; 45 ; 43 ; 0 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; yes ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; +; SD_CMD_D1 ; E14 ; 7 ; 48 ; 43 ; 7 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; yes ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; +; SRD[0] ; B5 ; 8 ; 11 ; 43 ; 14 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; DSP:Mathias_Alles|nSRWE~1 (inverted) ; - ; +; SRD[10] ; A9 ; 8 ; 32 ; 43 ; 28 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; DSP:Mathias_Alles|nSRWE~1 (inverted) ; - ; +; SRD[11] ; B10 ; 8 ; 32 ; 43 ; 21 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; DSP:Mathias_Alles|nSRWE~1 (inverted) ; - ; +; SRD[12] ; D10 ; 8 ; 32 ; 43 ; 0 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; DSP:Mathias_Alles|nSRWE~1 (inverted) ; - ; +; SRD[13] ; F10 ; 8 ; 9 ; 43 ; 0 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; DSP:Mathias_Alles|nSRWE~1 (inverted) ; - ; +; SRD[14] ; G9 ; 8 ; 1 ; 43 ; 28 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; DSP:Mathias_Alles|nSRWE~1 (inverted) ; - ; +; SRD[15] ; H10 ; 8 ; 18 ; 43 ; 0 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; DSP:Mathias_Alles|nSRWE~1 (inverted) ; - ; +; SRD[1] ; A5 ; 8 ; 14 ; 43 ; 14 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; DSP:Mathias_Alles|nSRWE~1 (inverted) ; - ; +; SRD[2] ; C6 ; 8 ; 9 ; 43 ; 7 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; DSP:Mathias_Alles|nSRWE~1 (inverted) ; - ; +; SRD[3] ; G11 ; 8 ; 27 ; 43 ; 0 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; DSP:Mathias_Alles|nSRWE~1 (inverted) ; - ; +; SRD[4] ; C10 ; 8 ; 29 ; 43 ; 21 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; DSP:Mathias_Alles|nSRWE~1 (inverted) ; - ; +; SRD[5] ; F9 ; 8 ; 1 ; 43 ; 7 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; DSP:Mathias_Alles|nSRWE~1 (inverted) ; - ; +; SRD[6] ; E10 ; 8 ; 32 ; 43 ; 7 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; DSP:Mathias_Alles|nSRWE~1 (inverted) ; - ; +; SRD[7] ; H11 ; 8 ; 20 ; 43 ; 28 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; DSP:Mathias_Alles|nSRWE~1 (inverted) ; - ; +; SRD[8] ; B9 ; 8 ; 29 ; 43 ; 0 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; DSP:Mathias_Alles|nSRWE~1 (inverted) ; - ; +; SRD[9] ; A10 ; 8 ; 32 ; 43 ; 14 ; 1 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; DSP:Mathias_Alles|nSRWE~1 (inverted) ; - ; +; VDQS[0] ; AA15 ; 4 ; 43 ; 0 ; 14 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst88 (inverted) ; - ; +; VDQS[1] ; W15 ; 4 ; 52 ; 0 ; 21 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst88 (inverted) ; - ; +; VDQS[2] ; U22 ; 5 ; 67 ; 11 ; 7 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst88 (inverted) ; - ; +; VDQS[3] ; T16 ; 4 ; 63 ; 0 ; 7 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst88 (inverted) ; - ; +; VD[0] ; M22 ; 5 ; 67 ; 18 ; 7 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; +; VD[10] ; P17 ; 5 ; 67 ; 10 ; 14 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; +; VD[11] ; R21 ; 5 ; 67 ; 13 ; 0 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; +; VD[12] ; N17 ; 5 ; 67 ; 17 ; 21 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; +; VD[13] ; P20 ; 5 ; 67 ; 14 ; 21 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; +; VD[14] ; R22 ; 5 ; 67 ; 13 ; 7 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; +; VD[15] ; N20 ; 5 ; 67 ; 15 ; 7 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; +; VD[16] ; T12 ; 4 ; 45 ; 0 ; 7 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; +; VD[17] ; Y13 ; 4 ; 43 ; 0 ; 21 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; +; VD[18] ; AA13 ; 4 ; 38 ; 0 ; 28 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; +; VD[19] ; V14 ; 4 ; 50 ; 0 ; 21 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; +; VD[1] ; M21 ; 5 ; 67 ; 18 ; 0 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; +; VD[20] ; U13 ; 4 ; 50 ; 0 ; 28 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; +; VD[21] ; V15 ; 4 ; 50 ; 0 ; 0 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; +; VD[22] ; W14 ; 4 ; 48 ; 0 ; 21 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; +; VD[23] ; AB16 ; 4 ; 45 ; 0 ; 14 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; +; VD[24] ; AB15 ; 4 ; 43 ; 0 ; 7 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; +; VD[25] ; AA14 ; 4 ; 38 ; 0 ; 14 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; +; VD[26] ; AB14 ; 4 ; 38 ; 0 ; 7 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; +; VD[27] ; V13 ; 4 ; 48 ; 0 ; 28 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; +; VD[28] ; W13 ; 4 ; 43 ; 0 ; 28 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; +; VD[29] ; AB13 ; 4 ; 38 ; 0 ; 21 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; +; VD[2] ; P22 ; 5 ; 67 ; 14 ; 7 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; +; VD[30] ; V12 ; 4 ; 41 ; 0 ; 28 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; +; VD[31] ; U12 ; 4 ; 43 ; 0 ; 0 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; +; VD[3] ; R20 ; 5 ; 67 ; 11 ; 21 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; +; VD[4] ; P21 ; 5 ; 67 ; 14 ; 0 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; +; VD[5] ; R17 ; 5 ; 67 ; 10 ; 21 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; +; VD[6] ; R19 ; 5 ; 67 ; 12 ; 14 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; +; VD[7] ; U21 ; 5 ; 67 ; 11 ; 0 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; +; VD[8] ; V22 ; 5 ; 67 ; 10 ; 7 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; +; VD[9] ; R18 ; 5 ; 67 ; 12 ; 21 ; 3 ; 0 ; no ; no ; yes ; no ; no ; 2 ; yes ; no ; no ; Off ; 2.5 V ; 12mA ; Off ; -- ; User ; 0 pF ; Video:Fredi_Aschwanden|inst37 (inverted) ; - ; +; nSCSI_BUSY ; N8 ; 2 ; 0 ; 11 ; 14 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; +; nSCSI_RST ; N6 ; 2 ; 0 ; 12 ; 21 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; +; nSCSI_SEL ; M8 ; 2 ; 0 ; 11 ; 7 ; 0 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; - ; - ; ++-------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+-----------------+------------------------+---------------+-----------+-----------------+------------+----------+--------------+--------------+------------------+--------------------+---------------------------+----------------------+------+--------------------------------------------------------------------------------------------------+---------------------+ + + ++--------------------------------------------------------------------------------------------------------------------------------------------+ +; Dual Purpose and Dedicated Pins ; ++----------+------------------------------------------+--------------------------------+-------------------------+---------------------------+ +; Location ; Pin Name ; Reserved As ; User Signal Name ; Pin Type ; ++----------+------------------------------------------+--------------------------------+-------------------------+---------------------------+ +; D1 ; DIFFIO_L8n, DATA1, ASDO ; As input tri-stated ; ~ALTERA_ASDO_DATA1~ ; Dual Purpose Pin ; +; E2 ; DIFFIO_L10p, FLASH_nCE, nCSO ; As input tri-stated ; ~ALTERA_FLASH_nCE_nCSO~ ; Dual Purpose Pin ; +; K6 ; nSTATUS ; - ; - ; Dedicated Programming Pin ; +; K2 ; DCLK ; As input tri-stated ; ~ALTERA_DCLK~ ; Dual Purpose Pin ; +; K1 ; DATA0 ; As input tri-stated ; ~ALTERA_DATA0~ ; Dual Purpose Pin ; +; K5 ; nCONFIG ; - ; - ; Dedicated Programming Pin ; +; L3 ; nCE ; - ; - ; Dedicated Programming Pin ; +; N22 ; DIFFIO_R32n, DEV_OE ; Reserved as secondary function ; ~ALTERA_DEV_OE~ ; Dual Purpose Pin ; +; N21 ; DIFFIO_R32p, DEV_CLRn ; Reserved as secondary function ; ~ALTERA_DEV_CLRn~ ; Dual Purpose Pin ; +; M18 ; CONF_DONE ; - ; - ; Dedicated Programming Pin ; +; M17 ; MSEL0 ; - ; - ; Dedicated Programming Pin ; +; L18 ; MSEL1 ; - ; - ; Dedicated Programming Pin ; +; L17 ; MSEL2 ; - ; - ; Dedicated Programming Pin ; +; K20 ; MSEL3 ; - ; - ; Dedicated Programming Pin ; +; K22 ; DIFFIO_R24n, nCEO ; Use as programming pin ; ~ALTERA_nCEO~ ; Dual Purpose Pin ; +; K21 ; DIFFIO_R24p, CLKUSR ; Use as regular IO ; HSYNC_PAD ; Dual Purpose Pin ; +; E22 ; DIFFIO_R12n, nWE ; Use as regular IO ; VG[1] ; Dual Purpose Pin ; +; E21 ; DIFFIO_R12p, nOE ; Use as regular IO ; VG[2] ; Dual Purpose Pin ; +; F20 ; DIFFIO_R8n, nAVD ; Use as regular IO ; nIRQ[4] ; Dual Purpose Pin ; +; F19 ; DIFFIO_R8p ; Use as regular IO ; PIXEL_CLK_PAD ; Dual Purpose Pin ; +; G18 ; DIFFIO_R7n, PADD23 ; Use as regular IO ; VB[0] ; Dual Purpose Pin ; +; B22 ; DIFFIO_R5n, PADD22 ; Use as regular IO ; VB[4] ; Dual Purpose Pin ; +; B21 ; DIFFIO_R5p, PADD21 ; Use as regular IO ; VB[5] ; Dual Purpose Pin ; +; C20 ; DIFFIO_R4n, PADD20, DQS2R/CQ3R,CDPCLK5 ; Use as regular IO ; VB[6] ; Dual Purpose Pin ; +; B18 ; DIFFIO_T45p, PADD0 ; Use as regular IO ; RTS ; Dual Purpose Pin ; +; A17 ; DIFFIO_T41n, PADD1 ; Use as regular IO ; YM_QA ; Dual Purpose Pin ; +; B17 ; DIFFIO_T41p, PADD2 ; Use as regular IO ; SD_DATA2 ; Dual Purpose Pin ; +; E14 ; DIFFIO_T38n, PADD3 ; Use as regular IO ; SD_CMD_D1 ; Dual Purpose Pin ; +; F13 ; DIFFIO_T37p, PADD4, DQS2T/CQ3T,DPCLK8 ; Use as regular IO ; SD_CD_DATA3 ; Dual Purpose Pin ; +; A15 ; DIFFIO_T36n, PADD5 ; Use as regular IO ; IO[9] ; Dual Purpose Pin ; +; B15 ; DIFFIO_T36p, PADD6 ; Use as regular IO ; IO[10] ; Dual Purpose Pin ; +; C13 ; DIFFIO_T35n, PADD7 ; Use as regular IO ; IO[11] ; Dual Purpose Pin ; +; D13 ; DIFFIO_T35p, PADD8 ; Use as regular IO ; IO[12] ; Dual Purpose Pin ; +; A14 ; DIFFIO_T31n, PADD9 ; Use as regular IO ; IO[14] ; Dual Purpose Pin ; +; B14 ; DIFFIO_T31p, PADD10 ; Use as regular IO ; IO[15] ; Dual Purpose Pin ; +; A13 ; DIFFIO_T29n, PADD11 ; Use as regular IO ; IO[16] ; Dual Purpose Pin ; +; B13 ; DIFFIO_T29p, PADD12, DQS4T/CQ5T,DPCLK9 ; Use as regular IO ; IO[17] ; Dual Purpose Pin ; +; E11 ; DIFFIO_T27n, PADD13 ; Use as regular IO ; nDREQ1 ; Dual Purpose Pin ; +; F11 ; DIFFIO_T27p, PADD14 ; Use as regular IO ; nSROE ; Dual Purpose Pin ; +; B10 ; DIFFIO_T25p, PADD15 ; Use as regular IO ; SRD[11] ; Dual Purpose Pin ; +; A9 ; DIFFIO_T24n, PADD16 ; Use as regular IO ; SRD[10] ; Dual Purpose Pin ; +; B9 ; DIFFIO_T24p, PADD17, DQS5T/CQ5T#,DPCLK10 ; Use as regular IO ; SRD[8] ; Dual Purpose Pin ; +; A8 ; DIFFIO_T20n, DATA2 ; Use as regular IO ; IO[0] ; Dual Purpose Pin ; +; B8 ; DIFFIO_T20p, DATA3 ; Use as regular IO ; nSRCS ; Dual Purpose Pin ; +; A7 ; DIFFIO_T19n, PADD18 ; Use as regular IO ; IO[1] ; Dual Purpose Pin ; +; B7 ; DIFFIO_T19p, DATA4 ; Use as regular IO ; IO[2] ; Dual Purpose Pin ; +; A6 ; DIFFIO_T18n, PADD19 ; Use as regular IO ; IO[3] ; Dual Purpose Pin ; +; B6 ; DIFFIO_T18p, DATA15 ; Use as regular IO ; IO[4] ; Dual Purpose Pin ; +; C8 ; DIFFIO_T16n, DATA14, DQS3T/CQ3T#,DPCLK11 ; Use as regular IO ; IO[6] ; Dual Purpose Pin ; +; C7 ; DIFFIO_T16p, DATA13 ; Use as regular IO ; IO[7] ; Dual Purpose Pin ; +; A5 ; DIFFIO_T11p, DATA5 ; Use as regular IO ; SRD[1] ; Dual Purpose Pin ; +; F10 ; DIFFIO_T8p, DATA6 ; Use as regular IO ; SRD[13] ; Dual Purpose Pin ; +; C6 ; DIFFIO_T7n, DATA7 ; Use as regular IO ; SRD[2] ; Dual Purpose Pin ; +; B4 ; DIFFIO_T6p, DATA8 ; Use as regular IO ; nSRBHE ; Dual Purpose Pin ; +; F8 ; DIFFIO_T5n, DATA9 ; Use as regular IO ; nSRWE ; Dual Purpose Pin ; +; A3 ; DIFFIO_T4n, DATA10 ; Use as regular IO ; LP_D[6] ; Dual Purpose Pin ; +; B3 ; DIFFIO_T4p, DATA11 ; Use as regular IO ; LP_D[5] ; Dual Purpose Pin ; +; C4 ; DIFFIO_T3p, DATA12, DQS1T/CQ1T#,CDPCLK7 ; Use as regular IO ; LP_D[1] ; Dual Purpose Pin ; ++----------+------------------------------------------+--------------------------------+-------------------------+---------------------------+ + + ++-------------------------------------------------------------+ +; I/O Bank Usage ; ++----------+-------------------+---------------+--------------+ +; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ; ++----------+-------------------+---------------+--------------+ +; 1 ; 30 / 36 ( 83 % ) ; 3.3V ; -- ; +; 2 ; 44 / 46 ( 96 % ) ; 3.3V ; -- ; +; 3 ; 38 / 42 ( 90 % ) ; 3.3V ; -- ; +; 4 ; 33 / 43 ( 77 % ) ; 2.5V ; -- ; +; 5 ; 37 / 42 ( 88 % ) ; 2.5V ; -- ; +; 6 ; 35 / 37 ( 95 % ) ; 3.0V ; -- ; +; 7 ; 43 / 43 ( 100 % ) ; 3.3V ; -- ; +; 8 ; 42 / 43 ( 98 % ) ; 3.3V ; -- ; ++----------+-------------------+---------------+--------------+ + + ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; All Package Pins ; ++----------+------------+----------+--------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ +; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; I/O Type ; User Assignment ; Bus Hold ; Weak Pull Up ; ++----------+------------+----------+--------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ +; A1 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; A2 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; A3 ; 534 ; 8 ; LP_D[6] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; A4 ; 529 ; 8 ; nSRBLE ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; A5 ; 518 ; 8 ; SRD[1] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; A6 ; 501 ; 8 ; IO[3] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; A7 ; 499 ; 8 ; IO[1] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; A8 ; 497 ; 8 ; IO[0] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; A9 ; 487 ; 8 ; SRD[10] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; A10 ; 485 ; 8 ; SRD[9] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; A11 ; 481 ; 8 ; DVI_INT ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; A12 ; 479 ; 7 ; nDACK1 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; A13 ; 473 ; 7 ; IO[16] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; A14 ; 469 ; 7 ; IO[14] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; A15 ; 458 ; 7 ; IO[9] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; A16 ; 448 ; 7 ; SD_DATA1 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; A17 ; 446 ; 7 ; YM_QA ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; A18 ; 437 ; 7 ; TxD ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; A19 ; 435 ; 7 ; DCD ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; A20 ; 430 ; 7 ; nRD_DATA ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; A21 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; A22 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AA1 ; 125 ; 2 ; nPCI_INTA ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; AA2 ; 124 ; 2 ; PIC_INT ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; AA3 ; 154 ; 3 ; FB_AD[2] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; AA4 ; 158 ; 3 ; FB_AD[6] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; AA5 ; 160 ; 3 ; FB_AD[8] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; AA6 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; AA7 ; 173 ; 3 ; FB_AD[15] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; AA8 ; 183 ; 3 ; FB_AD[22] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; AA9 ; 189 ; 3 ; FB_AD[25] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; AA10 ; 202 ; 3 ; FB_AD[31] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; AA11 ; 204 ; 3 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; +; AA12 ; 206 ; 4 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; +; AA13 ; 208 ; 4 ; VD[18] ; bidir ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; AA14 ; 210 ; 4 ; VD[25] ; bidir ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; AA15 ; 220 ; 4 ; VDQS[0] ; bidir ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; AA16 ; 224 ; 4 ; VDM[0] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; AA17 ; 243 ; 4 ; nDDR_CLK ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; AA18 ; 245 ; 4 ; VA[12] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; AA19 ; 252 ; 4 ; BA[1] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; AA20 ; 259 ; 4 ; VA[7] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; AA21 ; 274 ; 5 ; VA[6] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; +; AA22 ; 273 ; 5 ; VA[4] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; +; AB1 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AB2 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; AB3 ; 155 ; 3 ; FB_AD[3] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; AB4 ; 159 ; 3 ; FB_AD[7] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; AB5 ; 161 ; 3 ; FB_AD[9] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; AB6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AB7 ; 174 ; 3 ; FB_AD[16] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; AB8 ; 184 ; 3 ; FB_AD[23] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; AB9 ; 190 ; 3 ; FB_AD[26] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; AB10 ; 203 ; 3 ; CLK24M576 ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; AB11 ; 205 ; 3 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; +; AB12 ; 207 ; 4 ; CLK33M ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; AB13 ; 209 ; 4 ; VD[29] ; bidir ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; AB14 ; 211 ; 4 ; VD[26] ; bidir ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; AB15 ; 221 ; 4 ; VD[24] ; bidir ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; AB16 ; 225 ; 4 ; VD[23] ; bidir ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; AB17 ; 244 ; 4 ; DDR_CLK ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; AB18 ; 242 ; 4 ; nVCAS ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; AB19 ; 253 ; 4 ; VA[9] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; AB20 ; 260 ; 4 ; VA[8] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; AB21 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; AB22 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B1 ; 4 ; 1 ; ACSI_D[0] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; B2 ; 3 ; 1 ; MIDI_TLR ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; B3 ; 535 ; 8 ; LP_D[5] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; B4 ; 530 ; 8 ; nSRBHE ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; B5 ; 523 ; 8 ; SRD[0] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; B6 ; 502 ; 8 ; IO[4] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; B7 ; 500 ; 8 ; IO[2] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; B8 ; 498 ; 8 ; nSRCS ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; B9 ; 488 ; 8 ; SRD[8] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; B10 ; 486 ; 8 ; SRD[11] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; B11 ; 482 ; 8 ; nRSTO_MCF ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; B12 ; 480 ; 7 ; nDACK0 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; B13 ; 474 ; 7 ; IO[17] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; B14 ; 470 ; 7 ; IO[15] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; B15 ; 459 ; 7 ; IO[10] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; B16 ; 449 ; 7 ; SD_DATA0 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; B17 ; 447 ; 7 ; SD_DATA2 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; B18 ; 438 ; 7 ; RTS ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; B19 ; 434 ; 7 ; RI ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; B20 ; 431 ; 7 ; nSDSEL ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; B21 ; 404 ; 6 ; VB[5] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; B22 ; 403 ; 6 ; VB[4] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; C1 ; 15 ; 1 ; ACSI_D[4] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; C2 ; 14 ; 1 ; ACSI_D[3] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; C3 ; 538 ; 8 ; LP_D[2] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; C4 ; 539 ; 8 ; LP_D[1] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; C5 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; C6 ; 526 ; 8 ; SRD[2] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; C7 ; 508 ; 8 ; IO[7] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; C8 ; 507 ; 8 ; IO[6] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; C9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; C10 ; 491 ; 8 ; SRD[4] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; C11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; C12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; C13 ; 460 ; 7 ; IO[11] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; C14 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; C15 ; 450 ; 7 ; SD_CLK ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; C16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; C17 ; 433 ; 7 ; nDCHG ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; C18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; C19 ; 428 ; 7 ; TRACK00 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; C20 ; 405 ; 6 ; VB[6] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; C21 ; 401 ; 6 ; VB[3] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; C22 ; 400 ; 6 ; VB[2] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; D1 ; 17 ; 1 ; ~ALTERA_ASDO_DATA1~ / RESERVED_INPUT ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; D2 ; 16 ; 1 ; ACSI_D[5] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; D3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D4 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; D5 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; D6 ; 536 ; 8 ; LP_D[4] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; D7 ; 527 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; D8 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D9 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; D10 ; 483 ; 8 ; SRD[12] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; D11 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; D12 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; D13 ; 461 ; 7 ; IO[12] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; D14 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; D15 ; 439 ; 7 ; DTR ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; D16 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; D17 ; 426 ; 7 ; nWR_GATE ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; D18 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; D19 ; 429 ; 7 ; nWP ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; D20 ; 407 ; 6 ; VB[7] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; D21 ; 395 ; 6 ; VG[7] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; D22 ; 394 ; 6 ; VG[6] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; E1 ; 22 ; 1 ; SCSI_D[1] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; E2 ; 21 ; 1 ; ~ALTERA_FLASH_nCE_nCSO~ / RESERVED_INPUT ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; E3 ; 9 ; 1 ; ACSI_D[2] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; E4 ; 8 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; E5 ; 546 ; 8 ; LPDIR ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; E6 ; 545 ; 8 ; LP_STR ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; E7 ; 537 ; 8 ; LP_D[3] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; E8 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; E9 ; 506 ; 8 ; IO[5] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; E10 ; 484 ; 8 ; SRD[6] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; E11 ; 477 ; 7 ; nDREQ1 ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; E12 ; 476 ; 7 ; MIDI_IN ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; E13 ; 468 ; 7 ; IO[13] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; E14 ; 453 ; 7 ; SD_CMD_D1 ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; E15 ; 440 ; 7 ; YM_QC ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; E16 ; 418 ; 7 ; nINDEX ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; E17 ; ; ; VCCD_PLL2 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; E18 ; ; ; GNDA2 ; gnd ; ; ; -- ; ; -- ; -- ; +; E19 ; ; 6 ; VCCIO6 ; power ; ; 3.0V ; -- ; ; -- ; -- ; +; E20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; E21 ; 388 ; 6 ; VG[2] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; E22 ; 387 ; 6 ; VG[1] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; F1 ; 26 ; 1 ; SCSI_D[3] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; F2 ; 25 ; 1 ; SCSI_D[2] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; F3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; F4 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; F5 ; ; ; GNDA3 ; gnd ; ; ; -- ; ; -- ; -- ; +; F6 ; ; ; VCCD_PLL3 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; F7 ; 542 ; 8 ; LP_D[0] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; F8 ; 531 ; 8 ; nSRWE ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; F9 ; 544 ; 8 ; SRD[5] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; F10 ; 525 ; 8 ; SRD[13] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; F11 ; 478 ; 7 ; nSROE ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; F12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; F13 ; 457 ; 7 ; SD_CD_DATA3 ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; F14 ; 423 ; 7 ; nSTEP ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; F15 ; 419 ; 7 ; DSA_D ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; F16 ; 417 ; 7 ; HD_DD ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; F17 ; 410 ; 6 ; nSYNC ; output ; 3.0-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; +; F18 ; ; -- ; VCCA2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; F19 ; 397 ; 6 ; PIXEL_CLK_PAD ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; F20 ; 396 ; 6 ; nIRQ[4] ; output ; 3.0-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; +; F21 ; 376 ; 6 ; nIRQ[2] ; output ; 3.0-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; +; F22 ; 375 ; 6 ; VR[7] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; G1 ; 67 ; 1 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; G2 ; 66 ; 1 ; MAIN_CLK ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; G3 ; 1 ; 1 ; SCSI_D[5] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; G4 ; 0 ; 1 ; SCSI_D[4] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; G5 ; 5 ; 1 ; ACSI_D[1] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; G6 ; ; -- ; VCCA3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; G7 ; 543 ; 8 ; LP_BUSY ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; G8 ; 532 ; 8 ; LP_D[7] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; G9 ; 547 ; 8 ; SRD[14] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; G10 ; 524 ; 8 ; IO[8] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; G11 ; 492 ; 8 ; SRD[3] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; G12 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; G13 ; 444 ; 7 ; YM_QB ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; G14 ; 441 ; 7 ; nWR ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; G15 ; 422 ; 7 ; nSTEP_DIR ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; G16 ; 420 ; 7 ; nMOT_ON ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; G17 ; 411 ; 6 ; nBLANK_PAD ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; G18 ; 398 ; 6 ; VB[0] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; G19 ; ; 6 ; VCCIO6 ; power ; ; 3.0V ; -- ; ; -- ; -- ; +; G20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G21 ; 345 ; 6 ; E0_INT ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; G22 ; 344 ; 6 ; IDE_INT ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; H1 ; 52 ; 1 ; nSCSI_C_D ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; H2 ; 51 ; 1 ; nSCSI_MSG ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; H3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; H4 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; H5 ; 42 ; 1 ; MIDI_OLR ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; H6 ; 19 ; 1 ; ACSI_D[7] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; H7 ; 18 ; 1 ; ACSI_D[6] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; H8 ; 29 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; H9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; H10 ; 512 ; 8 ; SRD[15] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; H11 ; 511 ; 8 ; SRD[7] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; H12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; H13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; H14 ; 425 ; 7 ; CTS ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; H15 ; 424 ; 7 ; RxD ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; H16 ; 393 ; 6 ; VG[5] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; H17 ; 399 ; 6 ; VB[1] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; H18 ; 391 ; 6 ; VG[3] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; H19 ; 386 ; 6 ; VG[0] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; H20 ; 385 ; 6 ; nIRQ[3] ; output ; 3.0-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; +; H21 ; 365 ; 6 ; VR[3] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; H22 ; 364 ; 6 ; VR[2] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; J1 ; 55 ; 1 ; CLKUSB ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; J2 ; 54 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J3 ; 53 ; 1 ; nSCSI_I_O ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; J4 ; 50 ; 1 ; nACSI_INT ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; J5 ; 38 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J6 ; 20 ; 1 ; SCSI_D[0] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; J7 ; 45 ; 1 ; SCSI_DIR ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; J8 ; 30 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; J9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; J10 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; J11 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; J12 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; J13 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; J14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; J15 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; J16 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; J17 ; 392 ; 6 ; VG[4] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; J18 ; 374 ; 6 ; VR[6] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; J19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; J20 ; ; 6 ; VCCIO6 ; power ; ; 3.0V ; -- ; ; -- ; -- ; +; J21 ; 363 ; 6 ; VR[1] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; J22 ; 362 ; 6 ; VR[0] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; K1 ; 59 ; 1 ; ~ALTERA_DATA0~ / RESERVED_INPUT ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; K2 ; 58 ; 1 ; ~ALTERA_DCLK~ / RESERVED_INPUT ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; K3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K4 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; K5 ; 60 ; 1 ; ^nCONFIG ; ; ; ; -- ; ; -- ; -- ; +; K6 ; 41 ; 1 ; ^nSTATUS ; ; ; ; -- ; ; -- ; -- ; +; K7 ; 46 ; 1 ; nACSI_DRQ ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; K8 ; 44 ; 1 ; SCSI_D[7] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; K9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; K10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; K15 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; K16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K17 ; 369 ; 6 ; VR[4] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; K18 ; 370 ; 6 ; VR[5] ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; K19 ; 357 ; 6 ; VSYNC_PAD ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; K20 ; 350 ; 6 ; ^MSEL3 ; ; ; ; -- ; ; -- ; -- ; +; K21 ; 361 ; 6 ; HSYNC_PAD ; output ; 3.0-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; K22 ; 360 ; 6 ; ~ALTERA_nCEO~ / RESERVED_OUTPUT_OPEN_DRAIN ; output ; 3.0-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; L1 ; 63 ; 1 ; #TMS ; input ; ; ; -- ; ; -- ; -- ; +; L2 ; 62 ; 1 ; #TCK ; input ; ; ; -- ; ; -- ; -- ; +; L3 ; 65 ; 1 ; ^nCE ; ; ; ; -- ; ; -- ; -- ; +; L4 ; 64 ; 1 ; #TDO ; output ; ; ; -- ; ; -- ; -- ; +; L5 ; 61 ; 1 ; #TDI ; input ; ; ; -- ; ; -- ; -- ; +; L6 ; 70 ; 2 ; ACSI_DIR ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; L7 ; 79 ; 2 ; PIC_AMKB_RX ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; L8 ; 43 ; 1 ; SCSI_D[6] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; L9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; L10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; L15 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L16 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; L17 ; 349 ; 6 ; ^MSEL2 ; ; ; ; -- ; ; -- ; -- ; +; L18 ; 348 ; 6 ; ^MSEL1 ; ; ; ; -- ; ; -- ; -- ; +; L19 ; ; 6 ; VCCIO6 ; power ; ; 3.0V ; -- ; ; -- ; -- ; +; L20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L21 ; 354 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; L22 ; 353 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M1 ; 73 ; 2 ; nACSI_RESET ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; M2 ; 72 ; 2 ; nACSI_CS ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; M3 ; 75 ; 2 ; nSCSI_ATN ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; M4 ; 74 ; 2 ; nACSI_ACK ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; M5 ; 80 ; 2 ; IDE_RES ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; M6 ; 71 ; 2 ; ACSI_A1 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; M7 ; 105 ; 2 ; SCSI_PAR ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; M8 ; 106 ; 2 ; nSCSI_SEL ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; M9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; M10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; M15 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; M16 ; 337 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; M17 ; 347 ; 6 ; ^MSEL0 ; ; ; ; -- ; ; -- ; -- ; +; M18 ; 346 ; 6 ; ^CONF_DONE ; ; ; ; -- ; ; -- ; -- ; +; M19 ; 336 ; 5 ; SD_WP ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; M20 ; 335 ; 5 ; SD_CARD_DEDECT ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; M21 ; 334 ; 5 ; VD[1] ; bidir ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; +; M22 ; 333 ; 5 ; VD[0] ; bidir ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; +; N1 ; 77 ; 2 ; AMKB_TX ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; +; N2 ; 76 ; 2 ; nSCSI_ACK ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; N3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N4 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; N5 ; 87 ; 2 ; nRP_LDS ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; N6 ; 104 ; 2 ; nSCSI_RST ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; N7 ; 122 ; 2 ; nIRQ[7] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; N8 ; 107 ; 2 ; nSCSI_BUSY ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; N9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; N10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; N15 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N16 ; 314 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N17 ; 329 ; 5 ; VD[12] ; bidir ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; +; N18 ; 330 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; N19 ; 324 ; 5 ; LED_FPGA_OK ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; +; N20 ; 323 ; 5 ; VD[15] ; bidir ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; +; N21 ; 332 ; 5 ; ~ALTERA_DEV_CLRn~ / RESERVED_INPUT ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ; +; N22 ; 331 ; 5 ; ~ALTERA_DEV_OE~ / RESERVED_INPUT ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ; +; P1 ; 84 ; 2 ; nIDE_RD ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; P2 ; 83 ; 2 ; nIDE_WR ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; P3 ; 89 ; 2 ; nROM3 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; P4 ; 88 ; 2 ; nRP_UDS ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; P5 ; 103 ; 2 ; nIRQ[5] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; P6 ; 131 ; 2 ; nPCI_INTD ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; P7 ; 123 ; 2 ; nIRQ[6] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; P8 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; P9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; P10 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; P11 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; P12 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; P13 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; P14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; P15 ; 298 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P16 ; 299 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; P17 ; 302 ; 5 ; VD[10] ; bidir ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; +; P18 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; P19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; P20 ; 317 ; 5 ; VD[13] ; bidir ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; +; P21 ; 320 ; 5 ; VD[4] ; bidir ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; +; P22 ; 319 ; 5 ; VD[2] ; bidir ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; +; R1 ; 86 ; 2 ; nIDE_CS1 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; R2 ; 85 ; 2 ; nIDE_CS0 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; R3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; R4 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; R5 ; 135 ; 2 ; TIN0 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; R6 ; 136 ; 2 ; nFB_OE ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; R7 ; 137 ; 2 ; FB_ALE ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; R8 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; R9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; R10 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; R11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; R12 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; R13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; R14 ; 268 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R15 ; 269 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R16 ; 267 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; R17 ; 301 ; 5 ; VD[5] ; bidir ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; +; R18 ; 309 ; 5 ; VD[9] ; bidir ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; +; R19 ; 310 ; 5 ; VD[6] ; bidir ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; +; R20 ; 305 ; 5 ; VD[3] ; bidir ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; +; R21 ; 316 ; 5 ; VD[11] ; bidir ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; +; R22 ; 315 ; 5 ; VD[14] ; bidir ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; +; T1 ; 69 ; 2 ; WP_CF_CARD ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; T2 ; 68 ; 2 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; T3 ; 121 ; 2 ; nFB_BURST ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; T4 ; 134 ; 2 ; CLK25M ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; T5 ; 133 ; 2 ; nFB_WR ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; T6 ; ; -- ; VCCA1 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T7 ; 138 ; 2 ; nFB_TA ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; T8 ; 166 ; 3 ; nFB_CS1 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; T9 ; 167 ; 3 ; nFB_CS2 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; T10 ; 176 ; 3 ; FB_AD[20] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; T11 ; 177 ; 3 ; FB_AD[24] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; T12 ; 226 ; 4 ; VD[16] ; bidir ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; T13 ; 227 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; T14 ; 240 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; T15 ; 241 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; T16 ; 266 ; 4 ; VDQS[3] ; bidir ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; T17 ; 277 ; 5 ; VDM[3] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; +; T18 ; 278 ; 5 ; nVCS ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; +; T19 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; T20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T21 ; 343 ; 5 ; nMASTER ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; T22 ; 342 ; 5 ; TOUT0 ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; U1 ; 92 ; 2 ; nSCSI_DRQ ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; U2 ; 91 ; 2 ; nROM4 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; U3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; U4 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; U5 ; ; ; GNDA1 ; gnd ; ; ; -- ; ; -- ; -- ; +; U6 ; ; ; VCCD_PLL1 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; U7 ; 145 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; U8 ; 146 ; 3 ; FB_SIZE0 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; U9 ; 170 ; 3 ; FB_AD[12] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; U10 ; 182 ; 3 ; FB_AD[21] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; U11 ; 191 ; 3 ; FB_AD[27] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; U12 ; 222 ; 4 ; VD[31] ; bidir ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; U13 ; 233 ; 4 ; VD[20] ; bidir ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; U14 ; 235 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; U15 ; 236 ; 4 ; VCKE ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; U16 ; 262 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; U17 ; 263 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; U18 ; ; -- ; VCCA4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; U19 ; 291 ; 5 ; VA[11] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; +; U20 ; 290 ; 5 ; VDM[2] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; +; U21 ; 308 ; 5 ; VD[7] ; bidir ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; +; U22 ; 307 ; 5 ; VDQS[2] ; bidir ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; +; V1 ; 98 ; 2 ; nPD_VGA ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; V2 ; 97 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; V3 ; 130 ; 2 ; nPCI_INTC ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; V4 ; 129 ; 2 ; nPCI_INTB ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; V5 ; 142 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; V6 ; 141 ; 3 ; nFB_CS3 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; V7 ; 157 ; 3 ; FB_AD[5] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; V8 ; 171 ; 3 ; FB_AD[13] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; V9 ; 178 ; 3 ; FB_AD[18] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; V10 ; 179 ; 3 ; FB_AD[19] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; V11 ; 199 ; 3 ; FB_AD[28] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; V12 ; 213 ; 4 ; VD[30] ; bidir ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; V13 ; 228 ; 4 ; VD[27] ; bidir ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; V14 ; 234 ; 4 ; VD[19] ; bidir ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; V15 ; 237 ; 4 ; VD[21] ; bidir ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; V16 ; 261 ; 4 ; VDM[1] ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; V17 ; ; ; VCCD_PLL4 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; V18 ; ; ; GNDA4 ; gnd ; ; ; -- ; ; -- ; -- ; +; V19 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; V20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; V21 ; 304 ; 5 ; VA[10] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; +; V22 ; 303 ; 5 ; VD[8] ; bidir ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; +; W1 ; 111 ; 2 ; nCF_CS1 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; W2 ; 110 ; 2 ; nCF_CS0 ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; W3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; W4 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; W5 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; W6 ; 156 ; 3 ; FB_AD[4] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; W7 ; 168 ; 3 ; FB_AD[10] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; W8 ; 172 ; 3 ; FB_AD[14] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; W9 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; W10 ; 200 ; 3 ; FB_AD[29] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; W11 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; W12 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; W13 ; 218 ; 4 ; VD[28] ; bidir ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; W14 ; 229 ; 4 ; VD[22] ; bidir ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; W15 ; 239 ; 4 ; VDQS[1] ; bidir ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; W16 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; W17 ; 257 ; 4 ; nVRAS ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; W18 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; W19 ; 285 ; 5 ; BA[0] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; +; W20 ; 280 ; 5 ; VA[0] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; +; W21 ; 293 ; 5 ; VA[2] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; +; W22 ; 292 ; 5 ; VA[1] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; +; Y1 ; 113 ; 2 ; IDE_RDY ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; Y2 ; 112 ; 2 ; AMKB_RX ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; Y3 ; 148 ; 3 ; FB_AD[0] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; Y4 ; 147 ; 3 ; FB_SIZE1 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; Y5 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; Y6 ; 152 ; 3 ; FB_AD[1] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; Y7 ; 169 ; 3 ; FB_AD[11] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; Y8 ; 175 ; 3 ; FB_AD[17] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; Y9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; Y10 ; 201 ; 3 ; FB_AD[30] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; Y11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; Y12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; Y13 ; 219 ; 4 ; VD[17] ; bidir ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; Y14 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; Y15 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; Y16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; Y17 ; 258 ; 4 ; nVWE ; output ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; +; Y18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; Y19 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; Y20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; Y21 ; 289 ; 5 ; VA[5] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; +; Y22 ; 288 ; 5 ; VA[3] ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; ++----------+------------+----------+--------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ +Note: Pin directions (input, output or bidir) are based on device operating in user mode. + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; PLL Summary ; ++-------------------------------+----------------------------------------------------------------------+------------------------------------------------------------------------+------------------------------------------------------------------------+--------------------------------------------------------------------------+ +; Name ; altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|pll1 ; altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|pll1 ; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|pll1 ; altpll4:inst22|altpll:altpll_component|altpll_r4n2:auto_generated|pll1 ; ++-------------------------------+----------------------------------------------------------------------+------------------------------------------------------------------------+------------------------------------------------------------------------+--------------------------------------------------------------------------+ +; SDC pin name ; inst|altpll_component|auto_generated|pll1 ; inst13|altpll_component|auto_generated|pll1 ; inst12|altpll_component|auto_generated|pll1 ; inst22|altpll_component|auto_generated|pll1 ; +; PLL mode ; Source Synchronous ; Source Synchronous ; Source Synchronous ; Normal ; +; Compensate clock ; clock0 ; clock1 ; clock0 ; clock0 ; +; Compensated input/output pins ; -- ; nRD_DATA ; MAIN_CLK ; -- ; +; Switchover type ; -- ; -- ; -- ; -- ; +; Input frequency 0 ; 33.0 MHz ; 33.0 MHz ; 33.0 MHz ; 48.0 MHz ; +; Input frequency 1 ; -- ; -- ; -- ; -- ; +; Nominal PFD frequency ; 5.5 MHz ; 11.0 MHz ; 33.0 MHz ; 48.0 MHz ; +; Nominal VCO frequency ; 368.5 MHz ; 1199.0 MHz ; 396.0 MHz ; 576.0 MHz ; +; VCO post scale K counter ; 2 ; -- ; 2 ; 2 ; +; VCO frequency control ; Auto ; Auto ; Auto ; Auto ; +; VCO phase shift step ; 339 ps ; 104 ps ; 315 ps ; 217 ps ; +; VCO multiply ; -- ; -- ; -- ; -- ; +; VCO divide ; -- ; -- ; -- ; -- ; +; Freq min lock ; 32.4 MHz ; 16.8 MHz ; 25.0 MHz ; 25.0 MHz ; +; Freq max lock ; 58.23 MHz ; 35.79 MHz ; 54.18 MHz ; 54.18 MHz ; +; M VCO Tap ; 0 ; 0 ; 0 ; 0 ; +; M Initial ; 1 ; 1 ; 1 ; 1 ; +; M value ; 67 ; 109 ; 12 ; 12 ; +; N value ; 6 ; 3 ; 1 ; 1 ; +; Charge pump current ; setting 1 ; setting 1 ; setting 1 ; setting 1 ; +; Loop filter resistance ; setting 16 ; setting 19 ; setting 27 ; setting 27 ; +; Loop filter capacitance ; setting 0 ; setting 0 ; setting 0 ; setting 0 ; +; Bandwidth ; 340 kHz to 540 kHz ; 450 kHz to 560 kHz ; 680 kHz to 980 kHz ; 680 kHz to 980 kHz ; +; Bandwidth type ; Medium ; Medium ; Medium ; Medium ; +; Real time reconfigurable ; Off ; Off ; Off ; On ; +; Scan chain MIF file ; -- ; -- ; -- ; altpll4.mif ; +; Preserve PLL counter order ; Off ; Off ; Off ; Off ; +; PLL location ; PLL_3 ; PLL_4 ; PLL_1 ; PLL_2 ; +; Inclk0 signal ; CLK33M ; CLK33M ; MAIN_CLK ; altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[3] ; +; Inclk1 signal ; -- ; -- ; -- ; -- ; +; Inclk0 signal type ; Global Clock ; Dedicated Pin ; Dedicated Pin ; Global Clock ; +; Inclk1 signal type ; -- ; -- ; -- ; -- ; ++-------------------------------+----------------------------------------------------------------------+------------------------------------------------------------------------+------------------------------------------------------------------------+--------------------------------------------------------------------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; PLL Usage ; ++-------------------------------------------------------------------------------------+--------------+------+------+------------------+----------------+------------------+------------+---------+---------------+--------------+---------------+---------+---------+----------------------------------------------------+ +; Name ; Output Clock ; Mult ; Div ; Output Frequency ; Phase Shift ; Phase Shift Step ; Duty Cycle ; Counter ; Counter Value ; High / Low ; Cascade Input ; Initial ; VCO Tap ; SDC Pin Name ; ++-------------------------------------------------------------------------------------+--------------+------+------+------------------+----------------+------------------+------------+---------+---------------+--------------+---------------+---------+---------+----------------------------------------------------+ +; altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|clk[0] ; clock0 ; 1 ; 66 ; 0.5 MHz ; 0 (0 ps) ; 0.67 (339 ps) ; 50/50 ; C1 ; 67 ; 34/33 Odd ; C0 ; 1 ; 0 ; inst|altpll_component|auto_generated|pll1|clk[0] ; +; altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|clk[1] ; clock1 ; 67 ; 900 ; 2.46 MHz ; 0 (0 ps) ; 0.30 (339 ps) ; 50/50 ; C2 ; 150 ; 75/75 Even ; -- ; 1 ; 0 ; inst|altpll_component|auto_generated|pll1|clk[1] ; +; altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|clk[2] ; clock2 ; 67 ; 90 ; 24.57 MHz ; 0 (0 ps) ; 3.00 (339 ps) ; 50/50 ; C3 ; 15 ; 8/7 Odd ; -- ; 1 ; 0 ; inst|altpll_component|auto_generated|pll1|clk[2] ; +; altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|clk[0]~cascade_in ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; C0 ; 11 ; 5/6 Odd ; -- ; 1 ; 0 ; ; +; altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[0] ; clock0 ; 109 ; 1800 ; 2.0 MHz ; 0 (0 ps) ; 0.15 (104 ps) ; 50/50 ; C1 ; 300 ; 150/150 Even ; C0 ; 1 ; 0 ; inst13|altpll_component|auto_generated|pll1|clk[0] ; +; altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[1] ; clock1 ; 109 ; 225 ; 15.99 MHz ; 0 (0 ps) ; 0.60 (104 ps) ; 50/50 ; C2 ; 75 ; 38/37 Odd ; -- ; 1 ; 0 ; inst13|altpll_component|auto_generated|pll1|clk[1] ; +; altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[2] ; clock2 ; 109 ; 144 ; 24.98 MHz ; 0 (0 ps) ; 0.94 (104 ps) ; 50/50 ; C3 ; 48 ; 24/24 Even ; -- ; 1 ; 0 ; inst13|altpll_component|auto_generated|pll1|clk[2] ; +; altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[3] ; clock3 ; 109 ; 75 ; 47.96 MHz ; 0 (0 ps) ; 1.80 (104 ps) ; 50/50 ; C4 ; 25 ; 13/12 Odd ; -- ; 1 ; 0 ; inst13|altpll_component|auto_generated|pll1|clk[3] ; +; altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[0]~cascade_in ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; C0 ; 2 ; 1/1 Even ; -- ; 1 ; 0 ; ; +; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[0] ; clock0 ; 4 ; 1 ; 132.0 MHz ; 240 (5051 ps) ; 15.00 (315 ps) ; 50/50 ; C2 ; 3 ; 2/1 Odd ; -- ; 3 ; 0 ; inst12|altpll_component|auto_generated|pll1|clk[0] ; +; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[1] ; clock1 ; 4 ; 1 ; 132.0 MHz ; 0 (0 ps) ; 15.00 (315 ps) ; 50/50 ; C4 ; 3 ; 2/1 Odd ; -- ; 1 ; 0 ; inst12|altpll_component|auto_generated|pll1|clk[1] ; +; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[2] ; clock2 ; 4 ; 1 ; 132.0 MHz ; 180 (3788 ps) ; 15.00 (315 ps) ; 50/50 ; C3 ; 3 ; 2/1 Odd ; -- ; 2 ; 4 ; inst12|altpll_component|auto_generated|pll1|clk[2] ; +; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[3] ; clock3 ; 4 ; 1 ; 132.0 MHz ; 105 (2210 ps) ; 15.00 (315 ps) ; 50/50 ; C1 ; 3 ; 2/1 Odd ; -- ; 1 ; 7 ; inst12|altpll_component|auto_generated|pll1|clk[3] ; +; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[4] ; clock4 ; 2 ; 1 ; 66.0 MHz ; 270 (11364 ps) ; 7.50 (315 ps) ; 50/50 ; C0 ; 6 ; 3/3 Even ; -- ; 5 ; 4 ; inst12|altpll_component|auto_generated|pll1|clk[4] ; +; altpll4:inst22|altpll:altpll_component|altpll_r4n2:auto_generated|clk[0] ; clock0 ; 2 ; 1 ; 96.0 MHz ; 0 (0 ps) ; 7.50 (217 ps) ; 50/50 ; C0 ; 6 ; 3/3 Even ; -- ; 1 ; 0 ; inst22|altpll_component|auto_generated|pll1|clk[0] ; ++-------------------------------------------------------------------------------------+--------------+------+------+------------------+----------------+------------------+------------+---------+---------------+--------------+---------------+---------+---------+----------------------------------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Resource Utilization by Entity ; ++-----------------------------------------------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------+ +; Compilation Hierarchy Node ; Logic Cells ; Dedicated Logic Registers ; I/O Registers ; Memory Bits ; M9Ks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Full Hierarchy Name ; Library Name ; ++-----------------------------------------------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------+ +; |firebee1 ; 9435 (8) ; 4622 (0) ; 128 (128) ; 109344 ; 23 ; 6 ; 0 ; 3 ; 295 ; 0 ; 4813 (8) ; 1468 (0) ; 3154 (2) ; |firebee1 ; ; +; |DSP:Mathias_Alles| ; 5 (5) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 0 (0) ; 2 (2) ; |firebee1|DSP:Mathias_Alles ; ; +; |FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden| ; 3987 (631) ; 1633 (114) ; 0 (0) ; 16384 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2281 (451) ; 302 (8) ; 1404 (164) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden ; ; +; |WF1772IP_TOP_SOC:I_FDC| ; 913 (18) ; 406 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 497 (10) ; 33 (0) ; 383 (14) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC ; ; +; |WF1772IP_AM_DETECTOR:I_AM_DETECTOR| ; 40 (40) ; 27 (27) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 13 (13) ; 1 (1) ; 26 (26) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_AM_DETECTOR:I_AM_DETECTOR ; ; +; |WF1772IP_CONTROL:I_CONTROL| ; 484 (484) ; 197 (197) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 285 (285) ; 13 (13) ; 186 (186) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL ; ; +; |WF1772IP_CRC_LOGIC:I_CRC_LOGIC| ; 37 (37) ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 21 (21) ; 3 (3) ; 13 (13) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CRC_LOGIC:I_CRC_LOGIC ; ; +; |WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL| ; 105 (105) ; 38 (38) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 67 (67) ; 1 (1) ; 37 (37) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL ; ; +; |WF1772IP_REGISTERS:I_REGISTERS| ; 107 (107) ; 48 (48) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 58 (58) ; 9 (9) ; 40 (40) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS ; ; +; |WF1772IP_TRANSCEIVER:I_TRANSCEIVER| ; 123 (123) ; 80 (80) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 43 (43) ; 6 (6) ; 74 (74) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER ; ; +; |WF2149IP_TOP_SOC:I_SOUND| ; 535 (102) ; 210 (29) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 324 (73) ; 52 (22) ; 159 (14) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND ; ; +; |WF2149IP_WAVE:I_PSG_WAVE| ; 435 (435) ; 181 (181) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 251 (251) ; 30 (30) ; 154 (154) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE ; ; +; |WF5380_TOP_SOC:I_SCSI| ; 1 (0) ; 1 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 1 (0) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI ; ; +; |WF5380_CONTROL:I_CONTROL| ; 1 (1) ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 1 (1) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL ; ; +; |WF6850IP_TOP_SOC:I_ACIA_KEYBOARD| ; 214 (2) ; 97 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 112 (2) ; 9 (0) ; 93 (0) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD ; ; +; |WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS| ; 27 (27) ; 11 (11) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 15 (15) ; 5 (5) ; 7 (7) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS ; ; +; |WF6850IP_RECEIVE:I_UART_RECEIVE| ; 99 (99) ; 47 (47) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 48 (48) ; 2 (2) ; 49 (49) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE ; ; +; |WF6850IP_TRANSMIT:I_UART_TRANSMIT| ; 86 (86) ; 39 (39) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 47 (47) ; 2 (2) ; 37 (37) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT ; ; +; |WF6850IP_TOP_SOC:I_ACIA_MIDI| ; 212 (1) ; 97 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 107 (1) ; 8 (0) ; 97 (0) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI ; ; +; |WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS| ; 29 (29) ; 11 (11) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 16 (16) ; 2 (2) ; 11 (11) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS ; ; +; |WF6850IP_RECEIVE:I_UART_RECEIVE| ; 101 (101) ; 47 (47) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 52 (52) ; 5 (5) ; 44 (44) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE ; ; +; |WF6850IP_TRANSMIT:I_UART_TRANSMIT| ; 85 (85) ; 39 (39) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 38 (38) ; 1 (1) ; 46 (46) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT ; ; +; |WF68901IP_TOP_SOC:I_MFP| ; 1206 (114) ; 460 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 740 (111) ; 87 (0) ; 379 (57) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP ; ; +; |WF68901IP_GPIO:I_GPIO| ; 43 (43) ; 24 (24) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 19 (19) ; 6 (6) ; 18 (18) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO ; ; +; |WF68901IP_INTERRUPTS:I_INTERRUPTS| ; 305 (305) ; 128 (128) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 175 (175) ; 30 (30) ; 100 (100) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS ; ; +; |WF68901IP_TIMERS:I_TIMERS| ; 432 (432) ; 166 (166) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 264 (264) ; 23 (23) ; 145 (145) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS ; ; +; |WF68901IP_USART_TOP:I_USART| ; 316 (2) ; 140 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 171 (2) ; 28 (0) ; 117 (1) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART ; ; +; |WF68901IP_USART_CTRL:I_USART_CTRL| ; 78 (78) ; 49 (49) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 29 (29) ; 24 (24) ; 25 (25) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL ; ; +; |WF68901IP_USART_RX:I_USART_RECEIVE| ; 159 (159) ; 56 (56) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 95 (95) ; 3 (3) ; 61 (61) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE ; ; +; |WF68901IP_USART_TX:I_USART_TRANSMIT| ; 87 (87) ; 35 (35) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 45 (45) ; 1 (1) ; 41 (41) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT ; ; +; |dcfifo0:RDF| ; 146 (0) ; 124 (0) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 21 (0) ; 51 (0) ; 74 (0) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF ; ; +; |dcfifo_mixed_widths:dcfifo_mixed_widths_component| ; 146 (0) ; 124 (0) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 21 (0) ; 51 (0) ; 74 (0) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component ; ; +; |dcfifo_0hh1:auto_generated| ; 146 (55) ; 124 (42) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 21 (5) ; 51 (23) ; 74 (11) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated ; ; +; |a_gray2bin_lfb:wrptr_g_gray2bin| ; 7 (7) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 5 (5) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_gray2bin_lfb:wrptr_g_gray2bin ; ; +; |a_gray2bin_lfb:ws_dgrp_gray2bin| ; 8 (8) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 6 (6) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_gray2bin_lfb:ws_dgrp_gray2bin ; ; +; |a_graycounter_fic:wrptr_g1p| ; 17 (17) ; 13 (13) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (4) ; 0 (0) ; 13 (13) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_graycounter_fic:wrptr_g1p ; ; +; |a_graycounter_k47:rdptr_g1p| ; 18 (18) ; 13 (13) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 1 (1) ; 15 (15) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_graycounter_k47:rdptr_g1p ; ; +; |alt_synch_pipe_ikd:rs_dgwp| ; 18 (0) ; 18 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 10 (0) ; 8 (0) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|alt_synch_pipe_ikd:rs_dgwp ; ; +; |dffpipe_hd9:dffpipe12| ; 18 (18) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 10 (10) ; 8 (8) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|alt_synch_pipe_ikd:rs_dgwp|dffpipe_hd9:dffpipe12 ; ; +; |alt_synch_pipe_jkd:ws_dgrp| ; 18 (0) ; 18 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 16 (0) ; 2 (0) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|alt_synch_pipe_jkd:ws_dgrp ; ; +; |dffpipe_id9:dffpipe17| ; 18 (18) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 16 (16) ; 2 (2) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|alt_synch_pipe_jkd:ws_dgrp|dffpipe_id9:dffpipe17 ; ; +; |altsyncram_bi31:fifo_ram| ; 0 (0) ; 0 (0) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|altsyncram_bi31:fifo_ram ; ; +; |cmpr_156:rdempty_eq_comp1_msb| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 1 (1) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|cmpr_156:rdempty_eq_comp1_msb ; ; +; |cmpr_156:wrfull_eq_comp1_msb| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 1 (1) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|cmpr_156:wrfull_eq_comp1_msb ; ; +; |cntr_t2e:cntr_b| ; 3 (3) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 2 (2) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|cntr_t2e:cntr_b ; ; +; |dffpipe_gd9:ws_brp| ; 8 (8) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 8 (8) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|dffpipe_gd9:ws_brp ; ; +; |dffpipe_pe9:ws_bwp| ; 10 (10) ; 10 (10) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 9 (9) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|dffpipe_pe9:ws_bwp ; ; +; |mux_a18:rdemp_eq_comp_lsb_mux| ; 7 (7) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 6 (6) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|mux_a18:rdemp_eq_comp_lsb_mux ; ; +; |mux_a18:rdemp_eq_comp_msb_mux| ; 5 (5) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 0 (0) ; 2 (2) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|mux_a18:rdemp_eq_comp_msb_mux ; ; +; |mux_a18:wrfull_eq_comp_lsb_mux| ; 7 (7) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 6 (6) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|mux_a18:wrfull_eq_comp_lsb_mux ; ; +; |mux_a18:wrfull_eq_comp_msb_mux| ; 5 (5) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 5 (5) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|mux_a18:wrfull_eq_comp_msb_mux ; ; +; |dcfifo1:WRF| ; 155 (0) ; 124 (0) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 29 (0) ; 54 (0) ; 72 (0) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF ; ; +; |dcfifo_mixed_widths:dcfifo_mixed_widths_component| ; 155 (0) ; 124 (0) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 29 (0) ; 54 (0) ; 72 (0) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component ; ; +; |dcfifo_3fh1:auto_generated| ; 155 (59) ; 124 (42) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 29 (9) ; 54 (24) ; 72 (11) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated ; ; +; |a_gray2bin_lfb:rdptr_g_gray2bin| ; 8 (8) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 6 (6) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_gray2bin_lfb:rdptr_g_gray2bin ; ; +; |a_gray2bin_lfb:rs_dgwp_gray2bin| ; 8 (8) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 0 (0) ; 5 (5) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_gray2bin_lfb:rs_dgwp_gray2bin ; ; +; |a_graycounter_gic:wrptr_g1p| ; 17 (17) ; 13 (13) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (4) ; 1 (1) ; 12 (12) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_graycounter_gic:wrptr_g1p ; ; +; |a_graycounter_j47:rdptr_g1p| ; 19 (19) ; 13 (13) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 5 (5) ; 0 (0) ; 14 (14) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_graycounter_j47:rdptr_g1p ; ; +; |alt_synch_pipe_kkd:rs_dgwp| ; 18 (0) ; 18 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 16 (0) ; 2 (0) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|alt_synch_pipe_kkd:rs_dgwp ; ; +; |dffpipe_jd9:dffpipe12| ; 18 (18) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 16 (16) ; 2 (2) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|alt_synch_pipe_kkd:rs_dgwp|dffpipe_jd9:dffpipe12 ; ; +; |alt_synch_pipe_lkd:ws_dgrp| ; 18 (0) ; 18 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 13 (0) ; 5 (0) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|alt_synch_pipe_lkd:ws_dgrp ; ; +; |dffpipe_kd9:dffpipe15| ; 18 (18) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 13 (13) ; 5 (5) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|alt_synch_pipe_lkd:ws_dgrp|dffpipe_kd9:dffpipe15 ; ; +; |altsyncram_ci31:fifo_ram| ; 0 (0) ; 0 (0) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram ; ; +; |cmpr_156:rdempty_eq_comp1_msb| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 1 (1) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|cmpr_156:rdempty_eq_comp1_msb ; ; +; |cntr_t2e:cntr_b| ; 4 (4) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 3 (3) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|cntr_t2e:cntr_b ; ; +; |dffpipe_gd9:rs_bwp| ; 8 (8) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 8 (8) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|dffpipe_gd9:rs_bwp ; ; +; |dffpipe_pe9:rs_brp| ; 10 (10) ; 10 (10) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 10 (10) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|dffpipe_pe9:rs_brp ; ; +; |mux_a18:rdemp_eq_comp_lsb_mux| ; 7 (7) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 7 (7) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|mux_a18:rdemp_eq_comp_lsb_mux ; ; +; |mux_a18:rdemp_eq_comp_msb_mux| ; 5 (5) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 0 (0) ; 2 (2) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|mux_a18:rdemp_eq_comp_msb_mux ; ; +; |mux_a18:wrfull_eq_comp_lsb_mux| ; 7 (7) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 6 (6) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|mux_a18:wrfull_eq_comp_lsb_mux ; ; +; |mux_a18:wrfull_eq_comp_msb_mux| ; 6 (6) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 5 (5) ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|mux_a18:wrfull_eq_comp_msb_mux ; ; +; |Video:Fredi_Aschwanden| ; 4048 (14) ; 2182 (4) ; 0 (0) ; 92816 ; 20 ; 6 ; 0 ; 3 ; 0 ; 0 ; 1856 (10) ; 876 (4) ; 1316 (0) ; |firebee1|Video:Fredi_Aschwanden ; ; +; |DDR_CTR:DDR_CTR| ; 371 (346) ; 158 (158) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 209 (184) ; 27 (27) ; 135 (128) ; |firebee1|Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR ; ; +; |lpm_bustri_BYT:$00002| ; 3 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|lpm_bustri_BYT:$00002 ; ; +; |lpm_bustri:lpm_bustri_component| ; 3 (3) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|lpm_bustri_BYT:$00002|lpm_bustri:lpm_bustri_component ; ; +; |lpm_bustri_BYT:$00004| ; 29 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 22 (0) ; 0 (0) ; 7 (0) ; |firebee1|Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|lpm_bustri_BYT:$00004 ; ; +; |lpm_bustri:lpm_bustri_component| ; 29 (29) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 22 (22) ; 0 (0) ; 7 (7) ; |firebee1|Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|lpm_bustri_BYT:$00004|lpm_bustri:lpm_bustri_component ; ; +; |VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR| ; 1476 (1296) ; 529 (529) ; 0 (0) ; 0 ; 0 ; 6 ; 0 ; 3 ; 0 ; 0 ; 939 (759) ; 211 (211) ; 326 (252) ; |firebee1|Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR ; ; +; |lpm_bustri_WORD:$00000| ; 198 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 147 (0) ; 0 (0) ; 51 (0) ; |firebee1|Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_bustri_WORD:$00000 ; ; +; |lpm_bustri:lpm_bustri_component| ; 198 (198) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 147 (147) ; 0 (0) ; 51 (51) ; |firebee1|Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_bustri_WORD:$00000|lpm_bustri:lpm_bustri_component ; ; +; |lpm_bustri_WORD:$00002| ; 56 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 33 (0) ; 0 (0) ; 23 (0) ; |firebee1|Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_bustri_WORD:$00002 ; ; +; |lpm_bustri:lpm_bustri_component| ; 56 (56) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 33 (33) ; 0 (0) ; 23 (23) ; |firebee1|Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_bustri_WORD:$00002|lpm_bustri:lpm_bustri_component ; ; +; |lpm_mult:op_12| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_12 ; ; +; |mult_aat:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_12|mult_aat:auto_generated ; ; +; |lpm_mult:op_14| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_14 ; ; +; |mult_cat:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_14|mult_cat:auto_generated ; ; +; |lpm_mult:op_6| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_6 ; ; +; |mult_aat:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_6|mult_aat:auto_generated ; ; +; |altddio_bidir0:inst1| ; 96 (0) ; 96 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 96 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altddio_bidir0:inst1 ; ; +; |altddio_bidir:altddio_bidir_component| ; 96 (0) ; 96 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 96 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component ; ; +; |ddio_bidir_3jl:auto_generated| ; 96 (96) ; 96 (96) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 96 (96) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated ; ; +; |altddio_out0:inst2| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altddio_out0:inst2 ; ; +; |altddio_out:altddio_out_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altddio_out0:inst2|altddio_out:altddio_out_component ; ; +; |ddio_out_are:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altddio_out0:inst2|altddio_out:altddio_out_component|ddio_out_are:auto_generated ; ; +; |altddio_out2:inst5| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altddio_out2:inst5 ; ; +; |altddio_out:altddio_out_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altddio_out2:inst5|altddio_out:altddio_out_component ; ; +; |ddio_out_o2f:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altddio_out2:inst5|altddio_out:altddio_out_component|ddio_out_o2f:auto_generated ; ; +; |altdpram0:ST_CLUT_BLUE| ; 0 (0) ; 0 (0) ; 0 (0) ; 48 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram0:ST_CLUT_BLUE ; ; +; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 48 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram0:ST_CLUT_BLUE|altsyncram:altsyncram_component ; ; +; |altsyncram_rb92:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 48 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram0:ST_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated ; ; +; |altdpram0:ST_CLUT_GREEN| ; 0 (0) ; 0 (0) ; 0 (0) ; 48 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram0:ST_CLUT_GREEN ; ; +; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 48 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram0:ST_CLUT_GREEN|altsyncram:altsyncram_component ; ; +; |altsyncram_rb92:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 48 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram0:ST_CLUT_GREEN|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated ; ; +; |altdpram0:ST_CLUT_RED| ; 0 (0) ; 0 (0) ; 0 (0) ; 48 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram0:ST_CLUT_RED ; ; +; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 48 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram0:ST_CLUT_RED|altsyncram:altsyncram_component ; ; +; |altsyncram_rb92:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 48 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram0:ST_CLUT_RED|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated ; ; +; |altdpram1:FALCON_CLUT_BLUE| ; 0 (0) ; 0 (0) ; 0 (0) ; 1536 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_BLUE ; ; +; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 1536 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_BLUE|altsyncram:altsyncram_component ; ; +; |altsyncram_lf92:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 1536 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated ; ; +; |altdpram1:FALCON_CLUT_GREEN| ; 0 (0) ; 0 (0) ; 0 (0) ; 1536 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_GREEN ; ; +; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 1536 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_GREEN|altsyncram:altsyncram_component ; ; +; |altsyncram_lf92:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 1536 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_GREEN|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated ; ; +; |altdpram1:FALCON_CLUT_RED| ; 0 (0) ; 0 (0) ; 0 (0) ; 1536 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_RED ; ; +; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 1536 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_RED|altsyncram:altsyncram_component ; ; +; |altsyncram_lf92:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 1536 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_RED|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated ; ; +; |altdpram2:ACP_CLUT_RAM54| ; 0 (0) ; 0 (0) ; 0 (0) ; 2048 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM54 ; ; +; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 2048 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM54|altsyncram:altsyncram_component ; ; +; |altsyncram_pf92:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 2048 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM54|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated ; ; +; |altdpram2:ACP_CLUT_RAM55| ; 0 (0) ; 0 (0) ; 0 (0) ; 2048 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55 ; ; +; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 2048 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55|altsyncram:altsyncram_component ; ; +; |altsyncram_pf92:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 2048 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated ; ; +; |altdpram2:ACP_CLUT_RAM| ; 0 (0) ; 0 (0) ; 0 (0) ; 2048 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM ; ; +; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 2048 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM|altsyncram:altsyncram_component ; ; +; |altsyncram_pf92:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 2048 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated ; ; +; |lpm_bustri3:inst66| ; 2 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_bustri3:inst66 ; ; +; |lpm_bustri:lpm_bustri_component| ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_bustri3:inst66|lpm_bustri:lpm_bustri_component ; ; +; |lpm_bustri_BYT:inst57| ; 2 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_bustri_BYT:inst57 ; ; +; |lpm_bustri:lpm_bustri_component| ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_bustri_BYT:inst57|lpm_bustri:lpm_bustri_component ; ; +; |lpm_bustri_BYT:inst58| ; 1 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_bustri_BYT:inst58 ; ; +; |lpm_bustri:lpm_bustri_component| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_bustri_BYT:inst58|lpm_bustri:lpm_bustri_component ; ; +; |lpm_bustri_LONG:inst119| ; 9 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 9 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_bustri_LONG:inst119 ; ; +; |lpm_bustri:lpm_bustri_component| ; 9 (9) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 9 (9) ; |firebee1|Video:Fredi_Aschwanden|lpm_bustri_LONG:inst119|lpm_bustri:lpm_bustri_component ; ; +; |lpm_ff0:inst13| ; 32 (0) ; 32 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 32 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst13 ; ; +; |lpm_ff:lpm_ff_component| ; 32 (32) ; 32 (32) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 32 (32) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component ; ; +; |lpm_ff0:inst14| ; 32 (0) ; 32 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 32 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst14 ; ; +; |lpm_ff:lpm_ff_component| ; 32 (32) ; 32 (32) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 32 (32) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component ; ; +; |lpm_ff0:inst15| ; 32 (0) ; 32 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 32 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst15 ; ; +; |lpm_ff:lpm_ff_component| ; 32 (32) ; 32 (32) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 32 (32) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component ; ; +; |lpm_ff0:inst16| ; 32 (0) ; 32 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 32 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst16 ; ; +; |lpm_ff:lpm_ff_component| ; 32 (32) ; 32 (32) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 32 (32) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component ; ; +; |lpm_ff0:inst17| ; 32 (0) ; 32 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 17 (0) ; 15 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst17 ; ; +; |lpm_ff:lpm_ff_component| ; 32 (32) ; 32 (32) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 17 (17) ; 15 (15) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst17|lpm_ff:lpm_ff_component ; ; +; |lpm_ff0:inst18| ; 32 (0) ; 32 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 15 (0) ; 17 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst18 ; ; +; |lpm_ff:lpm_ff_component| ; 32 (32) ; 32 (32) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 15 (15) ; 17 (17) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst18|lpm_ff:lpm_ff_component ; ; +; |lpm_ff0:inst19| ; 32 (0) ; 32 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 32 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst19 ; ; +; |lpm_ff:lpm_ff_component| ; 32 (32) ; 32 (32) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 32 (32) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst19|lpm_ff:lpm_ff_component ; ; +; |lpm_ff1:inst12| ; 32 (0) ; 32 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 26 (0) ; 6 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff1:inst12 ; ; +; |lpm_ff:lpm_ff_component| ; 32 (32) ; 32 (32) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 26 (26) ; 6 (6) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff1:inst12|lpm_ff:lpm_ff_component ; ; +; |lpm_ff1:inst20| ; 32 (0) ; 32 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 25 (0) ; 7 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff1:inst20 ; ; +; |lpm_ff:lpm_ff_component| ; 32 (32) ; 32 (32) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 25 (25) ; 7 (7) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff1:inst20|lpm_ff:lpm_ff_component ; ; +; |lpm_ff1:inst3| ; 32 (0) ; 32 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 29 (0) ; 3 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff1:inst3 ; ; +; |lpm_ff:lpm_ff_component| ; 32 (32) ; 32 (32) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 29 (29) ; 3 (3) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff1:inst3|lpm_ff:lpm_ff_component ; ; +; |lpm_ff1:inst4| ; 32 (0) ; 32 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 26 (0) ; 6 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff1:inst4 ; ; +; |lpm_ff:lpm_ff_component| ; 32 (32) ; 32 (32) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 26 (26) ; 6 (6) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff1:inst4|lpm_ff:lpm_ff_component ; ; +; |lpm_ff1:inst9| ; 24 (0) ; 24 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 11 (0) ; 13 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff1:inst9 ; ; +; |lpm_ff:lpm_ff_component| ; 24 (24) ; 24 (24) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 11 (11) ; 13 (13) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff1:inst9|lpm_ff:lpm_ff_component ; ; +; |lpm_ff3:inst46| ; 18 (0) ; 18 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 18 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff3:inst46 ; ; +; |lpm_ff:lpm_ff_component| ; 18 (18) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 18 (18) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff3:inst46|lpm_ff:lpm_ff_component ; ; +; |lpm_ff3:inst47| ; 18 (0) ; 18 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 17 (0) ; 1 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff3:inst47 ; ; +; |lpm_ff:lpm_ff_component| ; 18 (18) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 17 (17) ; 1 (1) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff3:inst47|lpm_ff:lpm_ff_component ; ; +; |lpm_ff3:inst49| ; 9 (0) ; 9 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 9 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff3:inst49 ; ; +; |lpm_ff:lpm_ff_component| ; 9 (9) ; 9 (9) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 9 (9) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff3:inst49|lpm_ff:lpm_ff_component ; ; +; |lpm_ff3:inst52| ; 9 (0) ; 9 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 9 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff3:inst52 ; ; +; |lpm_ff:lpm_ff_component| ; 9 (9) ; 9 (9) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 9 (9) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff3:inst52|lpm_ff:lpm_ff_component ; ; +; |lpm_ff4:inst10| ; 16 (0) ; 16 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 12 (0) ; 4 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff4:inst10 ; ; +; |lpm_ff:lpm_ff_component| ; 16 (16) ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 12 (12) ; 4 (4) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff4:inst10|lpm_ff:lpm_ff_component ; ; +; |lpm_ff5:inst11| ; 8 (0) ; 8 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 8 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff5:inst11 ; ; +; |lpm_ff:lpm_ff_component| ; 8 (8) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 8 (8) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff5:inst11|lpm_ff:lpm_ff_component ; ; +; |lpm_ff5:inst97| ; 5 (0) ; 5 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 5 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff5:inst97 ; ; +; |lpm_ff:lpm_ff_component| ; 5 (5) ; 5 (5) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 5 (5) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff5:inst97|lpm_ff:lpm_ff_component ; ; +; |lpm_ff6:inst71| ; 128 (0) ; 128 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 46 (0) ; 82 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff6:inst71 ; ; +; |lpm_ff:lpm_ff_component| ; 128 (128) ; 128 (128) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 46 (46) ; 82 (82) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff6:inst71|lpm_ff:lpm_ff_component ; ; +; |lpm_ff6:inst94| ; 128 (0) ; 128 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 37 (0) ; 91 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff6:inst94 ; ; +; |lpm_ff:lpm_ff_component| ; 128 (128) ; 128 (128) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 37 (37) ; 91 (91) ; |firebee1|Video:Fredi_Aschwanden|lpm_ff6:inst94|lpm_ff:lpm_ff_component ; ; +; |lpm_fifoDZ:inst63| ; 22 (0) ; 21 (0) ; 0 (0) ; 16384 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (0) ; 0 (0) ; 21 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_fifoDZ:inst63 ; ; +; |scfifo:scfifo_component| ; 22 (0) ; 21 (0) ; 0 (0) ; 16384 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (0) ; 0 (0) ; 21 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component ; ; +; |scfifo_hk21:auto_generated| ; 22 (0) ; 21 (0) ; 0 (0) ; 16384 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (0) ; 0 (0) ; 21 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_hk21:auto_generated ; ; +; |a_dpfifo_oq21:dpfifo| ; 22 (9) ; 21 (8) ; 0 (0) ; 16384 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 21 (8) ; |firebee1|Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_hk21:auto_generated|a_dpfifo_oq21:dpfifo ; ; +; |altsyncram_gj81:FIFOram| ; 0 (0) ; 0 (0) ; 0 (0) ; 16384 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_hk21:auto_generated|a_dpfifo_oq21:dpfifo|altsyncram_gj81:FIFOram ; ; +; |cntr_omb:rd_ptr_msb| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |firebee1|Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_hk21:auto_generated|a_dpfifo_oq21:dpfifo|cntr_omb:rd_ptr_msb ; ; +; |cntr_pmb:wr_ptr| ; 7 (7) ; 7 (7) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 7 (7) ; |firebee1|Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_hk21:auto_generated|a_dpfifo_oq21:dpfifo|cntr_pmb:wr_ptr ; ; +; |lpm_fifo_dc0:inst| ; 121 (0) ; 108 (0) ; 0 (0) ; 65536 ; 8 ; 0 ; 0 ; 0 ; 0 ; 0 ; 13 (0) ; 51 (0) ; 57 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst ; ; +; |dcfifo:dcfifo_component| ; 121 (0) ; 108 (0) ; 0 (0) ; 65536 ; 8 ; 0 ; 0 ; 0 ; 0 ; 0 ; 13 (0) ; 51 (0) ; 57 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component ; ; +; |dcfifo_8fi1:auto_generated| ; 121 (40) ; 108 (30) ; 0 (0) ; 65536 ; 8 ; 0 ; 0 ; 0 ; 0 ; 0 ; 13 (2) ; 51 (25) ; 57 (11) ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated ; ; +; |a_gray2bin_tgb:wrptr_g_gray2bin| ; 9 (9) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 9 (9) ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_gray2bin_tgb:wrptr_g_gray2bin ; ; +; |a_gray2bin_tgb:ws_dgrp_gray2bin| ; 9 (9) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 9 (9) ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_gray2bin_tgb:ws_dgrp_gray2bin ; ; +; |a_graycounter_ojc:wrptr_g1p| ; 19 (19) ; 14 (14) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 5 (5) ; 0 (0) ; 14 (14) ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_ojc:wrptr_g1p ; ; +; |a_graycounter_s57:rdptr_g1p| ; 20 (20) ; 14 (14) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 1 (1) ; 13 (13) ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_s57:rdptr_g1p ; ; +; |alt_synch_pipe_sld:ws_dgrp| ; 30 (0) ; 30 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 24 (0) ; 6 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|alt_synch_pipe_sld:ws_dgrp ; ; +; |dffpipe_re9:dffpipe19| ; 30 (30) ; 30 (30) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 24 (24) ; 6 (6) ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|alt_synch_pipe_sld:ws_dgrp|dffpipe_re9:dffpipe19 ; ; +; |altsyncram_tl31:fifo_ram| ; 0 (0) ; 0 (0) ; 0 (0) ; 65536 ; 8 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|altsyncram_tl31:fifo_ram ; ; +; |dffpipe_3dc:wraclr| ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 1 (1) ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|dffpipe_3dc:wraclr ; ; +; |dffpipe_oe9:ws_brp| ; 9 (9) ; 9 (9) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 9 (9) ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|dffpipe_oe9:ws_brp ; ; +; |dffpipe_oe9:ws_bwp| ; 9 (9) ; 9 (9) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 9 (9) ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|dffpipe_oe9:ws_bwp ; ; +; |lpm_latch0:inst27| ; 32 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 32 (0) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_latch0:inst27 ; ; +; |lpm_latch:lpm_latch_component| ; 32 (32) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 32 (32) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component ; ; +; |lpm_mux0:inst21| ; 120 (0) ; 96 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 24 (0) ; 71 (0) ; 25 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_mux0:inst21 ; ; +; |lpm_mux:lpm_mux_component| ; 120 (0) ; 96 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 24 (0) ; 71 (0) ; 25 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_mux0:inst21|lpm_mux:lpm_mux_component ; ; +; |mux_gpe:auto_generated| ; 120 (120) ; 96 (96) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 24 (24) ; 71 (71) ; 25 (25) ; |firebee1|Video:Fredi_Aschwanden|lpm_mux0:inst21|lpm_mux:lpm_mux_component|mux_gpe:auto_generated ; ; +; |lpm_mux1:inst24| ; 113 (0) ; 81 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 32 (0) ; 33 (0) ; 48 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_mux1:inst24 ; ; +; |lpm_mux:lpm_mux_component| ; 113 (0) ; 81 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 32 (0) ; 33 (0) ; 48 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_mux1:inst24|lpm_mux:lpm_mux_component ; ; +; |mux_npe:auto_generated| ; 113 (113) ; 81 (81) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 32 (32) ; 33 (33) ; 48 (48) ; |firebee1|Video:Fredi_Aschwanden|lpm_mux1:inst24|lpm_mux:lpm_mux_component|mux_npe:auto_generated ; ; +; |lpm_mux2:inst25| ; 81 (0) ; 41 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 40 (0) ; 1 (0) ; 40 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_mux2:inst25 ; ; +; |lpm_mux:lpm_mux_component| ; 81 (0) ; 41 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 40 (0) ; 1 (0) ; 40 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_mux2:inst25|lpm_mux:lpm_mux_component ; ; +; |mux_mpe:auto_generated| ; 81 (81) ; 41 (41) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 40 (40) ; 1 (1) ; 40 (40) ; |firebee1|Video:Fredi_Aschwanden|lpm_mux2:inst25|lpm_mux:lpm_mux_component|mux_mpe:auto_generated ; ; +; |lpm_mux3:inst102| ; 1 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 1 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_mux3:inst102 ; ; +; |lpm_mux:lpm_mux_component| ; 1 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 1 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_mux3:inst102|lpm_mux:lpm_mux_component ; ; +; |mux_96e:auto_generated| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 1 (1) ; |firebee1|Video:Fredi_Aschwanden|lpm_mux3:inst102|lpm_mux:lpm_mux_component|mux_96e:auto_generated ; ; +; |lpm_mux4:inst81| ; 7 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 7 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_mux4:inst81 ; ; +; |lpm_mux:lpm_mux_component| ; 7 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 7 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_mux4:inst81|lpm_mux:lpm_mux_component ; ; +; |mux_f6e:auto_generated| ; 7 (7) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 7 (7) ; |firebee1|Video:Fredi_Aschwanden|lpm_mux4:inst81|lpm_mux:lpm_mux_component|mux_f6e:auto_generated ; ; +; |lpm_mux5:inst22| ; 64 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 64 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_mux5:inst22 ; ; +; |lpm_mux:lpm_mux_component| ; 64 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 64 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_mux5:inst22|lpm_mux:lpm_mux_component ; ; +; |mux_58e:auto_generated| ; 64 (64) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 64 (64) ; |firebee1|Video:Fredi_Aschwanden|lpm_mux5:inst22|lpm_mux:lpm_mux_component|mux_58e:auto_generated ; ; +; |lpm_mux6:inst7| ; 91 (0) ; 67 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (0) ; 1 (0) ; 86 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_mux6:inst7 ; ; +; |lpm_mux:lpm_mux_component| ; 91 (0) ; 67 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (0) ; 1 (0) ; 86 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_mux6:inst7|lpm_mux:lpm_mux_component ; ; +; |mux_kpe:auto_generated| ; 91 (91) ; 67 (67) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (4) ; 1 (1) ; 86 (86) ; |firebee1|Video:Fredi_Aschwanden|lpm_mux6:inst7|lpm_mux:lpm_mux_component|mux_kpe:auto_generated ; ; +; |lpm_muxDZ:inst62| ; 128 (0) ; 128 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 128 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_muxDZ:inst62 ; ; +; |lpm_mux:lpm_mux_component| ; 128 (0) ; 128 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 128 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_muxDZ:inst62|lpm_mux:lpm_mux_component ; ; +; |mux_dcf:auto_generated| ; 128 (128) ; 128 (128) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 128 (128) ; |firebee1|Video:Fredi_Aschwanden|lpm_muxDZ:inst62|lpm_mux:lpm_mux_component|mux_dcf:auto_generated ; ; +; |lpm_muxVDM:inst100| ; 736 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 537 (0) ; 0 (0) ; 199 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_muxVDM:inst100 ; ; +; |lpm_mux:lpm_mux_component| ; 736 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 537 (0) ; 0 (0) ; 199 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_muxVDM:inst100|lpm_mux:lpm_mux_component ; ; +; |mux_bbe:auto_generated| ; 736 (736) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 537 (537) ; 0 (0) ; 199 (199) ; |firebee1|Video:Fredi_Aschwanden|lpm_muxVDM:inst100|lpm_mux:lpm_mux_component|mux_bbe:auto_generated ; ; +; |lpm_shiftreg0:sr0| ; 16 (0) ; 16 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (0) ; 15 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr0 ; ; +; |lpm_shiftreg:lpm_shiftreg_component| ; 16 (16) ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 15 (15) ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr0|lpm_shiftreg:lpm_shiftreg_component ; ; +; |lpm_shiftreg0:sr1| ; 16 (0) ; 16 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (0) ; 15 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr1 ; ; +; |lpm_shiftreg:lpm_shiftreg_component| ; 16 (16) ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 15 (15) ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr1|lpm_shiftreg:lpm_shiftreg_component ; ; +; |lpm_shiftreg0:sr2| ; 16 (0) ; 16 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (0) ; 15 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr2 ; ; +; |lpm_shiftreg:lpm_shiftreg_component| ; 16 (16) ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 15 (15) ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr2|lpm_shiftreg:lpm_shiftreg_component ; ; +; |lpm_shiftreg0:sr3| ; 16 (0) ; 16 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (0) ; 15 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr3 ; ; +; |lpm_shiftreg:lpm_shiftreg_component| ; 16 (16) ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 15 (15) ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr3|lpm_shiftreg:lpm_shiftreg_component ; ; +; |lpm_shiftreg0:sr4| ; 16 (0) ; 16 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (0) ; 15 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr4 ; ; +; |lpm_shiftreg:lpm_shiftreg_component| ; 16 (16) ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 15 (15) ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr4|lpm_shiftreg:lpm_shiftreg_component ; ; +; |lpm_shiftreg0:sr5| ; 16 (0) ; 16 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (0) ; 15 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr5 ; ; +; |lpm_shiftreg:lpm_shiftreg_component| ; 16 (16) ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 15 (15) ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr5|lpm_shiftreg:lpm_shiftreg_component ; ; +; |lpm_shiftreg0:sr6| ; 16 (0) ; 16 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 16 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr6 ; ; +; |lpm_shiftreg:lpm_shiftreg_component| ; 16 (16) ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 16 (16) ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr6|lpm_shiftreg:lpm_shiftreg_component ; ; +; |lpm_shiftreg0:sr7| ; 16 (0) ; 16 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 16 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr7 ; ; +; |lpm_shiftreg:lpm_shiftreg_component| ; 16 (16) ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 16 (16) ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr7|lpm_shiftreg:lpm_shiftreg_component ; ; +; |lpm_shiftreg4:inst26| ; 5 (0) ; 5 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 5 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg4:inst26 ; ; +; |lpm_shiftreg:lpm_shiftreg_component| ; 5 (5) ; 5 (5) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 5 (5) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg4:inst26|lpm_shiftreg:lpm_shiftreg_component ; ; +; |lpm_shiftreg6:inst92| ; 5 (0) ; 5 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 4 (0) ; 1 (0) ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg6:inst92 ; ; +; |lpm_shiftreg:lpm_shiftreg_component| ; 5 (5) ; 5 (5) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 4 (4) ; 1 (1) ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg6:inst92|lpm_shiftreg:lpm_shiftreg_component ; ; +; |mux41:inst40| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|mux41:inst40 ; ; +; |mux41:inst41| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|mux41:inst41 ; ; +; |mux41:inst42| ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|mux41:inst42 ; ; +; |mux41:inst43| ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|mux41:inst43 ; ; +; |mux41:inst44| ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|mux41:inst44 ; ; +; |mux41:inst45| ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 0 (0) ; |firebee1|Video:Fredi_Aschwanden|mux41:inst45 ; ; +; |altddio_out3:inst5| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altddio_out3:inst5 ; ; +; |altddio_out:altddio_out_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altddio_out3:inst5|altddio_out:altddio_out_component ; ; +; |ddio_out_31f:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altddio_out3:inst5|altddio_out:altddio_out_component|ddio_out_31f:auto_generated ; ; +; |altddio_out3:inst6| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altddio_out3:inst6 ; ; +; |altddio_out:altddio_out_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altddio_out3:inst6|altddio_out:altddio_out_component ; ; +; |ddio_out_31f:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altddio_out3:inst6|altddio_out:altddio_out_component|ddio_out_31f:auto_generated ; ; +; |altddio_out3:inst8| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altddio_out3:inst8 ; ; +; |altddio_out:altddio_out_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altddio_out3:inst8|altddio_out:altddio_out_component ; ; +; |ddio_out_31f:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altddio_out3:inst8|altddio_out:altddio_out_component|ddio_out_31f:auto_generated ; ; +; |altddio_out3:inst9| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altddio_out3:inst9 ; ; +; |altddio_out:altddio_out_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altddio_out3:inst9|altddio_out:altddio_out_component ; ; +; |ddio_out_31f:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altddio_out3:inst9|altddio_out:altddio_out_component|ddio_out_31f:auto_generated ; ; +; |altpll1:inst| ; 1 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (0) ; 0 (0) ; 0 (0) ; |firebee1|altpll1:inst ; ; +; |altpll:altpll_component| ; 1 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (0) ; 0 (0) ; 0 (0) ; |firebee1|altpll1:inst|altpll:altpll_component ; ; +; |altpll_8tp2:auto_generated| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 0 (0) ; |firebee1|altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated ; ; +; |altpll2:inst12| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altpll2:inst12 ; ; +; |altpll:altpll_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altpll2:inst12|altpll:altpll_component ; ; +; |altpll_1r33:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated ; ; +; |altpll3:inst13| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altpll3:inst13 ; ; +; |altpll:altpll_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altpll3:inst13|altpll:altpll_component ; ; +; |altpll_jvs2:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated ; ; +; |altpll4:inst22| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altpll4:inst22 ; ; +; |altpll:altpll_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altpll4:inst22|altpll:altpll_component ; ; +; |altpll_r4n2:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altpll4:inst22|altpll:altpll_component|altpll_r4n2:auto_generated ; ; +; |altpll_reconfig1:inst7| ; 330 (0) ; 128 (0) ; 0 (0) ; 144 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 202 (0) ; 18 (0) ; 110 (0) ; |firebee1|altpll_reconfig1:inst7 ; ; +; |altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component| ; 330 (233) ; 128 (80) ; 0 (0) ; 144 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 202 (153) ; 18 (18) ; 110 (61) ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component ; ; +; |altsyncram:altsyncram4| ; 0 (0) ; 0 (0) ; 0 (0) ; 144 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|altsyncram:altsyncram4 ; ; +; |altsyncram_46r:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 144 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|altsyncram:altsyncram4|altsyncram_46r:auto_generated ; ; +; |lpm_compare:cmpr7| ; 3 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (0) ; 0 (0) ; 1 (0) ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_compare:cmpr7 ; ; +; |cmpr_tnd:auto_generated| ; 3 (3) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 1 (1) ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_compare:cmpr7|cmpr_tnd:auto_generated ; ; +; |lpm_counter:cntr12| ; 10 (0) ; 8 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (0) ; 0 (0) ; 8 (0) ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr12 ; ; +; |cntr_30l:auto_generated| ; 10 (10) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 8 (8) ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr12|cntr_30l:auto_generated ; ; +; |lpm_counter:cntr13| ; 7 (0) ; 6 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (0) ; 0 (0) ; 6 (0) ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr13 ; ; +; |cntr_qij:auto_generated| ; 7 (7) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 6 (6) ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr13|cntr_qij:auto_generated ; ; +; |lpm_counter:cntr14| ; 5 (0) ; 5 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 5 (0) ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr14 ; ; +; |cntr_pij:auto_generated| ; 5 (5) ; 5 (5) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 5 (5) ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr14|cntr_pij:auto_generated ; ; +; |lpm_counter:cntr15| ; 18 (0) ; 8 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 10 (0) ; 0 (0) ; 8 (0) ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr15 ; ; +; |cntr_30l:auto_generated| ; 18 (18) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 10 (10) ; 0 (0) ; 8 (8) ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr15|cntr_30l:auto_generated ; ; +; |lpm_counter:cntr1| ; 41 (0) ; 8 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 33 (0) ; 0 (0) ; 8 (0) ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr1 ; ; +; |cntr_30l:auto_generated| ; 41 (41) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 33 (33) ; 0 (0) ; 8 (8) ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr1|cntr_30l:auto_generated ; ; +; |lpm_counter:cntr2| ; 9 (0) ; 8 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (0) ; 0 (0) ; 8 (0) ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr2 ; ; +; |cntr_9cj:auto_generated| ; 9 (9) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 8 (8) ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr2|cntr_9cj:auto_generated ; ; +; |lpm_counter:cntr3| ; 5 (0) ; 5 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 5 (0) ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr3 ; ; +; |cntr_pij:auto_generated| ; 5 (5) ; 5 (5) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 5 (5) ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr3|cntr_pij:auto_generated ; ; +; |interrupt_handler:nobody| ; 1103 (1079) ; 633 (633) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 462 (438) ; 269 (269) ; 372 (316) ; |firebee1|interrupt_handler:nobody ; ; +; |lpm_bustri_BYT:$00000| ; 18 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (0) ; 0 (0) ; 12 (0) ; |firebee1|interrupt_handler:nobody|lpm_bustri_BYT:$00000 ; ; +; |lpm_bustri:lpm_bustri_component| ; 18 (18) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 12 (12) ; |firebee1|interrupt_handler:nobody|lpm_bustri_BYT:$00000|lpm_bustri:lpm_bustri_component ; ; +; |lpm_bustri_BYT:$00002| ; 23 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 10 (0) ; 0 (0) ; 13 (0) ; |firebee1|interrupt_handler:nobody|lpm_bustri_BYT:$00002 ; ; +; |lpm_bustri:lpm_bustri_component| ; 23 (23) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 10 (10) ; 0 (0) ; 13 (13) ; |firebee1|interrupt_handler:nobody|lpm_bustri_BYT:$00002|lpm_bustri:lpm_bustri_component ; ; +; |lpm_bustri_BYT:$00004| ; 16 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 16 (0) ; |firebee1|interrupt_handler:nobody|lpm_bustri_BYT:$00004 ; ; +; |lpm_bustri:lpm_bustri_component| ; 16 (16) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 16 (16) ; |firebee1|interrupt_handler:nobody|lpm_bustri_BYT:$00004|lpm_bustri:lpm_bustri_component ; ; +; |lpm_bustri_BYT:$00006| ; 23 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 8 (0) ; 0 (0) ; 15 (0) ; |firebee1|interrupt_handler:nobody|lpm_bustri_BYT:$00006 ; ; +; |lpm_bustri:lpm_bustri_component| ; 23 (23) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 8 (8) ; 0 (0) ; 15 (15) ; |firebee1|interrupt_handler:nobody|lpm_bustri_BYT:$00006|lpm_bustri:lpm_bustri_component ; ; +; |lpm_counter0:inst18| ; 18 (0) ; 18 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 18 (0) ; |firebee1|lpm_counter0:inst18 ; ; +; |lpm_counter:lpm_counter_component| ; 18 (0) ; 18 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 18 (0) ; |firebee1|lpm_counter0:inst18|lpm_counter:lpm_counter_component ; ; +; |cntr_mph:auto_generated| ; 18 (18) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 18 (18) ; |firebee1|lpm_counter0:inst18|lpm_counter:lpm_counter_component|cntr_mph:auto_generated ; ; +; |lpm_ff0:inst1| ; 28 (0) ; 28 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 3 (0) ; 25 (0) ; |firebee1|lpm_ff0:inst1 ; ; +; |lpm_ff:lpm_ff_component| ; 28 (28) ; 28 (28) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 3 (3) ; 25 (25) ; |firebee1|lpm_ff0:inst1|lpm_ff:lpm_ff_component ; ; ++-----------------------------------------------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++-----------------------------------------------------------------------------------------------------+ +; Delay Chain Summary ; ++----------------+----------+---------------+---------------+-----------------------+----------+------+ +; Name ; Pin Type ; Pad to Core 0 ; Pad to Core 1 ; Pad to Input Register ; TCO ; TCOE ; ++----------------+----------+---------------+---------------+-----------------------+----------+------+ +; CLK24M576 ; Output ; -- ; -- ; -- ; -- ; -- ; +; LP_STR ; Output ; -- ; -- ; -- ; -- ; -- ; +; nFB_BURST ; Input ; -- ; -- ; -- ; -- ; -- ; +; nACSI_DRQ ; Input ; -- ; -- ; -- ; -- ; -- ; +; nACSI_INT ; Input ; -- ; -- ; -- ; -- ; -- ; +; nSCSI_DRQ ; Input ; -- ; -- ; -- ; -- ; -- ; +; nSCSI_MSG ; Input ; -- ; -- ; -- ; -- ; -- ; +; nDCHG ; Input ; -- ; -- ; -- ; -- ; -- ; +; SD_DATA0 ; Input ; -- ; -- ; -- ; -- ; -- ; +; SD_DATA1 ; Input ; -- ; -- ; -- ; -- ; -- ; +; SD_DATA2 ; Input ; -- ; -- ; -- ; -- ; -- ; +; SD_CARD_DEDECT ; Input ; -- ; -- ; -- ; -- ; -- ; +; SD_WP ; Input ; -- ; -- ; -- ; -- ; -- ; +; nDACK0 ; Input ; -- ; -- ; -- ; -- ; -- ; +; WP_CF_CARD ; Input ; -- ; -- ; -- ; -- ; -- ; +; nSCSI_C_D ; Input ; -- ; -- ; -- ; -- ; -- ; +; nSCSI_I_O ; Input ; -- ; -- ; -- ; -- ; -- ; +; nFB_CS3 ; Input ; -- ; -- ; -- ; -- ; -- ; +; CLK25M ; Output ; -- ; -- ; -- ; -- ; -- ; +; nACSI_ACK ; Output ; -- ; -- ; -- ; -- ; -- ; +; nACSI_RESET ; Output ; -- ; -- ; -- ; -- ; -- ; +; nACSI_CS ; Output ; -- ; -- ; -- ; -- ; -- ; +; ACSI_DIR ; Output ; -- ; -- ; -- ; -- ; -- ; +; ACSI_A1 ; Output ; -- ; -- ; -- ; -- ; -- ; +; nSCSI_ACK ; Output ; -- ; -- ; -- ; -- ; -- ; +; nSCSI_ATN ; Output ; -- ; -- ; -- ; -- ; -- ; +; SCSI_DIR ; Output ; -- ; -- ; -- ; -- ; -- ; +; MIDI_OLR ; Output ; -- ; -- ; -- ; -- ; -- ; +; MIDI_TLR ; Output ; -- ; -- ; -- ; -- ; -- ; +; TxD ; Output ; -- ; -- ; -- ; -- ; -- ; +; RTS ; Output ; -- ; -- ; -- ; -- ; -- ; +; DTR ; Output ; -- ; -- ; -- ; -- ; -- ; +; AMKB_TX ; Output ; -- ; -- ; -- ; -- ; -- ; +; IDE_RES ; Output ; -- ; -- ; -- ; -- ; -- ; +; nIDE_CS0 ; Output ; -- ; -- ; -- ; -- ; -- ; +; nIDE_CS1 ; Output ; -- ; -- ; -- ; -- ; -- ; +; nIDE_WR ; Output ; -- ; -- ; -- ; -- ; -- ; +; nIDE_RD ; Output ; -- ; -- ; -- ; -- ; -- ; +; nCF_CS0 ; Output ; -- ; -- ; -- ; -- ; -- ; +; nCF_CS1 ; Output ; -- ; -- ; -- ; -- ; -- ; +; nROM3 ; Output ; -- ; -- ; -- ; -- ; -- ; +; nROM4 ; Output ; -- ; -- ; -- ; -- ; -- ; +; nRP_UDS ; Output ; -- ; -- ; -- ; -- ; -- ; +; nRP_LDS ; Output ; -- ; -- ; -- ; -- ; -- ; +; nSDSEL ; Output ; -- ; -- ; -- ; -- ; -- ; +; nWR_GATE ; Output ; -- ; -- ; -- ; -- ; -- ; +; nWR ; Output ; -- ; -- ; -- ; -- ; -- ; +; YM_QA ; Output ; -- ; -- ; -- ; -- ; -- ; +; YM_QB ; Output ; -- ; -- ; -- ; -- ; -- ; +; YM_QC ; Output ; -- ; -- ; -- ; -- ; -- ; +; SD_CLK ; Output ; -- ; -- ; -- ; -- ; -- ; +; DSA_D ; Output ; -- ; -- ; -- ; -- ; -- ; +; nVWE ; Output ; -- ; -- ; -- ; -- ; -- ; +; nVCAS ; Output ; -- ; -- ; -- ; -- ; -- ; +; nVRAS ; Output ; -- ; -- ; -- ; -- ; -- ; +; nVCS ; Output ; -- ; -- ; -- ; -- ; -- ; +; nPD_VGA ; Output ; -- ; -- ; -- ; -- ; -- ; +; TIN0 ; Output ; -- ; -- ; -- ; -- ; -- ; +; nSRCS ; Output ; -- ; -- ; -- ; -- ; -- ; +; nSRBLE ; Output ; -- ; -- ; -- ; -- ; -- ; +; nSRBHE ; Output ; -- ; -- ; -- ; -- ; -- ; +; nSRWE ; Output ; -- ; -- ; -- ; -- ; -- ; +; nDREQ1 ; Output ; -- ; -- ; -- ; -- ; -- ; +; LED_FPGA_OK ; Output ; -- ; -- ; -- ; -- ; -- ; +; nSROE ; Output ; -- ; -- ; -- ; -- ; -- ; +; VCKE ; Output ; -- ; -- ; -- ; -- ; -- ; +; nFB_TA ; Output ; -- ; -- ; -- ; -- ; -- ; +; nDDR_CLK ; Output ; -- ; -- ; -- ; -- ; -- ; +; DDR_CLK ; Output ; -- ; -- ; -- ; -- ; -- ; +; VSYNC_PAD ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; +; HSYNC_PAD ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; +; nBLANK_PAD ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; +; PIXEL_CLK_PAD ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; +; nSYNC ; Output ; -- ; -- ; -- ; -- ; -- ; +; nMOT_ON ; Output ; -- ; -- ; -- ; -- ; -- ; +; nSTEP_DIR ; Output ; -- ; -- ; -- ; -- ; -- ; +; nSTEP ; Output ; -- ; -- ; -- ; -- ; -- ; +; CLKUSB ; Output ; -- ; -- ; -- ; -- ; -- ; +; LPDIR ; Output ; -- ; -- ; -- ; -- ; -- ; +; BA[1] ; Output ; -- ; -- ; -- ; -- ; -- ; +; BA[0] ; Output ; -- ; -- ; -- ; -- ; -- ; +; nIRQ[7] ; Output ; -- ; -- ; -- ; -- ; -- ; +; nIRQ[6] ; Output ; -- ; -- ; -- ; -- ; -- ; +; nIRQ[5] ; Output ; -- ; -- ; -- ; -- ; -- ; +; nIRQ[4] ; Output ; -- ; -- ; -- ; -- ; -- ; +; nIRQ[3] ; Output ; -- ; -- ; -- ; -- ; -- ; +; nIRQ[2] ; Output ; -- ; -- ; -- ; -- ; -- ; +; VA[12] ; Output ; -- ; -- ; -- ; -- ; -- ; +; VA[11] ; Output ; -- ; -- ; -- ; -- ; -- ; +; VA[10] ; Output ; -- ; -- ; -- ; -- ; -- ; +; VA[9] ; Output ; -- ; -- ; -- ; -- ; -- ; +; VA[8] ; Output ; -- ; -- ; -- ; -- ; -- ; +; VA[7] ; Output ; -- ; -- ; -- ; -- ; -- ; +; VA[6] ; Output ; -- ; -- ; -- ; -- ; -- ; +; VA[5] ; Output ; -- ; -- ; -- ; -- ; -- ; +; VA[4] ; Output ; -- ; -- ; -- ; -- ; -- ; +; VA[3] ; Output ; -- ; -- ; -- ; -- ; -- ; +; VA[2] ; Output ; -- ; -- ; -- ; -- ; -- ; +; VA[1] ; Output ; -- ; -- ; -- ; -- ; -- ; +; VA[0] ; Output ; -- ; -- ; -- ; -- ; -- ; +; VB[7] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; +; VB[6] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; +; VB[5] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; +; VB[4] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; +; VB[3] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; +; VB[2] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; +; VB[1] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; +; VB[0] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; +; VDM[3] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; +; VDM[2] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; +; VDM[1] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; +; VDM[0] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; +; VG[7] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; +; VG[6] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; +; VG[5] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; +; VG[4] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; +; VG[3] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; +; VG[2] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; +; VG[1] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; +; VG[0] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; +; VR[7] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; +; VR[6] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; +; VR[5] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; +; VR[4] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; +; VR[3] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; +; VR[2] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; +; VR[1] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; +; VR[0] ; Output ; -- ; -- ; -- ; (0) 0 ps ; -- ; +; TOUT0 ; Input ; -- ; -- ; -- ; -- ; -- ; +; nMASTER ; Input ; -- ; -- ; -- ; -- ; -- ; +; FB_AD[31] ; Bidir ; -- ; (6) 1314 ps ; -- ; -- ; -- ; +; FB_AD[30] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; FB_AD[29] ; Bidir ; (6) 1314 ps ; (6) 1314 ps ; -- ; -- ; -- ; +; FB_AD[28] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; FB_AD[27] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; FB_AD[26] ; Bidir ; -- ; (6) 1314 ps ; -- ; -- ; -- ; +; FB_AD[25] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; FB_AD[24] ; Bidir ; -- ; (6) 1314 ps ; -- ; -- ; -- ; +; FB_AD[23] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; FB_AD[22] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; FB_AD[21] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; FB_AD[20] ; Bidir ; -- ; (6) 1314 ps ; -- ; -- ; -- ; +; FB_AD[19] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; FB_AD[18] ; Bidir ; -- ; (6) 1314 ps ; -- ; -- ; -- ; +; FB_AD[17] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; FB_AD[16] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; FB_AD[15] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; FB_AD[14] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; FB_AD[13] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; FB_AD[12] ; Bidir ; -- ; (6) 1314 ps ; -- ; -- ; -- ; +; FB_AD[11] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; FB_AD[10] ; Bidir ; -- ; (6) 1314 ps ; -- ; -- ; -- ; +; FB_AD[9] ; Bidir ; -- ; (6) 1314 ps ; -- ; -- ; -- ; +; FB_AD[8] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; FB_AD[7] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; FB_AD[6] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; FB_AD[5] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; FB_AD[4] ; Bidir ; -- ; (6) 1314 ps ; -- ; -- ; -- ; +; FB_AD[3] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; FB_AD[2] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; FB_AD[1] ; Bidir ; -- ; (6) 1314 ps ; -- ; -- ; -- ; +; FB_AD[0] ; Bidir ; -- ; (6) 1314 ps ; -- ; -- ; -- ; +; VD[31] ; Bidir ; (6) 1314 ps ; -- ; -- ; (0) 0 ps ; -- ; +; VD[30] ; Bidir ; (6) 1314 ps ; -- ; -- ; (0) 0 ps ; -- ; +; VD[29] ; Bidir ; (6) 1314 ps ; -- ; -- ; (0) 0 ps ; -- ; +; VD[28] ; Bidir ; (6) 1314 ps ; -- ; -- ; (0) 0 ps ; -- ; +; VD[27] ; Bidir ; (6) 1314 ps ; -- ; -- ; (0) 0 ps ; -- ; +; VD[26] ; Bidir ; (6) 1314 ps ; -- ; -- ; (0) 0 ps ; -- ; +; VD[25] ; Bidir ; -- ; (6) 1314 ps ; -- ; (0) 0 ps ; -- ; +; VD[24] ; Bidir ; (6) 1314 ps ; -- ; -- ; (0) 0 ps ; -- ; +; VD[23] ; Bidir ; (6) 1314 ps ; -- ; -- ; (0) 0 ps ; -- ; +; VD[22] ; Bidir ; (6) 1314 ps ; -- ; -- ; (0) 0 ps ; -- ; +; VD[21] ; Bidir ; -- ; (6) 1314 ps ; -- ; (0) 0 ps ; -- ; +; VD[20] ; Bidir ; (6) 1314 ps ; -- ; -- ; (0) 0 ps ; -- ; +; VD[19] ; Bidir ; (6) 1314 ps ; -- ; -- ; (0) 0 ps ; -- ; +; VD[18] ; Bidir ; (6) 1314 ps ; -- ; -- ; (0) 0 ps ; -- ; +; VD[17] ; Bidir ; (6) 1314 ps ; -- ; -- ; (0) 0 ps ; -- ; +; VD[16] ; Bidir ; -- ; (6) 1314 ps ; -- ; (0) 0 ps ; -- ; +; VD[15] ; Bidir ; (6) 1314 ps ; -- ; -- ; (0) 0 ps ; -- ; +; VD[14] ; Bidir ; (6) 1314 ps ; -- ; -- ; (0) 0 ps ; -- ; +; VD[13] ; Bidir ; (6) 1314 ps ; -- ; -- ; (0) 0 ps ; -- ; +; VD[12] ; Bidir ; (6) 1314 ps ; -- ; -- ; (0) 0 ps ; -- ; +; VD[11] ; Bidir ; (6) 1314 ps ; -- ; -- ; (0) 0 ps ; -- ; +; VD[10] ; Bidir ; (6) 1314 ps ; -- ; -- ; (0) 0 ps ; -- ; +; VD[9] ; Bidir ; (6) 1314 ps ; -- ; -- ; (0) 0 ps ; -- ; +; VD[8] ; Bidir ; -- ; (6) 1314 ps ; -- ; (0) 0 ps ; -- ; +; VD[7] ; Bidir ; (6) 1314 ps ; -- ; -- ; (0) 0 ps ; -- ; +; VD[6] ; Bidir ; (6) 1314 ps ; -- ; -- ; (0) 0 ps ; -- ; +; VD[5] ; Bidir ; -- ; (6) 1314 ps ; -- ; (0) 0 ps ; -- ; +; VD[4] ; Bidir ; (6) 1314 ps ; -- ; -- ; (0) 0 ps ; -- ; +; VD[3] ; Bidir ; (6) 1314 ps ; -- ; -- ; (0) 0 ps ; -- ; +; VD[2] ; Bidir ; (6) 1314 ps ; -- ; -- ; (0) 0 ps ; -- ; +; VD[1] ; Bidir ; (6) 1314 ps ; -- ; -- ; (0) 0 ps ; -- ; +; VD[0] ; Bidir ; (6) 1314 ps ; -- ; -- ; (0) 0 ps ; -- ; +; VDQS[3] ; Bidir ; -- ; -- ; -- ; -- ; -- ; +; VDQS[2] ; Bidir ; -- ; -- ; -- ; -- ; -- ; +; VDQS[1] ; Bidir ; -- ; -- ; -- ; -- ; -- ; +; VDQS[0] ; Bidir ; -- ; -- ; -- ; -- ; -- ; +; IO[17] ; Bidir ; -- ; -- ; -- ; -- ; -- ; +; IO[16] ; Bidir ; -- ; -- ; -- ; -- ; -- ; +; IO[15] ; Bidir ; -- ; -- ; -- ; -- ; -- ; +; IO[14] ; Bidir ; -- ; -- ; -- ; -- ; -- ; +; IO[13] ; Bidir ; -- ; -- ; -- ; -- ; -- ; +; IO[12] ; Bidir ; -- ; -- ; -- ; -- ; -- ; +; IO[11] ; Bidir ; -- ; -- ; -- ; -- ; -- ; +; IO[10] ; Bidir ; -- ; -- ; -- ; -- ; -- ; +; IO[9] ; Bidir ; -- ; -- ; -- ; -- ; -- ; +; IO[8] ; Bidir ; -- ; -- ; -- ; -- ; -- ; +; IO[7] ; Bidir ; -- ; -- ; -- ; -- ; -- ; +; IO[6] ; Bidir ; -- ; -- ; -- ; -- ; -- ; +; IO[5] ; Bidir ; -- ; -- ; -- ; -- ; -- ; +; IO[4] ; Bidir ; -- ; -- ; -- ; -- ; -- ; +; IO[3] ; Bidir ; -- ; -- ; -- ; -- ; -- ; +; IO[2] ; Bidir ; -- ; -- ; -- ; -- ; -- ; +; IO[1] ; Bidir ; -- ; -- ; -- ; -- ; -- ; +; IO[0] ; Bidir ; -- ; -- ; -- ; -- ; -- ; +; SRD[15] ; Bidir ; -- ; (6) 1314 ps ; -- ; -- ; -- ; +; SRD[14] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; SRD[13] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; SRD[12] ; Bidir ; -- ; (6) 1314 ps ; -- ; -- ; -- ; +; SRD[11] ; Bidir ; -- ; (6) 1314 ps ; -- ; -- ; -- ; +; SRD[10] ; Bidir ; -- ; (6) 1314 ps ; -- ; -- ; -- ; +; SRD[9] ; Bidir ; -- ; (6) 1314 ps ; -- ; -- ; -- ; +; SRD[8] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; SRD[7] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; SRD[6] ; Bidir ; -- ; (6) 1314 ps ; -- ; -- ; -- ; +; SRD[5] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; SRD[4] ; Bidir ; -- ; (6) 1314 ps ; -- ; -- ; -- ; +; SRD[3] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; SRD[2] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; SRD[1] ; Bidir ; -- ; (6) 1314 ps ; -- ; -- ; -- ; +; SRD[0] ; Bidir ; -- ; (6) 1314 ps ; -- ; -- ; -- ; +; SCSI_PAR ; Bidir ; -- ; -- ; -- ; -- ; -- ; +; nSCSI_SEL ; Bidir ; -- ; -- ; -- ; -- ; -- ; +; nSCSI_BUSY ; Bidir ; -- ; -- ; -- ; -- ; -- ; +; nSCSI_RST ; Bidir ; -- ; -- ; -- ; -- ; -- ; +; SD_CD_DATA3 ; Bidir ; -- ; -- ; -- ; -- ; -- ; +; SD_CMD_D1 ; Bidir ; -- ; -- ; -- ; -- ; -- ; +; ACSI_D[7] ; Bidir ; -- ; -- ; -- ; -- ; -- ; +; ACSI_D[6] ; Bidir ; -- ; -- ; -- ; -- ; -- ; +; ACSI_D[5] ; Bidir ; -- ; -- ; -- ; -- ; -- ; +; ACSI_D[4] ; Bidir ; -- ; -- ; -- ; -- ; -- ; +; ACSI_D[3] ; Bidir ; -- ; -- ; -- ; -- ; -- ; +; ACSI_D[2] ; Bidir ; -- ; -- ; -- ; -- ; -- ; +; ACSI_D[1] ; Bidir ; -- ; -- ; -- ; -- ; -- ; +; ACSI_D[0] ; Bidir ; -- ; -- ; -- ; -- ; -- ; +; LP_D[7] ; Bidir ; -- ; (6) 1314 ps ; -- ; -- ; -- ; +; LP_D[6] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; LP_D[5] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; LP_D[4] ; Bidir ; -- ; (6) 1314 ps ; -- ; -- ; -- ; +; LP_D[3] ; Bidir ; -- ; (6) 1314 ps ; -- ; -- ; -- ; +; LP_D[2] ; Bidir ; -- ; (6) 1314 ps ; -- ; -- ; -- ; +; LP_D[1] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; LP_D[0] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; SCSI_D[7] ; Bidir ; -- ; -- ; -- ; -- ; -- ; +; SCSI_D[6] ; Bidir ; -- ; -- ; -- ; -- ; -- ; +; SCSI_D[5] ; Bidir ; -- ; -- ; -- ; -- ; -- ; +; SCSI_D[4] ; Bidir ; -- ; -- ; -- ; -- ; -- ; +; SCSI_D[3] ; Bidir ; -- ; -- ; -- ; -- ; -- ; +; SCSI_D[2] ; Bidir ; -- ; -- ; -- ; -- ; -- ; +; SCSI_D[1] ; Bidir ; -- ; -- ; -- ; -- ; -- ; +; SCSI_D[0] ; Bidir ; -- ; -- ; -- ; -- ; -- ; +; nRSTO_MCF ; Input ; (0) 0 ps ; -- ; -- ; -- ; -- ; +; nFB_WR ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; nFB_CS1 ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; FB_SIZE1 ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ; +; FB_SIZE0 ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; FB_ALE ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; nFB_CS2 ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; MAIN_CLK ; Input ; (0) 0 ps ; -- ; -- ; -- ; -- ; +; nDACK1 ; Input ; (0) 0 ps ; -- ; -- ; -- ; -- ; +; nFB_OE ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ; +; IDE_RDY ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; CLK33M ; Input ; (0) 0 ps ; -- ; -- ; -- ; -- ; +; HD_DD ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ; +; nINDEX ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; RxD ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ; +; nWP ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; LP_BUSY ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ; +; DCD ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; CTS ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ; +; TRACK00 ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; IDE_INT ; Input ; (0) 0 ps ; -- ; -- ; -- ; -- ; +; RI ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ; +; nPCI_INTD ; Input ; (6) 1314 ps ; (0) 0 ps ; -- ; -- ; -- ; +; nPCI_INTC ; Input ; (6) 1314 ps ; (0) 0 ps ; -- ; -- ; -- ; +; nPCI_INTB ; Input ; (6) 1314 ps ; (0) 0 ps ; -- ; -- ; -- ; +; nPCI_INTA ; Input ; (0) 0 ps ; (6) 1314 ps ; -- ; -- ; -- ; +; DVI_INT ; Input ; (0) 0 ps ; -- ; -- ; -- ; -- ; +; E0_INT ; Input ; (0) 0 ps ; -- ; -- ; -- ; -- ; +; PIC_INT ; Input ; (6) 1314 ps ; (1) 382 ps ; -- ; -- ; -- ; +; MIDI_IN ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; PIC_AMKB_RX ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; nRD_DATA ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; AMKB_RX ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ; ++----------------+----------+---------------+---------------+-----------------------+----------+------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Pad To Core Delay Chain Fanout ; ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+---------+ +; Source Pin / Fanout ; Pad To Core Index ; Setting ; ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+---------+ +; nFB_BURST ; ; ; +; nACSI_DRQ ; ; ; +; nACSI_INT ; ; ; +; nSCSI_DRQ ; ; ; +; nSCSI_MSG ; ; ; +; nDCHG ; ; ; +; SD_DATA0 ; ; ; +; SD_DATA1 ; ; ; +; SD_DATA2 ; ; ; +; SD_CARD_DEDECT ; ; ; +; SD_WP ; ; ; +; nDACK0 ; ; ; +; WP_CF_CARD ; ; ; +; nSCSI_C_D ; ; ; +; nSCSI_I_O ; ; ; +; nFB_CS3 ; ; ; +; TOUT0 ; ; ; +; nMASTER ; ; ; +; FB_AD[31] ; ; ; +; - SRD[15]~output ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_A[7] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~5 ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|DDR_SEL ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_A[7] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_B[7] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_C[7] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|ADDRESSLATCH~0 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[31]~32 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_FREQ[15] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_FREQ[7] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[31] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[31] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[31] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[31] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[15] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[15] ; 1 ; 6 ; +; - interrupt_handler:nobody|INT_CTR[31] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|CTRL_REG[7] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_TOP[7] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[15] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_B[7] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG~15 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG~15 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~1 ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[31] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[31] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG~2 ; 1 ; 6 ; +; - interrupt_handler:nobody|INT_ENA[31]~feeder ; 1 ; 6 ; +; - interrupt_handler:nobody|ACP_CONF[31]~feeder ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[31]~feeder ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[31]~feeder ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[31]~feeder ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_RED|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ram_block1a0 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 1 ; 6 ; +; FB_AD[30] ; ; ; +; - SRD[14]~output ; 0 ; 6 ; +; - interrupt_handler:nobody|ACP_CONF[30] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~5 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|DDR_SEL ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_A[6] ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_ENA[30] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_A[6] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_B[6] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_C[6] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|ADDRESSLATCH~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[30]~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_FREQ[6] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[30] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[30] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[30] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[30] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[30] ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_CTR[30] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|CTRL_REG[6] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_TOP[6] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[14] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_B[6] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG~8 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG~20 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG~20 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[30] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[30] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[14]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[14]~feeder ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_FREQ[14]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[30]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[30]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_RED|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ram_block1a0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 0 ; 6 ; +; FB_AD[29] ; ; ; +; - SRD[13]~output ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|CTRL_REG[5] ; 1 ; 6 ; +; - interrupt_handler:nobody|INT_ENA[29] ; 1 ; 6 ; +; - interrupt_handler:nobody|ACP_CONF[29] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_A[5] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_B[5] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_C[5] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|ADDRESSLATCH~0 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[29]~2 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_FREQ[5] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[29] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[29] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[29] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[29] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[13] ; 1 ; 6 ; +; - interrupt_handler:nobody|INT_CTR[29] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_TOP[5] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[13] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_B[5] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG~7 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG~21 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG~21 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~1 ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[29] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[29] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[13]~feeder ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_FREQ[13]~feeder ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_A[5]~feeder ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[29]~feeder ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[29]~feeder ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[29]~feeder ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_RED|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ram_block1a0 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 1 ; 6 ; +; FB_AD[28] ; ; ; +; - SRD[12]~output ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|CTRL_REG[4] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LEVEL_B[4] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LEVEL_C[4] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_A[4] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|NOISE_FREQ[4] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_B[4] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_C[4] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|ADDRESSLATCH~1 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[28]~3 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG~5 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_FREQ[12] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_FREQ[4] ; 0 ; 6 ; +; - interrupt_handler:nobody|ACP_CONF[28] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_TOP[4] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[12] ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_CTR[28] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[28] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[28] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[28] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[28] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[12] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[12] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[28] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_B[4] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG~19 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG~19 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~1 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[28] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[28] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[28] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[28]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_ENA[28]~feeder ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LEVEL_A[4]~feeder ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_A[4]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_RED|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ram_block1a0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 0 ; 6 ; +; FB_AD[27] ; ; ; +; - SRD[11]~output ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|CTRL_REG[3] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LEVEL_A[3] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LEVEL_B[3] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LEVEL_C[3] ; 0 ; 6 ; +; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[27] ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_ENA[27] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|ADR_I[3] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_A[11] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_A[3] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|NOISE_FREQ[3] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_SHAPE[3] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_B[11] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_B[3] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_C[11] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_C[3] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[27]~4 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG~6 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_FREQ[11] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_FREQ[3] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[27] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[27] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[27] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDB[11] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBB[11] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HSS[11] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HHT[11] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[11] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[27] ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_CTR[27] ; 0 ; 6 ; +; - interrupt_handler:nobody|ACP_CONF[27] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_TOP[3] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[11] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_B[3] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG~18 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG~18 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~1 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[27] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[27] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[27] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBE[11]~feeder ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_A[3]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[27]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[11]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDE[11]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[27]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_RED|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ram_block1a0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 0 ; 6 ; +; FB_AD[26] ; ; ; +; - Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_RED|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ram_block1a0 ; 1 ; 6 ; +; - SRD[10]~output ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LEVEL_A[2] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LEVEL_B[2] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LEVEL_C[2] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA[12]~53 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|ADR_I[2] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_A[10] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_A[2] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|NOISE_FREQ[2] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_SHAPE[2] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_B[10] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_B[2] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_C[10] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_C[2] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[26]~5 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG~4 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_FREQ[10] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_FREQ[2] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_X_D[2] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[26] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[26] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[26] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDB[10] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBE[10] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDE[10] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HHT[10] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDB[10] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBB[10] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[10] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[10] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[26] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE[10] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VSS[10] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VFT[10] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_TOP[2] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[10] ; 1 ; 6 ; +; - interrupt_handler:nobody|INT_CTR[26] ; 1 ; 6 ; +; - interrupt_handler:nobody|ACP_CONF[26] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG~17 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG~17 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~3 ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[26] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[26] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[26]~feeder ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBB[10]~feeder ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBE[10]~feeder ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[26]~feeder ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDE[10]~feeder ; 1 ; 6 ; +; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[26]~feeder ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HSS[10]~feeder ; 1 ; 6 ; +; - interrupt_handler:nobody|INT_ENA[26]~feeder ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_B[2]~feeder ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|CTRL_REG[2]~feeder ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[26]~feeder ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|altdpram0:ST_CLUT_RED|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated|ram_block1a0 ; 1 ; 6 ; +; FB_AD[25] ; ; ; +; - SRD[9]~output ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LEVEL_A[1] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|CTRL_REG[1] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LEVEL_C[1] ; 0 ; 6 ; +; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[25] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA[11]~55 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|ADR_I[1] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_A[9] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_A[1] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|NOISE_FREQ[1] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_SHAPE[1] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_B[9] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_B[1] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_C[9] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_C[1] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[25]~6 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG~3 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_FREQ[9] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_FREQ[1] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WDC_BSL[1] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[25] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[25] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[25] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDB[9] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBE[9] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBB[9] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDE[9] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HHT[9] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDB[9] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBE[9] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBB[9] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDE[9] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE[9] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VSS[9] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VFT[9] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[9] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ST_SHIFT_MODE[1] ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_CTR[25] ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_ENA[25] ; 0 ; 6 ; +; - interrupt_handler:nobody|ACP_CONF[25] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_TOP[1] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[9] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG~13 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG~13 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~3 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[25] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[25] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[25]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[25]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_X_D[1]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[25]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[9]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HSS[9]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[25]~feeder ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_A[1]~feeder ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LEVEL_B[1]~feeder ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_B[1]~feeder ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altdpram0:ST_CLUT_RED|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated|ram_block1a0 ; 0 ; 6 ; +; FB_AD[24] ; ; ; +; - Video:Fredi_Aschwanden|altdpram0:ST_CLUT_RED|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated|ram_block1a0 ; 1 ; 6 ; +; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[7]~22 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 1 ; 6 ; +; - SRD[8]~output ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|CTRL_REG[0] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LEVEL_A[0] ; 1 ; 6 ; +; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[24] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA[10]~56 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WDC_BSL[0] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_A[8] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_A[0] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_SHAPE[0] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_B[8] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_B[0] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_C[8] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_C[0] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[24]~7 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG~11 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG~0 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG~11 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_FREQ[8] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_FREQ[0] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_X_D[0] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[24] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[24] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDB[8] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBE[8] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBB[8] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HSS[8] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HHT[8] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDB[8] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBE[8] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBB[8] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDE[8] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[8] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VSS[8] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VFT[8] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VCT[8] ; 1 ; 6 ; +; - interrupt_handler:nobody|INT_CTR[24] ; 1 ; 6 ; +; - interrupt_handler:nobody|INT_ENA[24] ; 1 ; 6 ; +; - interrupt_handler:nobody|ACP_CONF[24] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_TOP[0] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_B[0] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~3 ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[24] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[24] ; 1 ; 6 ; +; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[9]~28 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[8]~feeder ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[24]~feeder ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[24]~feeder ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[24]~feeder ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[24]~feeder ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDE[8]~feeder ; 1 ; 6 ; +; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[16]~feeder ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[24]~feeder ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[8]~feeder ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ST_SHIFT_MODE[0]~feeder ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE[8]~feeder ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_A[0]~feeder ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LEVEL_B[0]~feeder ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|ADR_I[0]~feeder ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|NOISE_FREQ[0]~feeder ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LEVEL_C[0]~feeder ; 1 ; 6 ; +; FB_AD[23] ; ; ; +; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[6]~20 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_D[7] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_C[7] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|TRACK_REG[7]~8 ; 0 ; 6 ; +; - SRD[7]~output ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRA[7] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA[9]~59 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[7] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|SCR[7] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|VR[7] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERB[7] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRB~4 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERA[7] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRA~10 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[23]~8 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[16]~15 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UCR[7] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_L_D[7] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|COMMAND_REG~13 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|ISRA~1 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|ISRB~7 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|AER[7] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_H_D[7] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBB[7] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDE[7] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[23] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VCT[7] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE[7] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[7] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[7] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VSS[7] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VFT[7] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[23] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[23] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[23] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[23] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDB[7] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBE[7] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBB[7] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDE[7] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HHT[7] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDB[7] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_M_D[7] ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_CTR[23] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][1] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][3] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][5] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][7] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][6] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][9] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][8] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][11] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][14] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][12] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][16] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][18] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][17] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][20] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][19] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][22] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][24] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][23] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][26] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][25] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][27] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][28] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][29] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][32] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][31] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][30] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][34] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][33] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][36] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][35] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][38] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][37] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][40] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][39] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][42] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][41] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][44] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][43] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][46] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][45] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][48] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][47] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][50] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][49] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][52] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][51] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][54] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][53] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][56] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][55] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][58] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][57] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][60] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][59] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][61] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][63] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][62] ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_ENA[23] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_HIGH[7] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|GPDR[7] ; 0 ; 6 ; +; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_compare:cmpr7|cmpr_tnd:auto_generated|aneb_result_wire[0]~0 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][0]~123 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][2]~124 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][4]~126 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MID[7]~6 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW[7]~4 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UDR[7]~15 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[23] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[23] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[23] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[23] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TDDR[7] ; 0 ; 6 ; +; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[10]~4 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_B~22 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDR[7] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_A~22 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VR_FRQ[7] ; 0 ; 6 ; +; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[23]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[23]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBE[7]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HSS[7]~feeder ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TADR[7]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][21]~feeder ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRB[7]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][15]~feeder ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TBDR[7]~feeder ; 0 ; 6 ; +; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[15]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|ACP_CONF[23]~feeder ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|DDR[7]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][10]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ram_block1a0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_GREEN|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ram_block1a0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 0 ; 6 ; +; FB_AD[22] ; ; ; +; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[5]~18 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_D[6] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_C[6] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|DATA_REG[6]~8 ; 0 ; 6 ; +; - SRD[6]~output ; 0 ; 6 ; +; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[22] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA[8]~61 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[6] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|SCR[6] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERB[6] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRB~2 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERA[6] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRA~8 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[22]~9 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[15]~16 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_L_D[6] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|COMMAND_REG~12 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|ISRB~8 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|ISRA~8 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|AER[6] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_H_D[6] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBB[6] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDE[6] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VCT[6] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE[6] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|SYS_CTR[6] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[6] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[6] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VFT[6] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VSS[6] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[22] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[22] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[22] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDB[6] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBE[6] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBB[6] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDE[6] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HSS[6] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HHT[6] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDB[6] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBE[6] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_M_D[6] ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_CTR[22] ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_ENA[22] ; 0 ; 6 ; +; - interrupt_handler:nobody|ACP_CONF[22] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[6][1] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[6][5] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[6][9] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[6][8] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[6][11] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[6][14] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[6][16] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[6][18] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[6][17] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[6][20] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[6][19] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[6][22] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[6][21] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[6][24] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[6][23] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[6][26] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[6][25] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[6][27] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[6][28] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[6][30] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[6][29] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[6][32] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[6][31] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[6][34] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[6][33] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[6][36] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[6][35] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[6][38] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[6][37] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[6][40] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[6][39] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[6][42] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[6][41] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[6][44] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[6][43] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[6][46] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[6][45] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[6][50] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[6][49] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[6][52] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[6][51] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[6][54] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[6][56] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[6][55] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[6][58] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[6][60] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[6][59] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[6][61] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[6][63] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_HIGH[6] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|DDR[6] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|GPDR[6] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDCR[5] ; 0 ; 6 ; +; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_compare:cmpr7|cmpr_tnd:auto_generated|aneb_result_wire[0]~0 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[6][0]~129 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[6][2]~130 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[6][4]~132 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MID[6]~7 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW[6]~5 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UDR[6]~17 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[22] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[22] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TDDR[6] ; 0 ; 6 ; +; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[11]~8 ; 0 ; 6 ; +; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[14] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TBDR[6] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_B~19 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDR[6] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TADR[6] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_A~19 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[22]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VR_FRQ[6]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[22]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[22]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[22]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[22]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[6][48]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[6][62]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[6][3]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[6][13]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[6][15]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[6][12]~feeder ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|VR[6]~feeder ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRA[6]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[6][7]~feeder ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRB[6]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[6][53]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[6][47]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[6][6]~feeder ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UCR[6]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[6][57]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altdpram0:ST_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated|ram_block1a0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ram_block1a0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_GREEN|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ram_block1a0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 0 ; 6 ; +; FB_AD[21] ; ; ; +; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[4]~16 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_D[5] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_C[5] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|DATA_REG[5]~9 ; 0 ; 6 ; +; - SRD[5]~output ; 0 ; 6 ; +; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[21] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRB[5] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRA[5] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA[7]~63 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UCR[5] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|SCR[5] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_M_D[5] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERB[5] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRB~6 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERA[5] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRA~12 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[21]~10 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[14]~17 ; 0 ; 6 ; +; - interrupt_handler:nobody|RTC_ADR[5] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|COMMAND_REG~12 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|ISRA~2 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|ISRB~5 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|AER[5] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_H_D[5] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBB[5] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDE[5] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[21] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE[5] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|SYS_CTR[5] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[5] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[5] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VFT[5] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VSS[5] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[21] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[21] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[21] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[21] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDB[5] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBE[5] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HSS[5] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDB[5] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBE[5] ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_CTR[21] ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_ENA[21] ; 0 ; 6 ; +; - interrupt_handler:nobody|ACP_CONF[21] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][38] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][37] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][36] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][39] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][41] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][42] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][40] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][33] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][34] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][32] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][35] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][46] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][45] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][47] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][26] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][18] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][25] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][21] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][17] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][20] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][24] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][16] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][28] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][27] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][19] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][31] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][10] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][9] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][8] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][5] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][1] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][3] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][13] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][14] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][12] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][15] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][53] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][57] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][49] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][58] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][54] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][50] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][56] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][52] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][48] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][60] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][55] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][59] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][51] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][63] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_HIGH[5] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[5] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|DDR[5] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|GPDR[5] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDCR[4] ; 0 ; 6 ; +; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_compare:cmpr7|cmpr_tnd:auto_generated|aneb_result_wire[0]~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MID[5]~3 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][4]~134 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][2]~135 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][0]~136 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW[5]~6 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UDR[5]~20 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[21] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[21] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TDDR[5] ; 0 ; 6 ; +; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[12]~11 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TBDR[5] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_B~25 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDR[5] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_A~25 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[21]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[21]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][6]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][44]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][43]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][7]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][30]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][22]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][29]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][62]~feeder ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|VR[5]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][11]~feeder ; 0 ; 6 ; +; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[13]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][23]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[5][61]~feeder ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|TSR[5]~feeder ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TADR[5]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VCT[5]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VR_FRQ[5]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[21]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_L_D[5]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HHT[5]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDE[5]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBB[5]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altdpram0:ST_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated|ram_block1a0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ram_block1a0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_GREEN|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ram_block1a0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 0 ; 6 ; +; FB_AD[20] ; ; ; +; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[3]~14 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_D[4] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_C[4] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|DATA_REG[4]~10 ; 1 ; 6 ; +; - SRD[4]~output ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRB[4] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA[6]~65 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|SCR[4] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_M_D[4] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[4] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|VR[4] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERA[4] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRA~14 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERB[4] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRB~16 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[20]~11 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[13]~18 ; 1 ; 6 ; +; - interrupt_handler:nobody|RTC_ADR[4] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|COMMAND_REG~12 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|ISRA~3 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|ISRB~6 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|AER[4] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_H_D[4] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBB[4] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDE[4] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[20] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[20] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VCT[4] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|SYS_CTR[4] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[4] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[4] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VFT[4] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VSS[4] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[20] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[20] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[20] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[20] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDB[4] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBE[4] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBB[4] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDE[4] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HHT[4] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDB[4] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBE[4] ; 1 ; 6 ; +; - interrupt_handler:nobody|INT_CTR[20] ; 1 ; 6 ; +; - interrupt_handler:nobody|INT_ENA[20] ; 1 ; 6 ; +; - interrupt_handler:nobody|ACP_CONF[20] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][22] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][26] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][18] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][30] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][25] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][21] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][17] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][20] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][24] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][16] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][28] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][27] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][38] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][37] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][36] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][39] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][41] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][42] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][40] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][43] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][33] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][34] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][46] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][44] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][47] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][10] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][9] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][8] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][11] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][5] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][6] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][7] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][1] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][3] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][13] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][14] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][12] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][15] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][57] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][61] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][58] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][54] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][56] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][52] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][55] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][59] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][51] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][63] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_HIGH[4] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|DDR[4] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|GPDR[4] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TACR[4] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TBCR[4] ; 1 ; 6 ; +; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_compare:cmpr7|cmpr_tnd:auto_generated|aneb_result_wire[0]~0 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MID[4]~4 ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][4]~138 ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][2]~139 ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][0]~140 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW[4]~7 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UDR[4]~23 ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[20] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[20] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[20] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TDDR[4] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_B~29 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDR[4] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_A~29 ; 1 ; 6 ; +; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[12] ; 1 ; 6 ; +; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[13]~26 ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VR_FRQ[4] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[20]~feeder ; 1 ; 6 ; +; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[20]~feeder ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_L_D[4]~feeder ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TADR[4]~feeder ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][50]~feeder ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][49]~feeder ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][19]~feeder ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][23]~feeder ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDCR[3]~feeder ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][53]~feeder ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][60]~feeder ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][29]~feeder ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][31]~feeder ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][48]~feeder ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][35]~feeder ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][32]~feeder ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TBDR[4]~feeder ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][62]~feeder ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[4][45]~feeder ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRA[4]~feeder ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UCR[4]~feeder ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HSS[4]~feeder ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE[4]~feeder ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|altdpram0:ST_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated|ram_block1a0 ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ram_block1a0 ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_GREEN|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ram_block1a0 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 1 ; 6 ; +; FB_AD[19] ; ; ; +; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[2]~12 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_D[3] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_C[3] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|DATA_REG[3]~11 ; 0 ; 6 ; +; - SRD[3]~output ; 0 ; 6 ; +; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[19] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRB[3] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRA[3] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA[5]~67 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|TSR[3] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[19] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[3] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRB~10 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERA[3] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRA~16 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[19]~12 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[12]~19 ; 0 ; 6 ; +; - interrupt_handler:nobody|RTC_ADR[3] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_M_D[3] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|ISRB~3 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|ISRA~6 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TACR[3] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TBCR[3] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|AER[3] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_H_D[3] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|DDR[3] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|GPDR[3] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDE[3] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VMD[3] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VCT[3] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[3] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[3] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VSS[3] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[19] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[19] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[19] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[19] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDB[3] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBE[3] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBB[3] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDE[3] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HSS[3] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDB[3] ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_CTR[19] ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_ENA[19] ; 0 ; 6 ; +; - interrupt_handler:nobody|ACP_CONF[19] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][38] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][37] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][39] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][41] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][42] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][33] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][34] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][46] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][45] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][47] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][22] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][26] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][18] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][30] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][25] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][17] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][29] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][20] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][24] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][28] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][27] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][23] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][31] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][10] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][9] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][8] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][5] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][1] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][3] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][13] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][14] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][15] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][53] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][57] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][61] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][58] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][54] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][56] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][52] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][55] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][59] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][51] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_L_D[3] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_HIGH[3] ; 0 ; 6 ; +; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_compare:cmpr7|cmpr_tnd:auto_generated|aneb_result_wire[0]~1 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UDR[3]~26 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][4]~142 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][2]~143 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][0]~144 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MID[3]~8 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW[3]~8 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[19] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[19] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[19] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TDDR[3] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TBDR[3] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_B~32 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDR[3] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TADR[3] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_A~32 ; 0 ; 6 ; +; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[11] ; 0 ; 6 ; +; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[14]~23 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VR_FRQ[3] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[19]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBE[3]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[19]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HHT[3]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBB[3]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE[3]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VFT[3]~feeder ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UCR[3]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][48]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][35]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][32]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][40]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][44]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][43]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][36]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][6]~feeder ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERB[3]~feeder ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|SCR[3]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][19]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][11]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][12]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][21]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][7]~feeder ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|VR[3]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][60]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][16]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][63]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][62]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][50]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[3][49]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ram_block1a0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_GREEN|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ram_block1a0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 0 ; 6 ; +; FB_AD[18] ; ; ; +; - Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_GREEN|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ram_block1a0 ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ram_block1a0 ; 1 ; 6 ; +; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[1]~10 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_D[2] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_C[2] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|DATA_REG[2]~12 ; 1 ; 6 ; +; - SRD[2]~output ; 1 ; 6 ; +; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[18] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VRAS~4 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRB[2] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA[4]~69 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UCR[2] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[18] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[2] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERA[2] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRA~4 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRB~8 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[18]~13 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[11]~20 ; 1 ; 6 ; +; - interrupt_handler:nobody|RTC_ADR[2] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_M_D[2] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[18] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[18] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[18] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[18] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDB[2] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBE[2] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBB[2] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDE[2] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HSS[2] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBE[2] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBB[2] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDE[2] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VFT[2] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VMD[2] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VCT[2] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[2] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[2] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|SYS_CTR[2] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VSS[2] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_L_D[2] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_H_D[2] ; 1 ; 6 ; +; - interrupt_handler:nobody|INT_CTR[18] ; 1 ; 6 ; +; - interrupt_handler:nobody|INT_ENA[18] ; 1 ; 6 ; +; - interrupt_handler:nobody|ACP_CONF[18] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[2][1] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[2][3] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[2][5] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[2][6] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[2][9] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[2][10] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[2][14] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[2][13] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[2][15] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[2][18] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[2][17] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[2][20] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[2][19] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[2][21] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[2][23] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[2][25] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[2][28] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[2][29] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[2][32] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[2][31] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[2][34] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[2][33] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[2][35] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[2][38] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[2][37] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[2][40] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[2][39] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[2][41] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[2][44] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[2][43] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[2][45] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[2][48] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[2][47] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[2][49] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[2][52] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[2][51] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[2][54] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[2][53] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[2][56] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[2][55] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[2][58] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[2][57] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[2][59] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[2][61] ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[2][62] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_HIGH[2] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TACR[2] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDCR[2] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TBCR[2] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|GPDR[2] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|DDR[2] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|AER[2] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|ISRB~4 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|ISRA~7 ; 1 ; 6 ; +; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_compare:cmpr7|cmpr_tnd:auto_generated|aneb_result_wire[0]~1 ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[2][0]~119 ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[2][2]~120 ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[2][4]~122 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MID[2]~5 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW[2]~3 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UDR[2]~14 ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[18] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[18] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[18] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[18] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TDDR[2] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TBDR[2] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_B~16 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDR[2] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TADR[2] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_A~16 ; 1 ; 6 ; +; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[10] ; 1 ; 6 ; +; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[15]~20 ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[18]~feeder ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VR_FRQ[2]~feeder ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDB[2]~feeder ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HHT[2]~feeder ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[2][46]~feeder ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[2][50]~feeder ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[2][16]~feeder ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[2][22]~feeder ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[2][42]~feeder ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[2][8]~feeder ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[2][12]~feeder ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[2][24]~feeder ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[2][27]~feeder ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[2][36]~feeder ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[2][30]~feeder ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[2][26]~feeder ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[2][60]~feeder ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE[2]~feeder ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|TSR[2]~feeder ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[2][63]~feeder ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|SCR[2]~feeder ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRA[2]~feeder ; 1 ; 6 ; +; - interrupt_handler:nobody|WERTE[2][7]~feeder ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERB[2]~feeder ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|altdpram0:ST_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated|ram_block1a0 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 1 ; 6 ; +; FB_AD[17] ; ; ; +; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[0]~8 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|DATA_REG[1]~13 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_D[1] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_C[1] ; 0 ; 6 ; +; - SRD[1]~output ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[1] ; 0 ; 6 ; +; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[17] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VCAS~0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[17] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRA[1] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA[3]~71 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UCR[1] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|RSR[1] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERA[1] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRA~2 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRB~14 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[17]~14 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[10]~21 ; 0 ; 6 ; +; - interrupt_handler:nobody|RTC_ADR[1] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_M_D[1] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBB[1] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDE[1] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VFT[1] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VCT[1] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[1] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[1] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE[1] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|SYS_CTR[1] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VSS[1] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[17] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[17] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[17] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDB[1] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBE[1] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBB[1] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDE[1] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HSS[1] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HHT[1] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDB[1] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBE[1] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_L_D[1] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_H_D[1] ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_CTR[17] ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_ENA[17] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[1][1] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[1][3] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[1][5] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[1][9] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[1][10] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[1][14] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[1][13] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[1][15] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[1][18] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[1][17] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[1][19] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[1][22] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[1][24] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[1][23] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[1][26] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[1][25] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[1][27] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[1][28] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[1][30] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[1][29] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[1][32] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[1][31] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[1][34] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[1][33] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[1][36] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[1][35] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[1][38] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[1][37] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[1][40] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[1][39] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[1][42] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[1][41] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[1][44] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[1][46] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[1][45] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[1][48] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[1][50] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[1][49] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[1][52] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[1][51] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[1][54] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[1][53] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[1][56] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[1][55] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[1][58] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[1][57] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[1][60] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[1][59] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[1][61] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[1][63] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[1][62] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_HIGH[1] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TACR[1] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDCR[1] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|GPDR[1] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|DDR[1] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|ISRB~2 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|ISRA~4 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~3 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[1][0]~115 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[1][2]~116 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[1][4]~118 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MID[1]~2 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW[1]~2 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UDR[1]~11 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[17] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[17] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TDDR[1] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TBDR[1] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_B~13 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDR[1] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_A~13 ; 0 ; 6 ; +; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[9] ; 0 ; 6 ; +; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[16]~17 ; 0 ; 6 ; +; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_compare:cmpr7|cmpr_tnd:auto_generated|aneb_result_wire[0] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VR_FRQ[1] ; 0 ; 6 ; +; - interrupt_handler:nobody|ACP_CONF[17]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[17]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[17]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[1][8]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[1][6]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[1][7]~feeder ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TADR[1]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[1][43]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[1][47]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[17]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VMD[1]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[17]~feeder ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|TSR[1]~feeder ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|AER[1]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[1][16]~feeder ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TBCR[1]~feeder ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERB[1]~feeder ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|SCR[1]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[1][12]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[1][21]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[1][20]~feeder ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRB[1]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altdpram0:ST_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated|ram_block1a0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 0 ; 6 ; +; FB_AD[16] ; ; ; +; - Video:Fredi_Aschwanden|altdpram0:ST_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated|ram_block1a0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 0 ; 6 ; +; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[0]~8 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_D[0] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_C[0] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|DATA_REG[0]~14 ; 0 ; 6 ; +; - SRD[0]~output ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|TSR[0] ; 0 ; 6 ; +; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[16] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VWE ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRA[0] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA[2]~73 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|SCR[0] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERA[0] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRA~6 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERB[0] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRB~12 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[16]~15 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[9]~22 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[16] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[16] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[16] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBE[0] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBB[0] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDE[0] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HSS[0] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HHT[0] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDB[0] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBB[0] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDE[0] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[16] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VFT[0] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VCT[0] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[0] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[0] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|SYS_CTR[0] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_L_D[0] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_H_D[0] ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_CTR[16] ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_ENA[16] ; 0 ; 6 ; +; - interrupt_handler:nobody|ACP_CONF[16] ; 0 ; 6 ; +; - interrupt_handler:nobody|RTC_ADR[0] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][1] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][3] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][5] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][7] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][8] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][9] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][10] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][14] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][15] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][16] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][17] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][18] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][19] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][20] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][21] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][22] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][24] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][25] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][26] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][27] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][28] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][30] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][31] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][32] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][33] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][34] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][35] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][36] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][38] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][39] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][40] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][42] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][43] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][44] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][45] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][46] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][50] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][51] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][52] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][54] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][56] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][58] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][59] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][60] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][61] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][62] ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][63] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_HIGH[0] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[0] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TACR[0] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|DDR[0] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|GPDR[0] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|AER[0] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|ISRB~1 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|ISRA~5 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UDR[0]~7 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~4 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][0]~2 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][2]~7 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][4]~13 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][13]~19 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MID[0]~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW[0]~0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[16] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[16] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[16] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TDDR[0] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TBDR[0] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_B~10 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDR[0] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TADR[0] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_A~10 ; 0 ; 6 ; +; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[17]~14 ; 0 ; 6 ; +; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[8] ; 0 ; 6 ; +; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_compare:cmpr7|cmpr_tnd:auto_generated|aneb_result_wire[0] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[16]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_M_D[0]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][47]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][55]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][48]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][12]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][49]~feeder ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRB[0]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][37]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBE[0]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VSS[0]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE[0]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VMD[0]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[16]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][29]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][6]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDB[0]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[16]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][23]~feeder ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TBCR[0]~feeder ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|RSR[0]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][41]~feeder ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDCR[0]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][57]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][53]~feeder ; 0 ; 6 ; +; FB_AD[15] ; ; ; +; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[15] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA[1]~75 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[15]~16 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[15] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[15] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[15] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[15] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[15] ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_CTR[15] ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_ENA[15] ; 0 ; 6 ; +; - interrupt_handler:nobody|ACP_CONF[15] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~4 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MID[7]~6 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[15] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[15] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[15]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[15]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[15]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM54|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 0 ; 6 ; +; FB_AD[14] ; ; ; +; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[14] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA[0]~77 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|BA_S[1]~2 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[14]~17 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[14] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[14] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[14] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[14] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[14] ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_CTR[14] ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_ENA[14] ; 0 ; 6 ; +; - interrupt_handler:nobody|ACP_CONF[14] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~4 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MID[6]~7 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[14] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[14] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[14] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[14]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[14]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM54|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 0 ; 6 ; +; FB_AD[13] ; ; ; +; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[13] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~3 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|BA[1]~10 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|BA_S[0]~6 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[13]~18 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[13] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[13] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[13] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[13] ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_CTR[13] ; 0 ; 6 ; +; - interrupt_handler:nobody|ACP_CONF[13] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~4 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MID[5]~3 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[13] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[13] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[13]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[13]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[13]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_ENA[13]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[13]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM54|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 0 ; 6 ; +; FB_AD[12] ; ; ; +; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[12] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~3 ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|BA[0]~12 ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA_S[12]~5 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[12]~19 ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[12] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[12] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[12] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[12] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[12] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[12] ; 1 ; 6 ; +; - interrupt_handler:nobody|INT_CTR[12] ; 1 ; 6 ; +; - interrupt_handler:nobody|INT_ENA[12] ; 1 ; 6 ; +; - interrupt_handler:nobody|ACP_CONF[12] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~5 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MID[4]~4 ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[12] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[12] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[12]~feeder ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[12]~feeder ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM54|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 1 ; 6 ; +; FB_AD[11] ; ; ; +; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[11] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA_S[11]~6 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[11]~20 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[11] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[11] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[11] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[11] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[11] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[11] ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_ENA[11] ; 0 ; 6 ; +; - interrupt_handler:nobody|ACP_CONF[11] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~5 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MID[3]~8 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[11] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[11] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[11] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[11]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_CTR[11]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM54|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 0 ; 6 ; +; FB_AD[10] ; ; ; +; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[10] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA_S[10]~8 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[10]~21 ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[10] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[10] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[10] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[10] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[10] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[10] ; 1 ; 6 ; +; - interrupt_handler:nobody|INT_ENA[10] ; 1 ; 6 ; +; - interrupt_handler:nobody|ACP_CONF[10] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~5 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MID[2]~5 ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[10] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[10] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[10] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[10]~feeder ; 1 ; 6 ; +; - interrupt_handler:nobody|INT_CTR[10]~feeder ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM54|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 1 ; 6 ; +; FB_AD[9] ; ; ; +; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[9] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA_S[9]~13 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[9]~22 ; 1 ; 6 ; +; - interrupt_handler:nobody|INT_ENA[9] ; 1 ; 6 ; +; - interrupt_handler:nobody|INT_CLEAR[9]~0 ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[9] ; 1 ; 6 ; +; - interrupt_handler:nobody|INT_CTR[9] ; 1 ; 6 ; +; - interrupt_handler:nobody|ACP_CONF[9] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~5 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MID[1]~2 ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[9] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[9] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[9] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[9]~feeder ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[9]~feeder ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[9]~feeder ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[9]~feeder ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[9]~feeder ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[9]~feeder ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM54|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 1 ; 6 ; +; FB_AD[8] ; ; ; +; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM54|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 0 ; 6 ; +; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[8] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA_S[8]~18 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[8]~23 ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_CLEAR[8]~1 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[8] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[8] ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_CTR[8] ; 0 ; 6 ; +; - interrupt_handler:nobody|ACP_CONF[8] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~6 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MID[0]~0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[8] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[8] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[8] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[8] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[8]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[8]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_ENA[8]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[8]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[8]~feeder ; 0 ; 6 ; +; FB_AD[7] ; ; ; +; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[7] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA_S[7]~21 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[7]~24 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[7] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[7] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[7] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[7] ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_CTR[7] ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_ENA[7] ; 0 ; 6 ; +; - interrupt_handler:nobody|ACP_CONF[7] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~6 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW[7]~4 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[7] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[7] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[7] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[7] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[7]~feeder ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 0 ; 6 ; +; FB_AD[6] ; ; ; +; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[6] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA_S[6]~28 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[6]~25 ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_ENA[6] ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_CLEAR[6]~2 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[6] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[6] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[6] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[6] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[6] ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_CTR[6] ; 0 ; 6 ; +; - interrupt_handler:nobody|ACP_CONF[6] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~6 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW[6]~5 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[6] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[6] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[6] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[6]~feeder ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 0 ; 6 ; +; FB_AD[5] ; ; ; +; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[5] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA_S[5]~32 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[5]~26 ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_CLEAR[5]~3 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[5] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[5] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[5] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[5] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[5] ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_CTR[5] ; 0 ; 6 ; +; - interrupt_handler:nobody|ACP_CONF[5] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~6 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW[5]~6 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[5] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[5] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[5] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[5]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[5]~feeder ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_ENA[5]~feeder ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 0 ; 6 ; +; FB_AD[4] ; ; ; +; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[4] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA_S[4]~35 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[4]~27 ; 1 ; 6 ; +; - interrupt_handler:nobody|INT_CLEAR[4]~4 ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[4] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[4] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[4] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[4] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[4] ; 1 ; 6 ; +; - interrupt_handler:nobody|INT_CTR[4] ; 1 ; 6 ; +; - interrupt_handler:nobody|ACP_CONF[4] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~8 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW[4]~7 ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[4] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[4] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[4] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[4]~feeder ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[4]~feeder ; 1 ; 6 ; +; - interrupt_handler:nobody|INT_ENA[4]~feeder ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 1 ; 6 ; +; FB_AD[3] ; ; ; +; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[3] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA_S[3]~38 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[3]~28 ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_ENA[3] ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_CLEAR[3]~5 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[3] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[3] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[3] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[3] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[3] ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_CTR[3] ; 0 ; 6 ; +; - interrupt_handler:nobody|ACP_CONF[3] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~8 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW[3]~8 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[3] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[3] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[3] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[3]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[3]~feeder ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 0 ; 6 ; +; FB_AD[2] ; ; ; +; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[2] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA_S[2]~41 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[2]~29 ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_ENA[2] ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_CLEAR[2]~6 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[2] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[2] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[2] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[2] ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_CTR[2] ; 0 ; 6 ; +; - interrupt_handler:nobody|ACP_CONF[2] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~8 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW[2]~3 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[2] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[2] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[2] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[2] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[2]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[2]~feeder ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 0 ; 6 ; +; FB_AD[1] ; ; ; +; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[1] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA_S[1]~47 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[1]~30 ; 1 ; 6 ; +; - interrupt_handler:nobody|INT_CLEAR[1]~7 ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[1] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[1] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[1] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[1] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[1] ; 1 ; 6 ; +; - interrupt_handler:nobody|INT_CTR[1] ; 1 ; 6 ; +; - interrupt_handler:nobody|ACP_CONF[1] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~8 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW[1]~2 ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[1] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[1] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[1] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[1]~feeder ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[1]~feeder ; 1 ; 6 ; +; - interrupt_handler:nobody|INT_ENA[1]~feeder ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 1 ; 6 ; +; FB_AD[0] ; ; ; +; - Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ram_block1a0 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0 ; 1 ; 6 ; +; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[0] ; 1 ; 6 ; +; - interrupt_handler:nobody|INT_CTR[0] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA_S[0]~48 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[0]~31 ; 1 ; 6 ; +; - interrupt_handler:nobody|INT_ENA[0] ; 1 ; 6 ; +; - interrupt_handler:nobody|INT_CLEAR[0]~8 ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[0] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[0] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[0] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[0] ; 1 ; 6 ; +; - interrupt_handler:nobody|ACP_CONF[0] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~9 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW[0]~0 ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[7]~5 ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component|dffs[0] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component|dffs[0] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component|dffs[0]~feeder ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component|dffs[0]~feeder ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[0]~feeder ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[0]~feeder ; 1 ; 6 ; +; VD[31] ; ; ; +; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[31] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[31]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[31]~feeder ; 0 ; 6 ; +; VD[30] ; ; ; +; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[30] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[30]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[30]~feeder ; 0 ; 6 ; +; VD[29] ; ; ; +; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[29] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[29]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[29]~feeder ; 0 ; 6 ; +; VD[28] ; ; ; +; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[28] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[28]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[28]~feeder ; 0 ; 6 ; +; VD[27] ; ; ; +; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[27] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[27]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[27]~feeder ; 0 ; 6 ; +; VD[26] ; ; ; +; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[26] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[26]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[26]~feeder ; 0 ; 6 ; +; VD[25] ; ; ; +; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[25] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[25]~feeder ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[25]~feeder ; 1 ; 6 ; +; VD[24] ; ; ; +; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[24] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[24]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[24]~feeder ; 0 ; 6 ; +; VD[23] ; ; ; +; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[23] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[23]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[23]~feeder ; 0 ; 6 ; +; VD[22] ; ; ; +; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[22] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[22]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[22]~feeder ; 0 ; 6 ; +; VD[21] ; ; ; +; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[21] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[21]~feeder ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[21]~feeder ; 1 ; 6 ; +; VD[20] ; ; ; +; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[20] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[20]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[20]~feeder ; 0 ; 6 ; +; VD[19] ; ; ; +; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[19] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[19]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[19]~feeder ; 0 ; 6 ; +; VD[18] ; ; ; +; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[18] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[18]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[18]~feeder ; 0 ; 6 ; +; VD[17] ; ; ; +; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[17] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[17]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[17]~feeder ; 0 ; 6 ; +; VD[16] ; ; ; +; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[16] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[16]~feeder ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[16]~feeder ; 1 ; 6 ; +; VD[15] ; ; ; +; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[15] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[15]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[15]~feeder ; 0 ; 6 ; +; VD[14] ; ; ; +; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[14] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[14]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[14]~feeder ; 0 ; 6 ; +; VD[13] ; ; ; +; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[13] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[13]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[13]~feeder ; 0 ; 6 ; +; VD[12] ; ; ; +; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[12] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[12]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[12]~feeder ; 0 ; 6 ; +; VD[11] ; ; ; +; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[11] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[11]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[11]~feeder ; 0 ; 6 ; +; VD[10] ; ; ; +; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[10] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[10]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[10]~feeder ; 0 ; 6 ; +; VD[9] ; ; ; +; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[9] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[9]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[9]~feeder ; 0 ; 6 ; +; VD[8] ; ; ; +; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[8] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[8]~feeder ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[8]~feeder ; 1 ; 6 ; +; VD[7] ; ; ; +; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[7] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[7]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[7]~feeder ; 0 ; 6 ; +; VD[6] ; ; ; +; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[6] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[6]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[6]~feeder ; 0 ; 6 ; +; VD[5] ; ; ; +; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[5] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[5]~feeder ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[5]~feeder ; 1 ; 6 ; +; VD[4] ; ; ; +; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[4] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[4]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[4]~feeder ; 0 ; 6 ; +; VD[3] ; ; ; +; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[3] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[3]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[3]~feeder ; 0 ; 6 ; +; VD[2] ; ; ; +; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[2] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[2]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[2]~feeder ; 0 ; 6 ; +; VD[1] ; ; ; +; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[1] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[1]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[1]~feeder ; 0 ; 6 ; +; VD[0] ; ; ; +; - Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[0] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[0]~feeder ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[0]~feeder ; 0 ; 6 ; +; VDQS[3] ; ; ; +; VDQS[2] ; ; ; +; VDQS[1] ; ; ; +; VDQS[0] ; ; ; +; IO[17] ; ; ; +; IO[16] ; ; ; +; IO[15] ; ; ; +; IO[14] ; ; ; +; IO[13] ; ; ; +; IO[12] ; ; ; +; IO[11] ; ; ; +; IO[10] ; ; ; +; IO[9] ; ; ; +; IO[8] ; ; ; +; IO[7] ; ; ; +; IO[6] ; ; ; +; IO[5] ; ; ; +; IO[4] ; ; ; +; IO[3] ; ; ; +; IO[2] ; ; ; +; IO[1] ; ; ; +; IO[0] ; ; ; +; SRD[15] ; ; ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[31]~135 ; 1 ; 6 ; +; SRD[14] ; ; ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[30]~118 ; 0 ; 6 ; +; SRD[13] ; ; ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[29]~312 ; 0 ; 6 ; +; SRD[12] ; ; ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[28]~334 ; 1 ; 6 ; +; SRD[11] ; ; ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[27]~350 ; 1 ; 6 ; +; SRD[10] ; ; ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[26]~172 ; 1 ; 6 ; +; SRD[9] ; ; ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[25]~188 ; 1 ; 6 ; +; SRD[8] ; ; ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[24]~205 ; 0 ; 6 ; +; SRD[7] ; ; ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[23]~221 ; 0 ; 6 ; +; SRD[6] ; ; ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[22]~237 ; 1 ; 6 ; +; SRD[5] ; ; ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[21]~253 ; 0 ; 6 ; +; SRD[4] ; ; ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[20]~269 ; 1 ; 6 ; +; SRD[3] ; ; ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[19]~287 ; 0 ; 6 ; +; SRD[2] ; ; ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[18]~151 ; 0 ; 6 ; +; SRD[1] ; ; ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[17]~86 ; 1 ; 6 ; +; SRD[0] ; ; ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[16]~50 ; 1 ; 6 ; +; SCSI_PAR ; ; ; +; nSCSI_SEL ; ; ; +; nSCSI_BUSY ; ; ; +; nSCSI_RST ; ; ; +; SD_CD_DATA3 ; ; ; +; SD_CMD_D1 ; ; ; +; ACSI_D[7] ; ; ; +; ACSI_D[6] ; ; ; +; ACSI_D[5] ; ; ; +; ACSI_D[4] ; ; ; +; ACSI_D[3] ; ; ; +; ACSI_D[2] ; ; ; +; ACSI_D[1] ; ; ; +; ACSI_D[0] ; ; ; +; LP_D[7] ; ; ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|DA_OUT[7]~23 ; 1 ; 6 ; +; LP_D[6] ; ; ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|DA_OUT[6]~17 ; 0 ; 6 ; +; LP_D[5] ; ; ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|DA_OUT[5]~66 ; 0 ; 6 ; +; LP_D[4] ; ; ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[28]~326 ; 1 ; 6 ; +; LP_D[3] ; ; ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[27]~342 ; 1 ; 6 ; +; LP_D[2] ; ; ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[26]~163 ; 1 ; 6 ; +; LP_D[1] ; ; ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[25]~179 ; 0 ; 6 ; +; LP_D[0] ; ; ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[24]~196 ; 0 ; 6 ; +; SCSI_D[7] ; ; ; +; SCSI_D[6] ; ; ; +; SCSI_D[5] ; ; ; +; SCSI_D[4] ; ; ; +; SCSI_D[3] ; ; ; +; SCSI_D[2] ; ; ; +; SCSI_D[1] ; ; ; +; SCSI_D[0] ; ; ; +; nRSTO_MCF ; ; ; +; nFB_WR ; ; ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|ROM_CS ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|SUB_BUS~0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VRAS~0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~4 ; 0 ; 6 ; +; - interrupt_handler:nobody|TIN0~0 ; 0 ; 6 ; +; - DSP:Mathias_Alles|nSRWE~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|DIG_PORTS~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|process_8~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TACR[0]~0 ; 0 ; 6 ; +; - interrupt_handler:nobody|ACP_CONF[31]~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|Selector1~1 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|Selector0~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|P_CTRL_REG~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LEVEL_A[4]~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LEVEL_B[4]~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LEVEL_C[4]~0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~11 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[7]~0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE[7]~0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FR_S2~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|SECTORREG~0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|BA_S[0]~0 ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_ENA[31]~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TAO~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRA~1 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRA[0]~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRB~1 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRB[0]~0 ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_CTR[7]~0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA_S[7]~24 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|ADDRESSLATCH~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[31]~1 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WDC_BSL[0]~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|Mux2~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CONTROL~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UCR[3]~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|RSR[1]~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|COMMAND_REG~8 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_A[11]~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_A[7]~2 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|NOISE_FREQ[4]~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_SHAPE[2]~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_RESET~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_B[11]~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_B[7]~2 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_C[11]~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_C[7]~2 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|DATA_OUT~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UDR_READ~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|TSR_READ~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|RSR_READ~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|DATA_OUT~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|DATA_OUT_EN~2 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|DATA_OUT~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|DATA_OUT~1 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|DATA_OUT~3 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|DATA_OUT~5 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|DATA_OUT~7 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|DATA_OUT~9 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|DATA_OUT~11 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|DATA_OUT~12 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|DATA_OUT~14 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|CPU_REQ~1 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|DATA_OUT~1 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|Mux1~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|DA_OUT[6]~10 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|DATA_EN~1 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|DATA_EN~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|DATA_EN~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|DATA_EN~1 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|DATA_EN~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|DATA_EN~0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[15]~1 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|TRACKREG~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|VR[7]~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|ISRA~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|ISRB~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERA[0]~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERB[0]~0 ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_CLEAR[9]~0 ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_CLEAR[8]~1 ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_CLEAR[6]~2 ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_CLEAR[5]~3 ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_CLEAR[4]~4 ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_CLEAR[3]~5 ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_CLEAR[2]~6 ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_CLEAR[1]~7 ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_CLEAR[0]~8 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|DA_OUT[2]~36 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|DA_OUT~44 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|DA_OUT~45 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~35 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|DATA_OUT~30 ; 0 ; 6 ; +; - DSP:Mathias_Alles|nSRWE~1 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATAREG~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG~14 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UCR[2]~2 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATAREG~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG~14 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_FREQ[7]~0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[23]~0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[23]~0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[23]~0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[23]~0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDB[7]~0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBE[7]~0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBB[7]~0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HSS[7]~0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HHT[7]~0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDB[7]~0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBB[7]~0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VMD[3]~0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VCT[7]~0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[7]~2 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VSS[7]~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|valid_rdreq~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|nFDC_WR~0 ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_CTR[23]~1 ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_ENA[23]~1 ; 0 ; 6 ; +; - interrupt_handler:nobody|RTC_ADR[5]~0 ; 0 ; 6 ; +; - interrupt_handler:nobody|_~465 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[0][0]~3 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][1]~5 ; 0 ; 6 ; +; - interrupt_handler:nobody|_~466 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][3]~9 ; 0 ; 6 ; +; - interrupt_handler:nobody|_~471 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][5]~14 ; 0 ; 6 ; +; - interrupt_handler:nobody|_~478 ; 0 ; 6 ; +; - interrupt_handler:nobody|_~480 ; 0 ; 6 ; +; - interrupt_handler:nobody|_~482 ; 0 ; 6 ; +; - interrupt_handler:nobody|_~484 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][10]~16 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][12]~17 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][13]~20 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][14]~23 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][15]~25 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][16]~26 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][17]~28 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][18]~30 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][19]~32 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][20]~34 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][21]~36 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][22]~38 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][23]~39 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][24]~41 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][25]~43 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][26]~45 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][27]~47 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][28]~49 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][29]~51 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][30]~52 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][31]~54 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][32]~56 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][33]~57 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][34]~59 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][35]~61 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][36]~63 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][37]~65 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][38]~67 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][39]~68 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][40]~70 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][41]~72 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][42]~74 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][43]~76 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][44]~78 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][45]~80 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][46]~82 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][47]~84 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][48]~86 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][49]~87 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][50]~89 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][51]~91 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][52]~93 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][53]~94 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][54]~96 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][55]~98 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][56]~100 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][57]~102 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][58]~104 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][59]~106 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][60]~108 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][61]~110 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][62]~112 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][63]~113 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|process_11~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MID[0]~1 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_CLUT_WR[1] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW[0]~1 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TACR[0]~2 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDCR[0]~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TBCR[0]~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|DDR[0]~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|GPDR[0]~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|AER[0]~0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[15]~1 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[15]~1 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[15]~1 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE[10]~2 ; 0 ; 6 ; +; - interrupt_handler:nobody|ACP_CONF[15]~3 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_CLUT_WR[2] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[31]~2 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[31]~2 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[31]~2 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[31]~3 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[15]~4 ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_CTR[31]~3 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|process_10~0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_CLUT_WR[3] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_CLUT_WR[1] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[7]~4 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[7]~6 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[6]~7 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT|UE~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|FS_B~1 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|\P_FS_B:FIRST_READ~0 ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_ENA[7]~3 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_X_D[2]~0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDB[11]~1 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBB[11]~2 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HSS[11]~1 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HHT[11]~1 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDB[10]~1 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VSS[10]~1 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VR_WR~0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ST_CLUT_WR[0]~0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ST_SHIFT_MODE[1]~0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VCT[8]~2 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VIDEO_RECONFIG~0 ; 0 ; 6 ; +; - interrupt_handler:nobody|WERTE[7][11]~127 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[7]~4 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[7]~3 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[7]~3 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_CLUT_WR[3] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_B[7]~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|process_2~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|IRQn~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|DCD_OVR_LOCK~1 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|IRQn~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|DCD_OVR_LOCK~1 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FB_LE[3] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FB_LE[1]~2 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FB_LE[2]~3 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FB_LE[0]~4 ; 0 ; 6 ; +; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|read_init_nominal_state~1 ; 0 ; 6 ; +; - altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|read_init_state~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TADR[0]~0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|SYS_CTR[6]~3 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VR_RD~2 ; 0 ; 6 ; +; nFB_CS1 ; ; ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|ROM_CS ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|IDE_CF_CS ; 0 ; 6 ; +; - interrupt_handler:nobody|TIN0~0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE_CS ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VIDEO_MOD_TA~4 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW_CS~0 ; 0 ; 6 ; +; - interrupt_handler:nobody|UHR_DS~3 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|MFP_CS~1 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBE_CS~1 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VIDEO_MOD_TA~8 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDB_CS~0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VSS_CS~0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VCT_CS~2 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF_CS ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HHT_CS~0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HSS_CS~0 ; 0 ; 6 ; +; - interrupt_handler:nobody|UHR_DS~4 ; 0 ; 6 ; +; - interrupt_handler:nobody|_~1 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|NEXT_CMD_STATE.T1~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|Selector2~0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBE_CS~2 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDE_CS~0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBB_CS~0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~2 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~28 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_CNT_M ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_CNT_H ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|lpm_bustri_BYT:$00004|lpm_bustri:lpm_bustri_component|dout[0]~1 ; 0 ; 6 ; +; - interrupt_handler:nobody|TIN0~1 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~32 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_M_D[7]~0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~33 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~34 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_bustri_WORD:$00000|lpm_bustri:lpm_bustri_component|dout[9]~85 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~20 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_L ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~36 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_CNT_L ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~37 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~38 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~39 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~40 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VMD[3]~0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VCT[7]~0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[7]~0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE[7]~1 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_L_D[7]~0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_H_D[7]~0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[15]~1 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[6]~7 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE[10]~3 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ST_SHIFT_MODE[1]~0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VCT[8]~2 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~44 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~46 ; 0 ; 6 ; +; FB_SIZE1 ; ; ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|nRP_UDS~0 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|nRP_LDS~0 ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VRAS~0 ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~4 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_B1 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_CS~1 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|process_8~0 ; 1 ; 6 ; +; - interrupt_handler:nobody|FB_B[0]~0 ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FB_B[1]~0 ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FB_B[3]~1 ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FR_S2~0 ; 1 ; 6 ; +; - interrupt_handler:nobody|_~20 ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~20 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WDC_BSL[0]~0 ; 1 ; 6 ; +; - interrupt_handler:nobody|UHR_DS~8 ; 1 ; 6 ; +; - interrupt_handler:nobody|UHR_AS~0 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_B0 ; 1 ; 6 ; +; - interrupt_handler:nobody|FB_B[2]~1 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~0 ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FB_B[2] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FB_B[0] ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|SR_VDMP[0]~0 ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|SR_VDMP[6]~2 ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|SR_VDMP[5]~4 ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|SR_VDMP[4]~6 ; 1 ; 6 ; +; FB_SIZE0 ; ; ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|nRP_UDS~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|nRP_LDS~0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VRAS~0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~4 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_B1 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_CS~1 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|process_8~0 ; 0 ; 6 ; +; - interrupt_handler:nobody|FB_B[0]~0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FB_B[1]~0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FB_B[3]~1 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FR_S2~0 ; 0 ; 6 ; +; - interrupt_handler:nobody|_~20 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~20 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WDC_BSL[0]~0 ; 0 ; 6 ; +; - interrupt_handler:nobody|UHR_DS~8 ; 0 ; 6 ; +; - interrupt_handler:nobody|UHR_AS~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_B0 ; 0 ; 6 ; +; - interrupt_handler:nobody|FB_B[2]~1 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FB_B[2] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FB_B[0] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|SR_VDMP[0]~0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|SR_VDMP[6]~2 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|SR_VDMP[5]~4 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|SR_VDMP[4]~6 ; 0 ; 6 ; +; FB_ALE ; ; ; +; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[19] ; 0 ; 6 ; +; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[18] ; 0 ; 6 ; +; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[17] ; 0 ; 6 ; +; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[16] ; 0 ; 6 ; +; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[15] ; 0 ; 6 ; +; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[14] ; 0 ; 6 ; +; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[13] ; 0 ; 6 ; +; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[12] ; 0 ; 6 ; +; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[11] ; 0 ; 6 ; +; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[10] ; 0 ; 6 ; +; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[9] ; 0 ; 6 ; +; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[8] ; 0 ; 6 ; +; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[7] ; 0 ; 6 ; +; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[6] ; 0 ; 6 ; +; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[5] ; 0 ; 6 ; +; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[0] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~5 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|DDR_SEL ; 0 ; 6 ; +; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[3] ; 0 ; 6 ; +; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[2] ; 0 ; 6 ; +; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[4] ; 0 ; 6 ; +; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[1] ; 0 ; 6 ; +; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[26] ; 0 ; 6 ; +; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[25] ; 0 ; 6 ; +; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[24] ; 0 ; 6 ; +; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[27] ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|DDR_CS ; 0 ; 6 ; +; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[23] ; 0 ; 6 ; +; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[22] ; 0 ; 6 ; +; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[21] ; 0 ; 6 ; +; - lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[20] ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_APH~0 ; 0 ; 6 ; +; nFB_CS2 ; ; ; +; - DSP:Mathias_Alles|nSRCS~0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VIDEO_PLL_RECONFIG_CS~0 ; 0 ; 6 ; +; - inst2~5 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH_CS~0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL_CS~1 ; 0 ; 6 ; +; - interrupt_handler:nobody|ACP_CONF[31]~0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[23]~0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[5]~1 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VIDEO_PLL_CONFIG_CS~0 ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_ENA_CS~1 ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_CTR_CS~7 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR_CS ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR_CS~2 ; 0 ; 6 ; +; - interrupt_handler:nobody|_~21 ; 0 ; 6 ; +; - interrupt_handler:nobody|_~23 ; 0 ; 6 ; +; - interrupt_handler:nobody|_~24 ; 0 ; 6 ; +; - interrupt_handler:nobody|_~145 ; 0 ; 6 ; +; - interrupt_handler:nobody|ACP_CONF_CS ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~8 ; 0 ; 6 ; +; - interrupt_handler:nobody|_~190 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~9 ; 0 ; 6 ; +; - interrupt_handler:nobody|_~191 ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_CLEAR_CS ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~10 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[31]~2 ; 0 ; 6 ; +; - interrupt_handler:nobody|_~194 ; 0 ; 6 ; +; - interrupt_handler:nobody|_~239 ; 0 ; 6 ; +; - interrupt_handler:nobody|_~328 ; 0 ; 6 ; +; - interrupt_handler:nobody|_~371 ; 0 ; 6 ; +; - interrupt_handler:nobody|_~414 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~34 ; 0 ; 6 ; +; - interrupt_handler:nobody|_~458 ; 0 ; 6 ; +; - interrupt_handler:nobody|_~459 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~35 ; 0 ; 6 ; +; - interrupt_handler:nobody|_~460 ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_LATCH_CS ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~36 ; 0 ; 6 ; +; - interrupt_handler:nobody|_~461 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~37 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~38 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~39 ; 0 ; 6 ; +; - interrupt_handler:nobody|_~462 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~40 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~41 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~42 ; 0 ; 6 ; +; - interrupt_handler:nobody|_~463 ; 0 ; 6 ; +; - interrupt_handler:nobody|_~464 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[23]~0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[23]~0 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[15]~1 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[15]~3 ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_CTR[15]~2 ; 0 ; 6 ; +; - interrupt_handler:nobody|INT_ENA[15]~2 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[31]~2 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[31]~3 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[7]~2 ; 0 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VIDEO_MOD_TA~14 ; 0 ; 6 ; +; MAIN_CLK ; ; ; +; nDACK1 ; ; ; +; nFB_OE ; ; ; +; - DSP:Mathias_Alles|nSROE~0 ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~4 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~38 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~39 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~41 ; 1 ; 6 ; +; - interrupt_handler:nobody|_~22 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[16]~43 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[16]~45 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[16]~46 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[16]~47 ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ST_CLUT_RD ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_CLUT_RD ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FB_VDOE[3]~2 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~52 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~53 ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FB_VDOE[1]~5 ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FB_VDOE[2]~6 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[16]~56 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~57 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~58 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~62 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[16]~64 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~67 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~69 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~71 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~72 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[16]~74 ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_bustri_WORD:$00000|lpm_bustri:lpm_bustri_component|dout[3]~31 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~80 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[17]~83 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[17]~85 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[17]~89 ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~8 ; 1 ; 6 ; +; - interrupt_handler:nobody|_~190 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[13]~102 ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_bustri_BYT:inst57|lpm_bustri:lpm_bustri_component|dout[4]~0 ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_bustri_WORD:$00000|lpm_bustri:lpm_bustri_component|dout[14]~37 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~111 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[30]~113 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~115 ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_bustri3:inst66|lpm_bustri:lpm_bustri_component|dout[4]~0 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~123 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~124 ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_CLUT_RDL~0 ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_bustri_WORD:$00000|lpm_bustri:lpm_bustri_component|dout[15]~43 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[31]~133 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[18]~147 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[18]~155 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[16]~160 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[18]~161 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[26]~169 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[25]~186 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[24]~203 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[23]~214 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[23]~217 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[23]~219 ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_bustri_BYT:inst58|lpm_bustri:lpm_bustri_component|dout[7]~0 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[22]~233 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[22]~239 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[22]~241 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[21]~249 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[21]~255 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[21]~257 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[20]~265 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[20]~273 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[19]~285 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[19]~289 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[15]~295 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[15]~298 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[14]~304 ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_bustri_WORD:$00000|lpm_bustri:lpm_bustri_component|dout[13]~184 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[29]~308 ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_bustri3:inst66|lpm_bustri:lpm_bustri_component|dout[3]~1 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[28]~332 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[27]~348 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[11]~359 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[10]~365 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[9]~370 ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|lpm_bustri_BYT:inst57|lpm_bustri:lpm_bustri_component|dout[1]~1 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[8]~379 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[7]~390 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[6]~396 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[6]~399 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[5]~406 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[5]~409 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[4]~414 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[4]~417 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[3]~423 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[3]~426 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[2]~432 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[2]~435 ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~44 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[31]~453 ; 1 ; 6 ; +; - Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~46 ; 1 ; 6 ; +; IDE_RDY ; ; ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|IDE_CF_TA~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|Selector1~0 ; 0 ; 6 ; +; CLK33M ; ; ; +; HD_DD ; ; ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|HD_DD_OUT~0 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[16]~59 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL|PHASE_DECODER~0 ; 1 ; 6 ; +; nINDEX ; ; ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|MOTORSWITCH~1 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|MOTORSWITCH~2 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE~79 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|LOCK~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|INDEX_MARK~1 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE~109 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE~143 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|\INDEX_COUNTER:CNT[2]~1 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|INTRQ~4 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE~202 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|\INDEX_COUNTER:LOCK~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|DRQ_IPn~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|\P_INDEX_MARK:LOCK~0 ; 0 ; 6 ; +; - nINDEX~_wirecell ; 0 ; 6 ; +; RxD ; ; ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|SDATA_IN_I~0 ; 1 ; 6 ; +; nWP ; ; ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE~85 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE~147 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE~178 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|WR_PR~0 ; 0 ; 6 ; +; LP_BUSY ; ; ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|DATA_OUT[0]~17 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|EDGE_ENA~14 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|LOCK~14 ; 1 ; 6 ; +; DCD ; ; ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|DATA_OUT[1]~40 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|EDGE_ENA~15 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|LOCK~15 ; 0 ; 6 ; +; CTS ; ; ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|DATA_OUT[2]~60 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|EDGE_ENA~11 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|LOCK~11 ; 1 ; 6 ; +; TRACK00 ; ; ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|TRACK_REG~9 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|TRACK_REG~11 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE~99 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|TR_CLR~0 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|LOST_DATA_TR00~3 ; 0 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|LOST_DATA_TR00~4 ; 0 ; 6 ; +; IDE_INT ; ; ; +; RI ; ; ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|EDGE_ENA~7 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|DATA_OUT~90 ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|LOCK~6 ; 1 ; 6 ; +; nPCI_INTD ; ; ; +; - interrupt_handler:nobody|INT_LATCH[6]~11 ; 1 ; 0 ; +; - interrupt_handler:nobody|lpm_bustri_BYT:$00006|lpm_bustri:lpm_bustri_component|dout[6]~3 ; 0 ; 6 ; +; nPCI_INTC ; ; ; +; - interrupt_handler:nobody|INT_LATCH[5]~12 ; 1 ; 0 ; +; - interrupt_handler:nobody|lpm_bustri_BYT:$00006|lpm_bustri:lpm_bustri_component|dout[5]~6 ; 0 ; 6 ; +; nPCI_INTB ; ; ; +; - interrupt_handler:nobody|INT_LATCH[4]~13 ; 1 ; 0 ; +; - interrupt_handler:nobody|lpm_bustri_BYT:$00006|lpm_bustri:lpm_bustri_component|dout[4]~9 ; 0 ; 6 ; +; nPCI_INTA ; ; ; +; - interrupt_handler:nobody|INT_LATCH[3]~14 ; 0 ; 0 ; +; - interrupt_handler:nobody|lpm_bustri_BYT:$00006|lpm_bustri:lpm_bustri_component|dout[3]~12 ; 1 ; 6 ; +; DVI_INT ; ; ; +; E0_INT ; ; ; +; PIC_INT ; ; ; +; - interrupt_handler:nobody|INT_LATCH[0]~17 ; 1 ; 1 ; +; - interrupt_handler:nobody|lpm_bustri_BYT:$00006|lpm_bustri:lpm_bustri_component|dout[0]~21 ; 0 ; 6 ; +; - interrupt_handler:nobody|PIC_INT_SYNC[0]~feeder ; 1 ; 1 ; +; MIDI_IN ; ; ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|RXDATA_I~feeder ; 0 ; 6 ; +; PIC_AMKB_RX ; ; ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|KEYB_RxD ; 0 ; 6 ; +; nRD_DATA ; ; ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL|RD_In~feeder ; 0 ; 6 ; +; AMKB_RX ; ; ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|AMKB_REG[3] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|AMKB_REG[4] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|AMKB_REG[2] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|AMKB_REG[1] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|AMKB_REG[0] ; 1 ; 6 ; +; - FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|AMKB_REG[0]~13 ; 1 ; 6 ; ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+---------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Control Signals ; ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------------+---------+---------------------------------------+--------+----------------------+------------------+---------------------------+ +; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ; ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------------+---------+---------------------------------------+--------+----------------------+------------------+---------------------------+ +; AMKB_RX ; PIN_Y2 ; 6 ; Sync. load ; no ; -- ; -- ; -- ; +; CLK33M ; PIN_AB12 ; 12 ; Clock ; yes ; Global Clock ; GCLK15 ; -- ; +; CLK33M ; PIN_AB12 ; 5 ; Clock ; no ; -- ; -- ; -- ; +; DSP:Mathias_Alles|nSRWE~1 ; LCCOMB_X26_Y15_N24 ; 16 ; Output enable ; no ; -- ; -- ; -- ; +; FB_ALE ; PIN_R7 ; 32 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|AMKB_REG[0]~13 ; LCCOMB_X1_Y30_N18 ; 5 ; Sync. clear ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|CLR_FIFO ; LCCOMB_X20_Y18_N0 ; 250 ; Async. clear ; yes ; Global Clock ; GCLK5 ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[31]~1 ; LCCOMB_X18_Y18_N30 ; 32 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW[0]~1 ; LCCOMB_X18_Y16_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MID[0]~1 ; LCCOMB_X18_Y16_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[1]~0 ; LCCOMB_X21_Y17_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[8]~1 ; LCCOMB_X18_Y16_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[13]~103 ; LCCOMB_X20_Y15_N20 ; 16 ; Output enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[16]~76 ; LCCOMB_X21_Y15_N28 ; 2 ; Output enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[18]~162 ; LCCOMB_X21_Y15_N18 ; 4 ; Output enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[18]~228 ; LCCOMB_X21_Y15_N26 ; 2 ; Output enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[26]~178 ; LCCOMB_X21_Y15_N2 ; 1 ; Output enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[26]~195 ; LCCOMB_X21_Y15_N16 ; 2 ; Output enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[31]~129 ; LCCOMB_X21_Y15_N0 ; 5 ; Output enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|Selector4~0 ; LCCOMB_X21_Y18_N4 ; 30 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WDC_BSL[0]~1 ; LCCOMB_X17_Y15_N18 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_AM_DETECTOR:I_AM_DETECTOR|Equal0~4 ; LCCOMB_X20_Y32_N14 ; 7 ; Sync. load ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_AM_DETECTOR:I_AM_DETECTOR|SHIFT[7]~17 ; LCCOMB_X20_Y32_N0 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_AM_DETECTOR:I_AM_DETECTOR|\MFM_SYNCLOCK:TMP[2]~3 ; LCCOMB_X19_Y32_N2 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE.IDLE ; FF_X22_Y30_N21 ; 14 ; Sync. clear ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE.T3_LOAD_SHFT ; FF_X26_Y29_N1 ; 29 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|SHFT_LOAD_ND~0 ; LCCOMB_X22_Y31_N4 ; 8 ; Sync. load ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|Selector68~49 ; LCCOMB_X27_Y30_N0 ; 72 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|Selector78~0 ; LCCOMB_X22_Y29_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|WideNor2~5 ; LCCOMB_X22_Y30_N16 ; 32 ; Sync. clear ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|WideNor8 ; LCCOMB_X26_Y28_N26 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|\INDEX_COUNTER:CNT[2]~1 ; LCCOMB_X28_Y28_N0 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|\INDEX_COUNTER:TIMEOUT[10]~3 ; LCCOMB_X28_Y28_N4 ; 28 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|\P_DELAY:DELCNT[1]~4 ; LCCOMB_X26_Y31_N28 ; 20 ; Sync. clear ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|\P_DELAY:DELCNT[1]~5 ; LCCOMB_X25_Y27_N16 ; 20 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|\RESTORE_TRAP:STEP_CNT[4]~2 ; LCCOMB_X26_Y30_N14 ; 9 ; Sync. clear ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|\RESTORE_TRAP:STEP_CNT[4]~3 ; LCCOMB_X28_Y30_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CRC_LOGIC:I_CRC_LOGIC|CRC_SHIFT[1]~20 ; LCCOMB_X23_Y32_N0 ; 15 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL|PER_CNT~27 ; LCCOMB_X19_Y30_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL|RD_PULSE ; FF_X16_Y30_N1 ; 18 ; Clock enable, Sync. load ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL|\PHASE_DECODER:PHASE_AMOUNT[1]~2 ; LCCOMB_X17_Y31_N10 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|COMMAND_REG[7] ; FF_X22_Y26_N21 ; 20 ; Sync. load ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|COMMAND_REG~14 ; LCCOMB_X22_Y26_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|Equal3~1 ; LCCOMB_X21_Y29_N22 ; 7 ; Sync. load ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|SECTORREG~1 ; LCCOMB_X22_Y29_N22 ; 8 ; Sync. load ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|SHIFT_REG[7]~13 ; LCCOMB_X22_Y31_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|TRACKREG~1 ; LCCOMB_X22_Y26_N0 ; 8 ; Sync. load ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|AM_SHFT~32 ; LCCOMB_X20_Y29_N8 ; 31 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|\CLK_MASK:LOCK~0 ; LCCOMB_X17_Y29_N18 ; 1 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|\CLK_MASK:MASK_SHFT[0]~0 ; LCCOMB_X22_Y33_N0 ; 23 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|ADDRESSLATCH~2 ; LCCOMB_X9_Y28_N12 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|DIG_PORTS~1 ; LCCOMB_X9_Y32_N10 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_A[6] ; FF_X9_Y32_N13 ; 9 ; Output enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_B[7]~1 ; LCCOMB_X7_Y29_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|P_CTRL_REG~1 ; LCCOMB_X8_Y29_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WAV_STRB ; FF_X2_Y31_N23 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_FREQ[7]~1 ; LCCOMB_X5_Y28_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_RESET ; FF_X6_Y29_N11 ; 8 ; Sync. load ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_RESET~1 ; LCCOMB_X6_Y29_N10 ; 9 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_SHAPE[2]~1 ; LCCOMB_X4_Y29_N12 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_STRB~1 ; LCCOMB_X1_Y31_N26 ; 19 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|Equal14~3 ; LCCOMB_X7_Y28_N24 ; 13 ; Sync. clear ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|Equal15~3 ; LCCOMB_X3_Y28_N30 ; 13 ; Sync. load ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|Equal16~3 ; LCCOMB_X5_Y30_N28 ; 13 ; Sync. clear ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|Equal17~3 ; LCCOMB_X4_Y30_N30 ; 13 ; Sync. load ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|Equal18~3 ; LCCOMB_X6_Y27_N26 ; 13 ; Sync. clear ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|Equal19~3 ; LCCOMB_X4_Y27_N30 ; 13 ; Sync. load ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_A[11]~1 ; LCCOMB_X4_Y28_N0 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_A[7]~3 ; LCCOMB_X4_Y28_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_B[11]~1 ; LCCOMB_X6_Y30_N10 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_B[7]~3 ; LCCOMB_X6_Y30_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_C[11]~1 ; LCCOMB_X5_Y27_N0 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_C[7]~3 ; LCCOMB_X5_Y27_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LEVEL_A[4]~1 ; LCCOMB_X6_Y27_N8 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LEVEL_B[4]~1 ; LCCOMB_X9_Y27_N26 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|LEVEL_C[4]~1 ; LCCOMB_X5_Y29_N14 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|NOISE_FREQ[4]~1 ; LCCOMB_X5_Y31_N10 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|NOISE_OUT~2 ; LCCOMB_X2_Y31_N16 ; 17 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|OSC_A_OUT~1 ; LCCOMB_X1_Y31_N2 ; 39 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|VOL_ENV[4]~18 ; LCCOMB_X3_Y29_N4 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|\NOISEGENERATOR:CLK_DIV[2]~1 ; LCCOMB_X2_Y31_N10 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|\NOISEGENERATOR:CNT_NOISE[1]~1 ; LCCOMB_X2_Y31_N12 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG[4]~1 ; LCCOMB_X14_Y22_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|BITCNT~1 ; LCCOMB_X14_Y21_N22 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|DATA_REG[6]~8 ; LCCOMB_X14_Y20_N12 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|RCV_NEXT_STATE~0 ; LCCOMB_X12_Y21_N2 ; 7 ; Sync. load ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|SHIFT_REG[4]~9 ; LCCOMB_X14_Y21_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[2]~2 ; LCCOMB_X15_Y20_N24 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|BITCNT~1 ; LCCOMB_X9_Y20_N18 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG~12 ; LCCOMB_X11_Y23_N30 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|SHIFT_REG[1]~8 ; LCCOMB_X11_Y21_N8 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|\CLKDIV:CLK_DIVCNT[4]~2 ; LCCOMB_X14_Y24_N12 ; 8 ; Sync. load ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|\CLKDIV:CLK_DIVCNT[4]~4 ; LCCOMB_X14_Y24_N8 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG[0]~2 ; LCCOMB_X10_Y22_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|BITCNT~1 ; LCCOMB_X10_Y24_N10 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|DATA_REG[1]~8 ; LCCOMB_X12_Y23_N24 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|RCV_NEXT_STATE~0 ; LCCOMB_X5_Y20_N28 ; 8 ; Sync. load ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|SHIFT_REG[0]~9 ; LCCOMB_X10_Y24_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[6]~2 ; LCCOMB_X3_Y20_N30 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|BITCNT~1 ; LCCOMB_X7_Y21_N6 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG~12 ; LCCOMB_X10_Y21_N22 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|SHIFT_REG[2]~8 ; LCCOMB_X8_Y21_N24 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|\CLKDIV:CLK_DIVCNT[4]~2 ; LCCOMB_X3_Y21_N4 ; 7 ; Sync. load ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|\CLKDIV:CLK_DIVCNT[4]~4 ; LCCOMB_X3_Y21_N0 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|AER[0]~0 ; LCCOMB_X9_Y16_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|DDR[0]~0 ; LCCOMB_X9_Y15_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|GPDR[0]~0 ; LCCOMB_X9_Y15_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERA[0]~0 ; LCCOMB_X14_Y17_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERB[0]~0 ; LCCOMB_X10_Y16_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRA[0]~0 ; LCCOMB_X11_Y18_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRB[0]~0 ; LCCOMB_X8_Y17_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|INT_PASS[9]~7 ; LCCOMB_X11_Y16_N6 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|INT_STATE.REQUEST ; FF_X15_Y17_N25 ; 23 ; Sync. clear ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|VECT_NUMBER[0]~18 ; LCCOMB_X14_Y15_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|VR[7]~1 ; LCCOMB_X7_Y15_N22 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|PRESCALE_A~0 ; LCCOMB_X2_Y15_N14 ; 8 ; Sync. load ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|PRESCALE_B~0 ; LCCOMB_X2_Y17_N6 ; 8 ; Sync. load ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|PRESCALE_C~0 ; LCCOMB_X1_Y16_N10 ; 9 ; Sync. load ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|PRESCALE_D~0 ; LCCOMB_X1_Y17_N8 ; 8 ; Sync. load ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TACR[0]~2 ; LCCOMB_X4_Y17_N14 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TADR[0]~1 ; LCCOMB_X4_Y15_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TBCR[0]~0 ; LCCOMB_X11_Y18_N22 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TBDR[0]~0 ; LCCOMB_X6_Y16_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDCR[0]~0 ; LCCOMB_X3_Y18_N12 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDR[0]~0 ; LCCOMB_X5_Y18_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TDDR[6]~0 ; LCCOMB_X6_Y16_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMERC~1 ; LCCOMB_X5_Y18_N18 ; 8 ; Sync. load ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMERD~1 ; LCCOMB_X6_Y17_N30 ; 9 ; Sync. load ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_R_A[0]~0 ; LCCOMB_X16_Y17_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_R_B[0]~1 ; LCCOMB_X9_Y17_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_R_C[0]~1 ; LCCOMB_X8_Y17_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_R_D[0]~1 ; LCCOMB_X7_Y17_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|XTAL_STRB ; FF_X1_Y19_N13 ; 44 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|RSR[1]~1 ; LCCOMB_X8_Y18_N10 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|SCR[0]~0 ; LCCOMB_X9_Y18_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|TSR[0]~0 ; LCCOMB_X7_Y19_N16 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UCR[3]~1 ; LCCOMB_X6_Y18_N28 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UCR[7] ; FF_X5_Y21_N17 ; 17 ; Sync. clear, Sync. load ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UDR[7]~8 ; LCCOMB_X9_Y19_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|BITCNT[1]~5 ; LCCOMB_X5_Y20_N22 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|SDATA_EDGE ; FF_X6_Y21_N9 ; 17 ; Sync. load ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|SHIFT_REG[0]~9 ; LCCOMB_X7_Y20_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|\CLKDIV:CLK_DIVCNT[0]~1 ; LCCOMB_X1_Y20_N2 ; 5 ; Sync. load ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT|BITCNT~1 ; LCCOMB_X4_Y21_N26 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT|CLK_STRB ; FF_X1_Y18_N25 ; 15 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT|SHIFTREG~0 ; LCCOMB_X5_Y19_N24 ; 7 ; Sync. load ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT|SHIFT_REG[4]~13 ; LCCOMB_X5_Y19_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT|TX_END ; FF_X4_Y19_N5 ; 17 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|_~0 ; LCCOMB_X22_Y25_N4 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|valid_rdreq~0 ; LCCOMB_X20_Y24_N2 ; 20 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|valid_wrreq~1 ; LCCOMB_X21_Y18_N28 ; 18 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|_~1 ; LCCOMB_X17_Y18_N18 ; 11 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|valid_rdreq~1 ; LCCOMB_X17_Y18_N4 ; 15 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|valid_wrreq~0 ; LCCOMB_X18_Y23_N24 ; 22 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|process_10~0 ; LCCOMB_X18_Y16_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|process_11~0 ; LCCOMB_X19_Y15_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|process_8~2 ; LCCOMB_X20_Y17_N0 ; 32 ; Async. clear ; no ; -- ; -- ; -- ; +; MAIN_CLK ; PIN_G2 ; 2265 ; Clock ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|CLEAR_FIFO_CNT ; FF_X29_Y19_N1 ; 26 ; Sync. load ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|DDR_REFRESH_SIG[3]~1 ; LCCOMB_X27_Y11_N6 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FB_LE[0]~4 ; LCCOMB_X27_Y10_N10 ; 32 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FB_LE[1]~2 ; LCCOMB_X27_Y10_N0 ; 32 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FB_LE[2]~3 ; LCCOMB_X28_Y11_N6 ; 32 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FB_LE[3] ; LCCOMB_X28_Y10_N24 ; 32 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_ADR_CNT[22]~40 ; LCCOMB_X26_Y13_N0 ; 23 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_H_D[7]~0 ; LCCOMB_X23_Y13_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_L_D[7]~0 ; LCCOMB_X25_Y13_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_M_D[7]~0 ; LCCOMB_X29_Y13_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_X_D[2]~0 ; LCCOMB_X23_Y13_N30 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_CLUT_WR[1] ; LCCOMB_X21_Y17_N28 ; 1 ; Write enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_CLUT_WR[2] ; LCCOMB_X25_Y17_N22 ; 1 ; Write enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_CLUT_WR[3] ; LCCOMB_X21_Y17_N14 ; 1 ; Write enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[15]~3 ; LCCOMB_X29_Y18_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[23]~0 ; LCCOMB_X27_Y15_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[31]~2 ; LCCOMB_X27_Y19_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[5]~1 ; LCCOMB_X30_Y18_N0 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[7]~6 ; LCCOMB_X30_Y20_N22 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[15]~1 ; LCCOMB_X19_Y19_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[23]~0 ; LCCOMB_X19_Y21_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[31]~3 ; LCCOMB_X22_Y20_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[7]~4 ; LCCOMB_X19_Y19_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[15]~2 ; LCCOMB_X19_Y18_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[23]~1 ; LCCOMB_X19_Y21_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[31]~4 ; LCCOMB_X22_Y19_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[7]~5 ; LCCOMB_X20_Y19_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[15]~1 ; LCCOMB_X19_Y20_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[23]~0 ; LCCOMB_X21_Y21_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[31]~2 ; LCCOMB_X21_Y20_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[7]~3 ; LCCOMB_X20_Y20_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[15]~1 ; LCCOMB_X19_Y18_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[23]~0 ; LCCOMB_X21_Y21_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[31]~2 ; LCCOMB_X21_Y21_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[7]~3 ; LCCOMB_X20_Y19_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[15]~1 ; LCCOMB_X28_Y17_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[23]~0 ; LCCOMB_X29_Y15_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[7]~2 ; LCCOMB_X27_Y19_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCSEL[0] ; FF_X29_Y20_N31 ; 54 ; Sync. load ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCSEL[1] ; FF_X29_Y19_N23 ; 54 ; Sync. clear ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CLR_FIFO ; FF_X66_Y22_N3 ; 34 ; Async. clear ; yes ; Global Clock ; GCLK9 ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|DOP_FIFO_CLR ; FF_X42_Y17_N29 ; 21 ; Async. clear ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_CLUT_WR[0] ; LCCOMB_X25_Y17_N10 ; 1 ; Write enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_CLUT_WR[1] ; LCCOMB_X25_Y18_N2 ; 1 ; Write enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_CLUT_WR[3] ; LCCOMB_X25_Y18_N12 ; 1 ; Write enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE[10]~3 ; LCCOMB_X28_Y20_N8 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE[7]~1 ; LCCOMB_X27_Y20_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FIFO_RDE ; FF_X34_Y22_N15 ; 141 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|LAST ; FF_X34_Y24_N1 ; 30 ; Clock enable, Sync. clear ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|PIXEL_CLK ; LCCOMB_X29_Y18_N4 ; 852 ; Clock ; yes ; Global Clock ; GCLK10 ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ST_CLUT_WR[0] ; LCCOMB_X23_Y18_N18 ; 1 ; Write enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ST_CLUT_WR[1] ; LCCOMB_X16_Y16_N16 ; 1 ; Write enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ST_SHIFT_MODE[1]~0 ; LCCOMB_X28_Y20_N26 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|SUB_PIXEL_CNT[6]~18 ; LCCOMB_X34_Y20_N18 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|SYNC_PIX ; FF_X34_Y22_N21 ; 10 ; Sync. clear ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|SYS_CTR[6]~2 ; LCCOMB_X19_Y14_N8 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBB[11]~2 ; LCCOMB_X26_Y18_N18 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBB[7]~1 ; LCCOMB_X27_Y25_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBE[11]~2 ; LCCOMB_X26_Y18_N16 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBE[7]~1 ; LCCOMB_X26_Y18_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDB[11]~1 ; LCCOMB_X28_Y22_N12 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDB[7]~0 ; LCCOMB_X28_Y22_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDE[11]~1 ; LCCOMB_X23_Y18_N8 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDE[7]~0 ; LCCOMB_X23_Y18_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HHT[11]~1 ; LCCOMB_X32_Y24_N6 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HHT[7]~0 ; LCCOMB_X32_Y24_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HSS[11]~1 ; LCCOMB_X32_Y21_N10 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HSS[7]~0 ; LCCOMB_X33_Y23_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[15]~1 ; LCCOMB_X25_Y17_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[7]~0 ; LCCOMB_X18_Y19_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[15]~5 ; LCCOMB_X25_Y18_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[7]~3 ; LCCOMB_X17_Y19_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBB[10]~2 ; LCCOMB_X27_Y23_N30 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBB[7]~1 ; LCCOMB_X26_Y23_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBE[10]~1 ; LCCOMB_X22_Y21_N12 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBE[7]~0 ; LCCOMB_X25_Y21_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VCT[7]~1 ; LCCOMB_X28_Y18_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VCT[8]~3 ; LCCOMB_X25_Y17_N30 ; 1 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDB[10]~2 ; LCCOMB_X23_Y22_N24 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDB[7]~0 ; LCCOMB_X23_Y22_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDE[10]~1 ; LCCOMB_X26_Y20_N30 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDE[7]~0 ; LCCOMB_X26_Y21_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VFT[10]~1 ; LCCOMB_X26_Y20_N12 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VFT[7]~0 ; LCCOMB_X23_Y21_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VMD[3]~1 ; LCCOMB_X26_Y18_N14 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VSS[10]~1 ; LCCOMB_X21_Y20_N14 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VSS[7]~0 ; LCCOMB_X21_Y20_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VR_FRQ[7]~3 ; LCCOMB_X27_Y21_N12 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~52 ; LCCOMB_X25_Y25_N8 ; 10 ; Sync. clear ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|inst37 ; LCCOMB_X37_Y10_N30 ; 32 ; Output enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|inst65~0 ; LCCOMB_X34_Y22_N8 ; 34 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|inst67 ; LCCOMB_X43_Y17_N26 ; 1 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|inst88 ; FF_X37_Y10_N27 ; 69 ; Output enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|inst95 ; FF_X39_Y20_N29 ; 128 ; Sync. load ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_hk21:auto_generated|a_dpfifo_oq21:dpfifo|_~0 ; LCCOMB_X42_Y17_N10 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|dffpipe_3dc:wraclr|dffe17a[0] ; FF_X36_Y13_N25 ; 85 ; Async. clear ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|valid_wrreq~0 ; LCCOMB_X36_Y13_N30 ; 23 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|lpm_shiftreg4:inst26|lpm_shiftreg:lpm_shiftreg_component|dffs[0] ; FF_X34_Y12_N21 ; 259 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|lpm_shiftreg6:inst92|lpm_shiftreg:lpm_shiftreg_component|dffs[0] ; FF_X37_Y10_N1 ; 64 ; Clock enable ; no ; -- ; -- ; -- ; +; Video:Fredi_Aschwanden|lpm_shiftreg6:inst92|lpm_shiftreg:lpm_shiftreg_component|dffs[1] ; FF_X37_Y10_N23 ; 33 ; Clock enable ; no ; -- ; -- ; -- ; +; altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|clk[0] ; PLL_3 ; 42 ; Clock ; yes ; Global Clock ; GCLK14 ; -- ; +; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[0] ; PLL_1 ; 701 ; Clock ; yes ; Global Clock ; GCLK2 ; -- ; +; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[1] ; PLL_1 ; 96 ; Clock ; yes ; Global Clock ; GCLK4 ; -- ; +; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[2] ; PLL_1 ; 5 ; Clock ; yes ; Global Clock ; GCLK3 ; -- ; +; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[3] ; PLL_1 ; 37 ; Clock ; yes ; Global Clock ; GCLK1 ; -- ; +; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[4] ; PLL_1 ; 189 ; Clock, Latch enable ; yes ; Global Clock ; GCLK0 ; -- ; +; altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[0] ; PLL_4 ; 7 ; Clock ; yes ; Global Clock ; GCLK16 ; -- ; +; altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[1] ; PLL_4 ; 582 ; Clock ; yes ; Global Clock ; GCLK17 ; -- ; +; altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[2] ; PLL_4 ; 4 ; Clock ; yes ; Global Clock ; GCLK18 ; -- ; +; altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[3] ; PLL_4 ; 2 ; Clock ; yes ; Global Clock ; GCLK19 ; -- ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|_~1 ; LCCOMB_X16_Y25_N0 ; 1 ; Async. clear ; no ; -- ; -- ; -- ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|busy ; LCCOMB_X16_Y25_N20 ; 14 ; Clock enable ; no ; -- ; -- ; -- ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|input_latch_enable~0 ; LCCOMB_X15_Y25_N4 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr12|cntr_30l:auto_generated|counter_reg_bit[7]~0 ; LCCOMB_X18_Y25_N6 ; 8 ; Sync. load ; no ; -- ; -- ; -- ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr13|cntr_qij:auto_generated|_~0 ; LCCOMB_X18_Y25_N28 ; 14 ; Clock enable ; no ; -- ; -- ; -- ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr15|cntr_30l:auto_generated|counter_reg_bit[7]~0 ; LCCOMB_X19_Y26_N18 ; 8 ; Sync. load ; no ; -- ; -- ; -- ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr1|cntr_30l:auto_generated|_~11 ; LCCOMB_X16_Y27_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr1|cntr_30l:auto_generated|counter_reg_bit[7]~0 ; LCCOMB_X16_Y27_N20 ; 8 ; Sync. load ; no ; -- ; -- ; -- ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr2|cntr_9cj:auto_generated|_~0 ; LCCOMB_X12_Y25_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|power_up~0 ; LCCOMB_X12_Y25_N12 ; 5 ; Sync. load ; no ; -- ; -- ; -- ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|power_up~2 ; LCCOMB_X12_Y25_N0 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|reconfig_counter_state~0 ; LCCOMB_X20_Y26_N4 ; 16 ; Sync. load ; no ; -- ; -- ; -- ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|reconfig_counter_state~1 ; LCCOMB_X16_Y26_N8 ; 13 ; Clock enable ; no ; -- ; -- ; -- ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|reconfig_seq_ena_state ; FF_X11_Y25_N3 ; 13 ; Sync. load ; no ; -- ; -- ; -- ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|scan_cache_write_enable~0 ; LCCOMB_X17_Y27_N4 ; 4 ; Write enable ; no ; -- ; -- ; -- ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[17]~2 ; LCCOMB_X15_Y24_N12 ; 18 ; Clock enable ; no ; -- ; -- ; -- ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg_clear~0 ; LCCOMB_X12_Y25_N14 ; 36 ; Sync. clear, Sync. load ; no ; -- ; -- ; -- ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|tmp_nominal_data_out_state ; FF_X16_Y25_N15 ; 10 ; Sync. load ; no ; -- ; -- ; -- ; +; inst25 ; LCCOMB_X8_Y18_N8 ; 1023 ; Async. clear ; yes ; Global Clock ; GCLK11 ; -- ; +; inst25 ; LCCOMB_X8_Y18_N8 ; 109 ; Clock enable, Sync. clear, Sync. load ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|ACHTELSEKUNDEN[2]~0 ; LCCOMB_X15_Y12_N2 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|ACP_CONF[15]~4 ; LCCOMB_X18_Y13_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|ACP_CONF[23]~2 ; LCCOMB_X14_Y14_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|ACP_CONF[31]~1 ; LCCOMB_X18_Y13_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|ACP_CONF[7]~5 ; LCCOMB_X18_Y13_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|INT_CLEAR[0] ; FF_X17_Y10_N3 ; 1 ; Async. clear ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|INT_CLEAR[1] ; FF_X16_Y16_N9 ; 1 ; Async. clear ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|INT_CLEAR[2] ; FF_X17_Y12_N9 ; 1 ; Async. clear ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|INT_CLEAR[3] ; FF_X17_Y12_N7 ; 1 ; Async. clear ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|INT_CLEAR[4] ; FF_X17_Y12_N25 ; 1 ; Async. clear ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|INT_CLEAR[5] ; FF_X20_Y12_N3 ; 1 ; Async. clear ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|INT_CLEAR[6] ; FF_X17_Y12_N15 ; 1 ; Async. clear ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|INT_CLEAR[8] ; FF_X18_Y13_N7 ; 1 ; Async. clear ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|INT_CLEAR[9] ; FF_X18_Y13_N1 ; 1 ; Async. clear ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|INT_CTR[15]~2 ; LCCOMB_X19_Y13_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|INT_CTR[23]~1 ; LCCOMB_X19_Y15_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|INT_CTR[31]~3 ; LCCOMB_X17_Y13_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|INT_CTR[7]~0 ; LCCOMB_X19_Y12_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|INT_ENA[15]~2 ; LCCOMB_X20_Y13_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|INT_ENA[23]~1 ; LCCOMB_X20_Y14_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|INT_ENA[31]~0 ; LCCOMB_X18_Y14_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|INT_ENA[7]~3 ; LCCOMB_X19_Y12_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|INT_LATCH[0]~26 ; LCCOMB_X18_Y10_N30 ; 1 ; Clock ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|INT_LATCH[1]~25 ; LCCOMB_X16_Y16_N6 ; 1 ; Clock ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|INT_LATCH[2]~24 ; LCCOMB_X16_Y12_N8 ; 1 ; Clock ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|INT_LATCH[3]~23 ; LCCOMB_X18_Y12_N28 ; 1 ; Clock ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|INT_LATCH[4]~22 ; LCCOMB_X18_Y12_N12 ; 1 ; Clock ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|INT_LATCH[5]~21 ; LCCOMB_X19_Y12_N16 ; 1 ; Clock ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|INT_LATCH[6]~20 ; LCCOMB_X18_Y12_N2 ; 1 ; Clock ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|INT_LATCH[8]~19 ; LCCOMB_X19_Y13_N6 ; 1 ; Clock ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|INT_LATCH[9]~18 ; LCCOMB_X19_Y13_N4 ; 1 ; Clock ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|RTC_ADR[5]~0 ; LCCOMB_X8_Y10_N16 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|WERTE[0][0]~4 ; LCCOMB_X9_Y11_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|WERTE[0][13]~22 ; LCCOMB_X10_Y13_N24 ; 1 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|WERTE[0][2]~8 ; LCCOMB_X8_Y11_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|WERTE[7][10]~16 ; LCCOMB_X10_Y11_N6 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|WERTE[7][11]~128 ; LCCOMB_X9_Y12_N2 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|WERTE[7][12]~18 ; LCCOMB_X9_Y13_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|WERTE[7][13]~21 ; LCCOMB_X10_Y13_N30 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|WERTE[7][14]~24 ; LCCOMB_X10_Y9_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|WERTE[7][15]~25 ; LCCOMB_X8_Y10_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|WERTE[7][16]~27 ; LCCOMB_X8_Y13_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|WERTE[7][17]~29 ; LCCOMB_X11_Y12_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|WERTE[7][18]~31 ; LCCOMB_X11_Y12_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|WERTE[7][19]~33 ; LCCOMB_X8_Y12_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|WERTE[7][1]~6 ; LCCOMB_X10_Y10_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|WERTE[7][20]~35 ; LCCOMB_X8_Y12_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|WERTE[7][21]~37 ; LCCOMB_X9_Y14_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|WERTE[7][22]~38 ; LCCOMB_X9_Y10_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|WERTE[7][23]~40 ; LCCOMB_X11_Y13_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|WERTE[7][24]~42 ; LCCOMB_X11_Y13_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|WERTE[7][25]~44 ; LCCOMB_X5_Y10_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|WERTE[7][26]~46 ; LCCOMB_X5_Y10_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|WERTE[7][27]~48 ; LCCOMB_X3_Y12_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|WERTE[7][28]~50 ; LCCOMB_X4_Y13_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|WERTE[7][29]~51 ; LCCOMB_X6_Y10_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|WERTE[7][30]~53 ; LCCOMB_X7_Y10_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|WERTE[7][31]~55 ; LCCOMB_X3_Y10_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|WERTE[7][32]~56 ; LCCOMB_X3_Y10_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|WERTE[7][33]~58 ; LCCOMB_X4_Y10_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|WERTE[7][34]~60 ; LCCOMB_X4_Y10_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|WERTE[7][35]~62 ; LCCOMB_X5_Y9_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|WERTE[7][36]~64 ; LCCOMB_X5_Y9_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|WERTE[7][37]~66 ; LCCOMB_X4_Y12_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|WERTE[7][38]~67 ; LCCOMB_X4_Y12_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|WERTE[7][39]~69 ; LCCOMB_X3_Y13_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|WERTE[7][3]~10 ; LCCOMB_X8_Y9_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|WERTE[7][40]~71 ; LCCOMB_X3_Y13_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|WERTE[7][41]~73 ; LCCOMB_X2_Y12_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|WERTE[7][42]~75 ; LCCOMB_X2_Y12_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|WERTE[7][43]~77 ; LCCOMB_X2_Y10_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|WERTE[7][44]~79 ; LCCOMB_X2_Y10_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|WERTE[7][45]~81 ; LCCOMB_X5_Y12_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|WERTE[7][46]~83 ; LCCOMB_X5_Y12_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|WERTE[7][47]~85 ; LCCOMB_X7_Y9_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|WERTE[7][48]~86 ; LCCOMB_X1_Y9_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|WERTE[7][49]~88 ; LCCOMB_X2_Y13_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|WERTE[7][50]~90 ; LCCOMB_X1_Y13_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|WERTE[7][51]~92 ; LCCOMB_X7_Y12_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|WERTE[7][52]~93 ; LCCOMB_X7_Y12_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|WERTE[7][53]~95 ; LCCOMB_X3_Y14_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|WERTE[7][54]~97 ; LCCOMB_X2_Y14_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|WERTE[7][55]~99 ; LCCOMB_X3_Y11_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|WERTE[7][56]~101 ; LCCOMB_X3_Y11_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|WERTE[7][57]~103 ; LCCOMB_X2_Y14_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|WERTE[7][58]~105 ; LCCOMB_X2_Y14_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|WERTE[7][59]~107 ; LCCOMB_X5_Y14_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|WERTE[7][5]~15 ; LCCOMB_X8_Y9_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|WERTE[7][60]~109 ; LCCOMB_X5_Y14_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|WERTE[7][61]~111 ; LCCOMB_X5_Y11_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|WERTE[7][62]~112 ; LCCOMB_X6_Y13_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|WERTE[7][63]~114 ; LCCOMB_X5_Y13_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|_~479 ; LCCOMB_X10_Y10_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|_~481 ; LCCOMB_X11_Y11_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|_~483 ; LCCOMB_X11_Y10_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; interrupt_handler:nobody|_~485 ; LCCOMB_X11_Y10_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------------+---------+---------------------------------------+--------+----------------------+------------------+---------------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Global & Other Fast Signals ; ++------------------------------------------------------------------------------+-------------------+---------+--------------------------------------+----------------------+------------------+---------------------------+ +; Name ; Location ; Fan-Out ; Fan-Out Using Intentional Clock Skew ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ; ++------------------------------------------------------------------------------+-------------------+---------+--------------------------------------+----------------------+------------------+---------------------------+ +; CLK33M ; PIN_AB12 ; 12 ; 0 ; Global Clock ; GCLK15 ; -- ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|CLR_FIFO ; LCCOMB_X20_Y18_N0 ; 250 ; 0 ; Global Clock ; GCLK5 ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CLR_FIFO ; FF_X66_Y22_N3 ; 34 ; 0 ; Global Clock ; GCLK9 ; -- ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|PIXEL_CLK ; LCCOMB_X29_Y18_N4 ; 852 ; 46 ; Global Clock ; GCLK10 ; -- ; +; altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|clk[0] ; PLL_3 ; 42 ; 0 ; Global Clock ; GCLK14 ; -- ; +; altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|clk[1] ; PLL_3 ; 1 ; 0 ; Global Clock ; GCLK12 ; -- ; +; altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|clk[2] ; PLL_3 ; 1 ; 0 ; Global Clock ; GCLK13 ; -- ; +; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[0] ; PLL_1 ; 701 ; 94 ; Global Clock ; GCLK2 ; -- ; +; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[1] ; PLL_1 ; 96 ; 0 ; Global Clock ; GCLK4 ; -- ; +; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[2] ; PLL_1 ; 5 ; 0 ; Global Clock ; GCLK3 ; -- ; +; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[3] ; PLL_1 ; 37 ; 0 ; Global Clock ; GCLK1 ; -- ; +; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[4] ; PLL_1 ; 189 ; 0 ; Global Clock ; GCLK0 ; -- ; +; altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[0] ; PLL_4 ; 7 ; 0 ; Global Clock ; GCLK16 ; -- ; +; altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[1] ; PLL_4 ; 582 ; 85 ; Global Clock ; GCLK17 ; -- ; +; altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[2] ; PLL_4 ; 4 ; 0 ; Global Clock ; GCLK18 ; -- ; +; altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[3] ; PLL_4 ; 2 ; 0 ; Global Clock ; GCLK19 ; -- ; +; altpll4:inst22|altpll:altpll_component|altpll_r4n2:auto_generated|clk[0] ; PLL_2 ; 1 ; 0 ; Global Clock ; GCLK8 ; -- ; +; inst25 ; LCCOMB_X8_Y18_N8 ; 1023 ; 0 ; Global Clock ; GCLK11 ; -- ; ++------------------------------------------------------------------------------+-------------------+---------+--------------------------------------+----------------------+------------------+---------------------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Non-Global High Fan-Out Signals ; ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+---------+ +; Name ; Fan-Out ; ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+---------+ +; MAIN_CLK~input ; 2265 ; +; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_L_D[0] ; 385 ; +; Video:Fredi_Aschwanden|lpm_shiftreg4:inst26|lpm_shiftreg:lpm_shiftreg_component|dffs[0] ; 259 ; +; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_L_D[2] ; 257 ; +; nFB_WR~input ; 248 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[0] ; 237 ; +; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_L_D[1] ; 225 ; +; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[1] ; 165 ; +; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[3] ; 151 ; +; FB_AD[17]~input ; 145 ; +; FB_AD[18]~input ; 145 ; +; FB_AD[20]~input ; 145 ; +; FB_AD[21]~input ; 144 ; +; FB_AD[16]~input ; 143 ; +; FB_AD[19]~input ; 143 ; +; FB_AD[22]~input ; 141 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FIFO_RDE ; 141 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|INTER_ZEI ; 141 ; +; FB_AD[23]~input ; 138 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[26] ; 134 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CLUT_MUX_ADR[0] ; 132 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CLUT_MUX_ADR[1] ; 132 ; +; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_L_D[3] ; 129 ; +; Video:Fredi_Aschwanden|inst95 ; 128 ; +; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[2] ; 108 ; +; inst25 ; 108 ; +; nFB_OE~input ; 94 ; +; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[4] ; 86 ; +; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|dffpipe_3dc:wraclr|dffe17a[0] ; 85 ; +; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[5] ; 84 ; +; interrupt_handler:nobody|RTC_ADR[5] ; 80 ; +; interrupt_handler:nobody|RTC_ADR[4] ; 79 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|SNDCS~1 ; 74 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VMD[2] ; 73 ; +; ~GND ; 72 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|Selector68~49 ; 72 ; +; interrupt_handler:nobody|UHR_DS~3 ; 72 ; +; interrupt_handler:nobody|UHR_DS~1 ; 72 ; +; Video:Fredi_Aschwanden|inst88 ; 69 ; +; interrupt_handler:nobody|UHR_DS~8 ; 67 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL|ROLL_OVER ; 67 ; +; Video:Fredi_Aschwanden|lpm_shiftreg6:inst92|lpm_shiftreg:lpm_shiftreg_component|dffs[0] ; 64 ; +; FB_AD[24]~input ; 63 ; +; interrupt_handler:nobody|RTC_ADR[3] ; 62 ; +; interrupt_handler:nobody|RTC_ADR[2] ; 62 ; +; interrupt_handler:nobody|RTC_ADR[1] ; 62 ; +; interrupt_handler:nobody|RTC_ADR[0] ; 62 ; +; FB_AD[25]~input ; 59 ; +; nFB_CS2~input ; 57 ; +; FB_AD[26]~input ; 57 ; +; interrupt_handler:nobody|UHR_DS~6 ; 57 ; +; nFB_CS1~input ; 55 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCSEL[1] ; 54 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCSEL[0] ; 54 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|DEC_STATE ; 49 ; +; FB_AD[27]~input ; 48 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|COMMAND_REG[4] ; 48 ; +; Video:Fredi_Aschwanden|inst65~0 ; 46 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|MFP_CS ; 45 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|XTAL_STRB ; 44 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE.T2_WR_AM ; 43 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|OSC_A_OUT~1 ; 39 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL_CS~0 ; 39 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE~80 ; 38 ; +; FB_AD[28]~input ; 37 ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|counter_param_latch_reg[1] ; 37 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL_CS ; 37 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH_CS ; 37 ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg_clear~0 ; 36 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG[1] ; 36 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG[0] ; 36 ; +; FB_AD[30]~input ; 35 ; +; FB_AD[31]~input ; 35 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH_CS ; 35 ; +; interrupt_handler:nobody|INT_CTR_CS ; 35 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|Equal2~0 ; 35 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG[1] ; 35 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG[0] ; 35 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|Equal2~0 ; 34 ; +; FB_AD[29]~input ; 33 ; +; Video:Fredi_Aschwanden|lpm_shiftreg6:inst92|lpm_shiftreg:lpm_shiftreg_component|dffs[1] ; 33 ; +; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FB_VDOE[2]~6 ; 33 ; +; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FB_VDOE[1]~5 ; 33 ; +; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FB_VDOE[0]~4 ; 33 ; +; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FB_VDOE[3]~3 ; 33 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|Equal31~0 ; 33 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|process_8~1 ; 33 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL_CS ; 33 ; +; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[0] ; 33 ; +; FB_ALE~input ; 32 ; +; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FB_LE[0]~4 ; 32 ; +; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FB_LE[2]~3 ; 32 ; +; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FB_LE[1]~2 ; 32 ; +; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FB_LE[3] ; 32 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|WideNor2~5 ; 32 ; +; Video:Fredi_Aschwanden|inst37 ; 32 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~38 ; 32 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[31]~1 ; 32 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|process_8~2 ; 32 ; +; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|valid_wrreq~0 ; 31 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|AM_SHFT~32 ; 31 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~80 ; 31 ; +; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[10] ; 31 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|LAST ; 30 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|Mux1~0 ; 30 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|DATA_OUT~0 ; 30 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|Selector4~0 ; 30 ; +; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[8] ; 30 ; +; interrupt_handler:nobody|ACP_CONF_CS ; 29 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE.T3_LOAD_SHFT ; 29 ; +; nRSTO_MCF~input ; 28 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|\INDEX_COUNTER:TIMEOUT[10]~3 ; 28 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~39 ; 28 ; +; altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|locked ; 28 ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|counter_param_latch_reg[0] ; 27 ; +; interrupt_handler:nobody|INT_ENA_CS ; 27 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|MFP_CS~1 ; 27 ; +; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[6] ; 27 ; +; interrupt_handler:nobody|_~190 ; 26 ; +; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|CLEAR_FIFO_CNT ; 26 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBE_CS~1 ; 26 ; +; FB_SIZE0~input ; 25 ; +; FB_SIZE1~input ; 25 ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|counter_param_latch_reg[2] ; 25 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR_CS ; 25 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TDO ; 25 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR_CS~1 ; 25 ; +; Video:Fredi_Aschwanden|lpm_mux1:inst24|lpm_mux:lpm_mux_component|mux_npe:auto_generated|dffe1a[2] ; 24 ; +; Video:Fredi_Aschwanden|lpm_mux6:inst7|lpm_mux:lpm_mux_component|mux_kpe:auto_generated|dffe1a[2] ; 24 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR_CS~1 ; 24 ; +; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[7] ; 24 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|valid_wrreq~0 ; 23 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|\CLK_MASK:MASK_SHFT[0]~0 ; 23 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|\CLK_MASK:MASK_SHFT[15]~1 ; 23 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FB_B[3]~1 ; 23 ; +; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_ADR_CNT[22]~40 ; 23 ; +; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|CPU_REQ ; 23 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|INT_STATE.REQUEST ; 23 ; +; interrupt_handler:nobody|INT_CTR_CS~0 ; 23 ; +; FB_AD[0]~input ; 22 ; +; FB_AD[12]~input ; 22 ; +; FB_AD[13]~input ; 22 ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|counter_type_latch_reg[3] ; 22 ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|counter_type_latch_reg[1] ; 22 ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|counter_type_latch_reg[0] ; 22 ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|counter_type_latch_reg[2] ; 22 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|DATA_OUT_EN~2 ; 22 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR_CS ; 22 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~37 ; 22 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|ADR_I[3] ; 22 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|ADR_I[2] ; 22 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|ADR_I[1] ; 22 ; +; interrupt_handler:nobody|INT_ENA_CS~0 ; 22 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_CS~0 ; 22 ; +; FB_AD[1]~input ; 21 ; +; FB_AD[2]~input ; 21 ; +; FB_AD[3]~input ; 21 ; +; FB_AD[4]~input ; 21 ; +; FB_AD[5]~input ; 21 ; +; FB_AD[8]~input ; 21 ; +; FB_AD[9]~input ; 21 ; +; FB_AD[14]~input ; 21 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|DOP_FIFO_CLR ; 21 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL|LessThan3~1 ; 21 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|valid_rdreq~0 ; 21 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UCR[4] ; 21 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ST_SHIFT_MODE_CS~1 ; 21 ; +; FB_AD[6]~input ; 20 ; +; FB_AD[10]~input ; 20 ; +; FB_AD[11]~input ; 20 ; +; FB_AD[15]~input ; 20 ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg_load_nominal_enable~1 ; 20 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|\P_DELAY:DELCNT[1]~5 ; 20 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|\P_DELAY:DELCNT[1]~4 ; 20 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TBCR[3] ; 20 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TACR[3] ; 20 ; +; interrupt_handler:nobody|INT_CLEAR_CS ; 20 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|RE~0 ; 20 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|COMMAND_REG[7] ; 20 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|ADR_I[0] ; 20 ; +; interrupt_handler:nobody|INT_CTR_CS~6 ; 20 ; +; interrupt_handler:nobody|INT_CTR_CS~2 ; 20 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ST_SHIFT_MODE_CS~2 ; 20 ; +; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[9] ; 20 ; +; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[11] ; 20 ; +; FB_AD[7]~input ; 19 ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[17]~0 ; 19 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|Equal8~2 ; 19 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|Equal10~2 ; 19 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|nFDC_WR~0 ; 19 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|valid_wrreq~1 ; 19 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_STRB~1 ; 19 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~53 ; 19 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|SNDCS~0 ; 19 ; +; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA_S[10]~4 ; 19 ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[17]~2 ; 18 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL|RD_PULSE ; 18 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|Equal24~5 ; 18 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|VR[3] ; 18 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VIDEO_PLL_RECONFIG_CS~3 ; 18 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FB_B[1]~0 ; 18 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|ACIA_CS_I~1 ; 18 ; +; DSP:Mathias_Alles|nSROE~0 ; 18 ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|reconfig_seq_ena_state ; 17 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|SDATA_EDGE ; 17 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TBCR[2] ; 17 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TACR[2] ; 17 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~8 ; 17 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|CLK_STRB ; 17 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UCR[7] ; 17 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|CA2~0 ; 17 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|NOISE_OUT~2 ; 17 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT|TX_END ; 17 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UCR[3] ; 17 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD_CS ; 17 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF_CS ; 17 ; +; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[13] ; 17 ; +; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[14] ; 17 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|\P_DELAY:DELCNT[3] ; 17 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|\P_DELAY:DELCNT[4] ; 17 ; +; Video:Fredi_Aschwanden|lpm_mux2:inst25|lpm_mux:lpm_mux_component|mux_mpe:auto_generated|dffe1a[3] ; 16 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_AM_DETECTOR:I_AM_DETECTOR|SHIFT[7]~17 ; 16 ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|reconfig_counter_state~0 ; 16 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|Mux89~0 ; 16 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|Mux99~0 ; 16 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|valid_rdreq~1 ; 16 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|CLK_STRB ; 16 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|CLK_STRB ; 16 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_AM_DETECTOR:I_AM_DETECTOR|ID_AM ; 16 ; +; DSP:Mathias_Alles|nSRWE~1 ; 16 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[13]~103 ; 16 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|DATA_OUT_EN~0 ; 16 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|COMMAND_REG[6] ; 16 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_CLUT_CS ; 16 ; +; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~15 ; 16 ; +; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|DS_C6 ; 16 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|RX_SAMPLE~1 ; 16 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[8] ; 16 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE_CS~2 ; 16 ; +; interrupt_handler:nobody|INT_CTR_CS~3 ; 16 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_ACTIV ; 16 ; +; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_s57:rdptr_g1p|counter5a3 ; 15 ; +; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_s57:rdptr_g1p|counter5a1 ; 15 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|A_CNTSTRB ; 15 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|B_CNTSTRB ; 15 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CRC_LOGIC:I_CRC_LOGIC|CRC_SHIFT[1]~20 ; 15 ; +; interrupt_handler:nobody|UHR_DS~7 ; 15 ; +; interrupt_handler:nobody|ACP_CONF_CS~4 ; 15 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT|CLK_STRB ; 15 ; +; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|BA[1]~8 ; 15 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HSS_CS ; 15 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HHT_CS ; 15 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBE_CS~0 ; 15 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|CA1~0 ; 15 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|VOL_ENV[0] ; 15 ; +; nINDEX~input ; 14 ; +; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_s57:rdptr_g1p|counter5a6 ; 14 ; +; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_s57:rdptr_g1p|counter5a4 ; 14 ; +; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_s57:rdptr_g1p|counter5a2 ; 14 ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr13|cntr_qij:auto_generated|_~0 ; 14 ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|reconfig_seq_data_state ; 14 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|COLOR4~3 ; 14 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|\PARITY_TEST:P_ERR~0 ; 14 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[6] ; 14 ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|busy ; 14 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDB_CS ; 14 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE.IDLE ; 14 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG[4] ; 14 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|MFP_CS~3 ; 14 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG[4] ; 14 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VSS_CS ; 14 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~3 ; 14 ; +; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|DDR_CS ; 14 ; +; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_s57:rdptr_g1p|counter5a7 ; 13 ; +; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_s57:rdptr_g1p|counter5a5 ; 13 ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|reconfig_counter_state~1 ; 13 ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|sel_param_high_i_postscale ; 13 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~58 ; 13 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ST_CLUT_RD ; 13 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VIDEO_PLL_CONFIG_CS ; 13 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBB_CS ; 13 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|Mux7~6 ; 13 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|SHIFT_REG[1] ; 13 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[3] ; 13 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|Equal18~3 ; 13 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|Equal19~3 ; 13 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|Equal16~3 ; 13 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|Equal17~3 ; 13 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_SHAPE[2] ; 13 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|Equal14~3 ; 13 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|Equal15~3 ; 13 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|CLK_STRB ; 13 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UCR[6] ; 13 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|MFP_CS~2 ; 13 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDB_CS ; 13 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_DATEN_CS~0 ; 13 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ST_SHIFT_MODE_CS~5 ; 13 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE_CS ; 13 ; +; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_L~0 ; 13 ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|sel_type_c4~0 ; 12 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TAI_I ; 12 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TBI_I ; 12 ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|rotate_width_counter_enable~1 ; 12 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|COLOR2 ; 12 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE.T3_LOAD_DATA_2 ; 12 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TBCR[1] ; 12 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TACR[1] ; 12 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~69 ; 12 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDE_CS ; 12 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBE_CS ; 12 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CRC_2_DISK~0 ; 12 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|TSR[5] ; 12 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|RSR[0] ; 12 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|SHIFT_REG[0] ; 12 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|HD_DD_OUT~0 ; 12 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT|Mux7~0 ; 12 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UCR[5] ; 12 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|CLK_STRB ; 12 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|DRQ_I ; 12 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBB_CS ; 12 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|AMPLITUDE_C[1]~3 ; 12 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|AMPLITUDE_C[0]~2 ; 12 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|AMPLITUDE_C[4]~1 ; 12 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|AMPLITUDE_B[1]~3 ; 12 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|AMPLITUDE_B[0]~2 ; 12 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|AMPLITUDE_B[4]~1 ; 12 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|AMPLITUDE_A[1]~3 ; 12 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|AMPLITUDE_A[0]~2 ; 12 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|AMPLITUDE_A[4]~1 ; 12 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VHCNT[1] ; 12 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VHCNT[2] ; 12 ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|sel_type_c3~0 ; 11 ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|sel_param_low_r~0 ; 11 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VHCNT[0] ; 11 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|_~1 ; 11 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VVCNT[0] ; 11 ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg_load_enable~1 ; 11 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|WideNor8~0 ; 11 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_graycounter_j47:rdptr_g1p|counter7a[0] ; 11 ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|rotate_width_counter_done ; 11 ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|write_nominal_state ; 11 ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|write_data_state ; 11 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|COLOR1~7 ; 11 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|DISK_RWn~2 ; 11 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDCR[4] ; 11 ; +; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|wrptr_g[5] ; 11 ; +; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|wrptr_g[2] ; 11 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|DATA_OUT~1 ; 11 ; +; interrupt_handler:nobody|TIN0~2 ; 11 ; +; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_CNT_H ; 11 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~4 ; 11 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDE_CS ; 11 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBE_CS ; 11 ; +; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~12 ; 11 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[19] ; 11 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT|TR_STATE.LOAD_SHFT ; 11 ; +; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FIFO_REQ ; 11 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|AMPLITUDE_C[3]~5 ; 11 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|AMPLITUDE_C[2]~4 ; 11 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|AMPLITUDE_B[3]~5 ; 11 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|AMPLITUDE_B[2]~4 ; 11 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|AMPLITUDE_A[3]~5 ; 11 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|AMPLITUDE_A[2]~4 ; 11 ; +; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[17] ; 11 ; +; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[15] ; 11 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|DATA_REG[1] ; 11 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|HSYNC_START ; 10 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|SYNC_PIX ; 10 ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|sel_type_c2~0 ; 10 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~52 ; 10 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_graycounter_j47:rdptr_g1p|counter7a[1] ; 10 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|COLOR8~3 ; 10 ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|tmp_nominal_data_out_state ; 10 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL|Mux0~0 ; 10 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDCR[5] ; 10 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|RDRF ; 10 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|RDRF ; 10 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|INT_PASS[9]~7 ; 10 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|DATA_STRB~0 ; 10 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDCR[2] ; 10 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDCR[1] ; 10 ; +; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|wrptr_g[7] ; 10 ; +; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|wrptr_g[4] ; 10 ; +; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|wrptr_g[1] ; 10 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|INT_STATE.VECTOR_OUT ; 10 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_CLUT_RD ; 10 ; +; interrupt_handler:nobody|_~58 ; 10 ; +; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_M~0 ; 10 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE.T2_SCAN_LEN ; 10 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|Mux7~3 ; 10 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TAO~0 ; 10 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE.T1_STEP ; 10 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|COMMAND_REG[5] ; 10 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE.INIT ; 10 ; +; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~17 ; 10 ; +; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~16 ; 10 ; +; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|DS_T3 ; 10 ; +; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|DS_T5W ; 10 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WAV_STRB ; 10 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|SHIFTREG~0 ; 10 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[7] ; 10 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VCT_CS~2 ; 10 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|ACIA_CS_I~0 ; 10 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR_CS~0 ; 10 ; +; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_L~1 ; 10 ; +; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[16] ; 10 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ST_SHIFT_MODE_CS~0 ; 10 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|TR_STATE.SHIFTOUT ; 10 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|TSR[0] ; 10 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VHCNT[9] ; 10 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VHCNT[4] ; 10 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VHCNT[5] ; 10 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VHCNT[3] ; 10 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VHCNT[8] ; 10 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VHCNT[10] ; 10 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VHCNT[6] ; 10 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VHCNT[7] ; 10 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VHCNT[11] ; 10 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VVCNT[5] ; 10 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VVCNT[6] ; 10 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VVCNT[9] ; 10 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VVCNT[10] ; 10 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL|\PHASE_DECODER:PHASE_AMOUNT[5] ; 10 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|DATA_REG[0] ; 10 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT|TDRE ; 10 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|VOL_ENV[1] ; 10 ; +; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~46 ; 9 ; +; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|ram_address_b[8] ; 9 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|PRESCALE_C~0 ; 9 ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|sel_type_n ; 9 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|RCV_STATE.IDLE ; 9 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|RCV_STATE.IDLE ; 9 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMERA~1 ; 9 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMERB~0 ; 9 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_graycounter_j47:rdptr_g1p|counter7a[2] ; 9 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|RXDATA_S ; 9 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|RXDATA_S ; 9 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FB_B[2] ; 9 ; +; interrupt_handler:nobody|_~470 ; 9 ; +; interrupt_handler:nobody|_~467 ; 9 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_graycounter_k47:rdptr_g1p|counter5a2 ; 9 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CRC_LOGIC:I_CRC_LOGIC|CRC_SHIFT[1]~16 ; 9 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|\RESTORE_TRAP:STEP_CNT[4]~2 ; 9 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE.T3_LOAD_SR ; 9 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMERD~4 ; 9 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMERD~1 ; 9 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDCR[3] ; 9 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|TR_PRES~0 ; 9 ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|idle_state ; 9 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~115 ; 9 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|DATA_EN~1 ; 9 ; +; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_gray2bin_tgb:wrptr_g_gray2bin|xor8 ; 9 ; +; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|wrptr_g[6] ; 9 ; +; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|wrptr_g[3] ; 9 ; +; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|wrptr_g[0] ; 9 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TBCR[0] ; 9 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TACR[0] ; 9 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|RSR_READ~0 ; 9 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[16]~43 ; 9 ; +; interrupt_handler:nobody|_~22 ; 9 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_RESET~1 ; 9 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CRC_LOGIC:I_CRC_LOGIC|Equal0~4 ; 9 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|Equal11~1 ; 9 ; +; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|BA_S[0]~0 ; 9 ; +; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|CPU_AC ; 9 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_SHAPE[3] ; 9 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT|TR_STATE.IDLE ; 9 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|SHIFTREG~0 ; 9 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRA[2] ; 9 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_A[6] ; 9 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|SYS_CTR_CS ; 9 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBE_CS~0 ; 9 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL_CS~0 ; 9 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~2 ; 9 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|SNDCS ; 9 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW_CS~0 ; 9 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_B1 ; 9 ; +; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FIFO_AC ; 9 ; +; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|DS_T6W ; 9 ; +; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[18] ; 9 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT|TR_STATE.SHIFTOUT ; 9 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|TR_STATE.SHIFTOUT ; 9 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VVCNT[2] ; 9 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VVCNT[3] ; 9 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VVCNT[4] ; 9 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VVCNT[7] ; 9 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VVCNT[8] ; 9 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VVCNT[1] ; 9 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|DATA_REG[6] ; 9 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|VOL_ENV[2] ; 9 ; +; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_s57:rdptr_g1p|counter5a0~_wirecell ; 8 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|_~68 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|PRESCALE_A~0 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|PRESCALE_B~0 ; 8 ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|sel_param_bypass_LF_unused~0 ; 8 ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr15|cntr_30l:auto_generated|counter_reg_bit[7]~0 ; 8 ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr2|cntr_9cj:auto_generated|_~0 ; 8 ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr12|cntr_30l:auto_generated|counter_reg_bit[7]~0 ; 8 ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr1|cntr_30l:auto_generated|_~11 ; 8 ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr1|cntr_30l:auto_generated|counter_reg_bit[7]~0 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|Add2~6 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|Add2~14 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TADR[0]~1 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDR[0]~0 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TBDR[0]~0 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL|PER_CNT~27 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|Selector78~0 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TDDR[6]~0 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|PRESCALE_D~0 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_graycounter_gic:wrptr_g1p|counter8a0 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_graycounter_gic:wrptr_g1p|counter8a2 ; 8 ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|read_addr_counter_enable~0 ; 8 ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|sel_type_cplf~0 ; 8 ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|write_init_nominal_state ; 8 ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|write_init_state ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|SHIFT_REG[4]~9 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|RCV_STATE.SAMPLE ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|SHIFT_REG[0]~9 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|RCV_STATE.SAMPLE ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMERA~3 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMERC~1 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|Mux108~0 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|Mux109~3 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMERB~2 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_graycounter_fic:wrptr_g1p|counter10a[0] ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_graycounter_fic:wrptr_g1p|counter10a[2] ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|SECTORREG~1 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|Mux112~0 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|PORT_B[7]~1 ; 8 ; +; interrupt_handler:nobody|ACP_CONF[7]~5 ; 8 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[7]~2 ; 8 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[7]~5 ; 8 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[7]~3 ; 8 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[7]~3 ; 8 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[7]~4 ; 8 ; +; interrupt_handler:nobody|INT_ENA[7]~3 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|DATAREG~0 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|DATA_REG~15 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_graycounter_j47:rdptr_g1p|counter7a[5] ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_graycounter_j47:rdptr_g1p|counter7a[4] ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_graycounter_j47:rdptr_g1p|counter7a[3] ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|DATAREG~1 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|DATAREG~1 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|process_10~0 ; 8 ; +; interrupt_handler:nobody|INT_CTR[31]~3 ; 8 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[15]~1 ; 8 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[15]~5 ; 8 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[31]~4 ; 8 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[31]~2 ; 8 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[31]~2 ; 8 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[31]~3 ; 8 ; +; interrupt_handler:nobody|ACP_CONF[15]~4 ; 8 ; +; interrupt_handler:nobody|INT_ENA[15]~2 ; 8 ; +; interrupt_handler:nobody|INT_CTR[15]~2 ; 8 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[15]~3 ; 8 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[15]~1 ; 8 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[15]~2 ; 8 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[15]~1 ; 8 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[15]~1 ; 8 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[15]~1 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|VECT_NUMBER[0]~18 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|AER[0]~0 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|GPDR[0]~0 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|DDR[0]~0 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_R_A[0]~0 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_R_C[0]~1 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_R_D[0]~1 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_R_B[0]~1 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|\TIMER_READBACK:READ_A ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW[0]~1 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MID[0]~1 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|process_11~0 ; 8 ; +; interrupt_handler:nobody|WERTE[7][63]~114 ; 8 ; +; interrupt_handler:nobody|WERTE[7][62]~112 ; 8 ; +; interrupt_handler:nobody|WERTE[7][61]~111 ; 8 ; +; interrupt_handler:nobody|WERTE[7][60]~109 ; 8 ; +; interrupt_handler:nobody|WERTE[7][59]~107 ; 8 ; +; interrupt_handler:nobody|WERTE[7][58]~105 ; 8 ; +; interrupt_handler:nobody|WERTE[7][57]~103 ; 8 ; +; interrupt_handler:nobody|WERTE[7][56]~101 ; 8 ; +; interrupt_handler:nobody|WERTE[7][55]~99 ; 8 ; +; interrupt_handler:nobody|WERTE[7][54]~97 ; 8 ; +; interrupt_handler:nobody|WERTE[7][53]~95 ; 8 ; +; interrupt_handler:nobody|WERTE[7][52]~93 ; 8 ; +; interrupt_handler:nobody|WERTE[7][51]~92 ; 8 ; +; interrupt_handler:nobody|WERTE[7][50]~90 ; 8 ; +; interrupt_handler:nobody|WERTE[7][49]~88 ; 8 ; +; interrupt_handler:nobody|WERTE[7][48]~86 ; 8 ; +; interrupt_handler:nobody|WERTE[7][47]~85 ; 8 ; +; interrupt_handler:nobody|WERTE[7][46]~83 ; 8 ; +; interrupt_handler:nobody|WERTE[7][45]~81 ; 8 ; +; interrupt_handler:nobody|WERTE[7][44]~79 ; 8 ; +; interrupt_handler:nobody|WERTE[7][43]~77 ; 8 ; +; interrupt_handler:nobody|WERTE[7][42]~75 ; 8 ; +; interrupt_handler:nobody|WERTE[7][41]~73 ; 8 ; +; interrupt_handler:nobody|WERTE[7][40]~71 ; 8 ; +; interrupt_handler:nobody|WERTE[7][39]~69 ; 8 ; +; interrupt_handler:nobody|WERTE[7][38]~67 ; 8 ; +; interrupt_handler:nobody|WERTE[7][37]~66 ; 8 ; +; interrupt_handler:nobody|WERTE[7][36]~64 ; 8 ; +; interrupt_handler:nobody|WERTE[7][35]~62 ; 8 ; +; interrupt_handler:nobody|WERTE[7][34]~60 ; 8 ; +; interrupt_handler:nobody|WERTE[7][33]~58 ; 8 ; +; interrupt_handler:nobody|WERTE[7][32]~56 ; 8 ; +; interrupt_handler:nobody|WERTE[7][31]~55 ; 8 ; +; interrupt_handler:nobody|WERTE[7][30]~53 ; 8 ; +; interrupt_handler:nobody|WERTE[7][29]~51 ; 8 ; +; interrupt_handler:nobody|WERTE[7][28]~50 ; 8 ; +; interrupt_handler:nobody|WERTE[7][27]~48 ; 8 ; +; interrupt_handler:nobody|WERTE[7][26]~46 ; 8 ; +; interrupt_handler:nobody|WERTE[7][25]~44 ; 8 ; +; interrupt_handler:nobody|WERTE[7][24]~42 ; 8 ; +; interrupt_handler:nobody|WERTE[7][23]~40 ; 8 ; +; interrupt_handler:nobody|WERTE[7][22]~38 ; 8 ; +; interrupt_handler:nobody|WERTE[7][21]~37 ; 8 ; +; interrupt_handler:nobody|WERTE[7][20]~35 ; 8 ; +; interrupt_handler:nobody|WERTE[7][19]~33 ; 8 ; +; interrupt_handler:nobody|WERTE[7][18]~31 ; 8 ; +; interrupt_handler:nobody|WERTE[7][17]~29 ; 8 ; +; interrupt_handler:nobody|WERTE[7][16]~27 ; 8 ; +; interrupt_handler:nobody|WERTE[7][15]~25 ; 8 ; +; interrupt_handler:nobody|WERTE[7][14]~24 ; 8 ; +; interrupt_handler:nobody|WERTE[7][12]~18 ; 8 ; +; interrupt_handler:nobody|_~485 ; 8 ; +; interrupt_handler:nobody|_~483 ; 8 ; +; interrupt_handler:nobody|_~481 ; 8 ; +; interrupt_handler:nobody|_~479 ; 8 ; +; interrupt_handler:nobody|WERTE[7][5]~15 ; 8 ; +; interrupt_handler:nobody|WERTE[0][4]~11 ; 8 ; +; interrupt_handler:nobody|_~477 ; 8 ; +; interrupt_handler:nobody|_~474 ; 8 ; +; interrupt_handler:nobody|_~471 ; 8 ; +; interrupt_handler:nobody|WERTE[7][3]~10 ; 8 ; +; interrupt_handler:nobody|WERTE[0][2]~8 ; 8 ; +; interrupt_handler:nobody|WERTE[7][1]~6 ; 8 ; +; interrupt_handler:nobody|WERTE[0][0]~4 ; 8 ; +; interrupt_handler:nobody|_~465 ; 8 ; +; interrupt_handler:nobody|ACP_CONF[23]~2 ; 8 ; +; interrupt_handler:nobody|INT_ENA[23]~1 ; 8 ; +; interrupt_handler:nobody|INT_CTR[23]~1 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_graycounter_k47:rdptr_g1p|counter5a5 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_graycounter_k47:rdptr_g1p|counter5a3 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_graycounter_k47:rdptr_g1p|counter5a0 ; 8 ; +; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_H_D[7]~0 ; 8 ; +; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_L_D[7]~0 ; 8 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VSS[7]~0 ; 8 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE[7]~1 ; 8 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LOF[7]~0 ; 8 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_LWD[7]~3 ; 8 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VCT[7]~1 ; 8 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VFT[7]~0 ; 8 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCR[23]~0 ; 8 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDE[7]~0 ; 8 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBB[7]~1 ; 8 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VBE[7]~0 ; 8 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VDB[7]~0 ; 8 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HHT[7]~0 ; 8 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HSS[7]~0 ; 8 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDE[7]~0 ; 8 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBB[7]~1 ; 8 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HBE[7]~1 ; 8 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_HDB[7]~0 ; 8 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VL[23]~0 ; 8 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HL[23]~1 ; 8 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_VH[23]~0 ; 8 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ATARI_HH[23]~0 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_FREQ[7]~1 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CRC_PRES ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|\RESTORE_TRAP:STEP_CNT[4]~3 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_AM_DETECTOR:I_AM_DETECTOR|DATA_AM ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|\CLKDIV:CLK_DIVCNT[4]~2 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UDR[7]~8 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERB[0]~0 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IERA[0]~0 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|ISRB~0 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|ISRA~0 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|COMMAND_REG~14 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|SHIFT_REG[7]~13 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|SHFT_LOAD_ND~0 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|TRACKREG~1 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|TRACK_REG~11 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|TRACK_REG~9 ; 8 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[9] ; 8 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[31]~2 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~124 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~123 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~111 ; 8 ; +; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_BASE_M_D[7]~0 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~71 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~62 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|DATA_OUT~12 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|DATA_OUT~11 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|DATA_OUT~9 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|DATA_OUT~7 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|DATA_OUT~5 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|DATA_OUT~3 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|Mux7~10 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|DATA_OUT[0]~20 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|DATA_OUT[0]~18 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|DATA_OUT~15 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDCR[0] ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|DATA_OUT~0 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|Mux7~8 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|DATA_OUT~0 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UDR_READ~0 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|DATA_OUT~1 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~52 ; 8 ; +; interrupt_handler:nobody|UHR_DS ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD~41 ; 8 ; +; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_CNT_M ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_C[7]~3 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_B[7]~3 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|FREQUENCY_A[7]~3 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|COMMAND_REG[2] ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE.T2_VERIFY_CRC_1 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE.T1_VERIFY_CRC ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|COMMAND_REG[0] ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|COMMAND_REG[1] ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|Equal11~2 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE.T3_WR_DATA ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG[4]~1 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|Mux7~7 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|SHIFT_REG[0]~9 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|SCR[0]~0 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|Mux7~5 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|RCV_NEXT_STATE~0 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|Equal3~1 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG[0]~2 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|TR_STATE.IDLE ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[8]~1 ; 8 ; +; interrupt_handler:nobody|INT_CTR[7]~0 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRB[0]~0 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRB~1 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRA[0]~0 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRA~1 ; 8 ; +; interrupt_handler:nobody|INT_ENA[31]~0 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|LessThan11~1 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|LessThan11~0 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|SHIFT_REG[3] ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|SHIFT_REG[7] ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|MFP_INTACK ; 8 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE[7]~0 ; 8 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[23]~0 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_RESET ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|P_CTRL_REG~1 ; 8 ; +; interrupt_handler:nobody|ACP_CONF[31]~1 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG[3] ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|SHIFT_REG[6] ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|SHIFT_REG[7] ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|SHIFT_REG[4] ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|SHIFT_REG[5] ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|SHIFT_REG[3] ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|RCV_STATE.SYNC ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT|SHIFT_REG[4]~13 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG[3] ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MODUS[1]~0 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IMRA[2] ; 8 ; +; interrupt_handler:nobody|INT_CTR_CS~4 ; 8 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VIDEO_PLL_RECONFIG_CS~1 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|AMKB_REG[4] ; 8 ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|cuda_combout_wire[2] ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|DATA_REG[5] ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|SHIFT_REG[2] ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|SHIFT_REG[4] ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|SHIFT_REG[5] ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|SHIFT_REG[6] ; 8 ; +; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VIDEO_ADR_CNT[0] ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|VOL_ENV[3] ; 8 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VR_FRQ[7]~3 ; 7 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|SUB_PIXEL_CNT[6]~18 ; 7 ; +; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_s57:rdptr_g1p|counter5a0 ; 7 ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|sel_type_c0~0 ; 7 ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|input_latch_enable~0 ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[2]~2 ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[6]~2 ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL|UP ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_AM_DETECTOR:I_AM_DETECTOR|Equal0~4 ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_graycounter_j47:rdptr_g1p|parity5 ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_graycounter_gic:wrptr_g1p|counter8a5 ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_graycounter_gic:wrptr_g1p|counter8a3 ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_graycounter_gic:wrptr_g1p|counter8a1 ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|Equal3~1 ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|Equal3~1 ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|Mux88~3 ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|Mux98~3 ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_graycounter_fic:wrptr_g1p|counter10a[5] ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_graycounter_fic:wrptr_g1p|counter10a[3] ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_graycounter_fic:wrptr_g1p|counter10a[1] ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_AM_DETECTOR:I_AM_DETECTOR|SHIFT[0] ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_AM_DETECTOR:I_AM_DETECTOR|SHIFT[4] ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|Equal3~1 ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_graycounter_j47:rdptr_g1p|counter7a[6] ; 7 ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|width_counter_done ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|DATA_REG[6]~8 ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|RCV_STATE.SYNC ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|DATA_REG[1]~8 ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|RCV_STATE.SYNC ; 7 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_SHIFT_MODE[10]~2 ; 7 ; +; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_ojc:wrptr_g1p|counter8a3 ; 7 ; +; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_ojc:wrptr_g1p|counter8a1 ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|\TIMER_READBACK:READ_B ; 7 ; +; Video:Fredi_Aschwanden|lpm_shiftreg0:sr0|lpm_shiftreg:lpm_shiftreg_component|dffs[15] ; 7 ; +; interrupt_handler:nobody|WERTE[7][13]~21 ; 7 ; +; interrupt_handler:nobody|WERTE[7][10]~16 ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_graycounter_k47:rdptr_g1p|counter5a6 ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_graycounter_k47:rdptr_g1p|counter5a4 ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_graycounter_k47:rdptr_g1p|counter5a1 ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|\CLKDIV:CLK_DIVCNT[4]~4 ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|P_SAMPLE~1 ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|\CLKDIV:CLK_DIVCNT[0] ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UCR[2]~2 ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|RX_DATA[0]~3 ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|RX_DATA[0]~2 ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|\CLKDIV:CLK_DIVCNT[4]~4 ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|\CLKDIV:CLK_DIVCNT[4]~2 ; 7 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[2] ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|DA_OUT[2]~29 ; 7 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VR_WR ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|DATA_REG[7] ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|BUSY ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|TR_INC ; 7 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[7] ; 7 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VDL_VCT[2] ; 7 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_CLUT_RDL~0 ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|DATA_OUT~1 ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|DATA_OUT~14 ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|DATA_OUT~1 ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|TSR_READ~0 ; 7 ; +; interrupt_handler:nobody|_~82 ; 7 ; +; interrupt_handler:nobody|_~28 ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|Equal12~11 ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|INDEX_CNT~0 ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE.T2_INIT ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE.T3_CHECK_INDEX_3 ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE.T2_WR_CRC ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE.T2_VERIFY_CRC_2 ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|RCV_NEXT_STATE~0 ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|Equal3~1 ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|TR_STATE.IDLE ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG~12 ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|SDATA_IN_I~0 ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT|\CLKDIV:CLK_DIVCNT[0] ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UCR[3]~1 ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG~12 ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE.T2_LOAD_SHFT ; 7 ; +; interrupt_handler:nobody|_~20 ; 7 ; +; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|_~9 ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_SHAPE[0] ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|ENV_SHAPE[1] ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|\NOISEGENERATOR:CLK_DIV[0] ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|SHIFT_REG[1]~8 ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|TDRE ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|SHIFT_REG[2] ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|Mux7~0 ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UCR[2] ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT|SHIFTREG~0 ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|SHIFT_REG[2]~8 ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|TDRE ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_REQ~1 ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_REQ~0 ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|DIG_PORTS~1 ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|Equal0~0 ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|INT_OUT[4] ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRB[3] ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|VECT_NUMBER~8 ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|IPRB[7] ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|DIR ; 7 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VIDEO_MOD_TA~13 ; 7 ; +; interrupt_handler:nobody|UHR_DS~0 ; 7 ; +; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|DDR_REFRESH_SIG[0] ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|IDE_CF_CS~3 ; 7 ; +; lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[12] ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT|TR_STATE.START ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL|PER_CNT[7] ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL|\ADDER:ADDER_DATA[10] ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|DATA_REG[3] ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|DATA_REG[2] ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|DATA_REG[4] ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|\P_DELAY:DELCNT[6] ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|\P_DELAY:DELCNT[5] ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|\P_DELAY:DELCNT[16] ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|VOL_ENV[4] ; 7 ; +; AMKB_RX~input ; 6 ; +; TRACK00~input ; 6 ; +; Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_hk21:auto_generated|a_dpfifo_oq21:dpfifo|_~0 ; 6 ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|sel_param_odd_CP_unused~0 ; 6 ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|sel_type_m~0 ; 6 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_graycounter_gic:wrptr_g1p|counter8a6 ; 6 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_graycounter_gic:wrptr_g1p|counter8a4 ; 6 ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|power_up~2 ; 6 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|BITCNT[0] ; 6 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|BITCNT[0] ; 6 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_graycounter_fic:wrptr_g1p|counter10a[6] ; 6 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_graycounter_fic:wrptr_g1p|counter10a[4] ; 6 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_A[3] ; 6 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_A[4] ; 6 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_A[5] ; 6 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_A[7] ; 6 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_A[6] ; 6 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_B[3] ; 6 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_B[4] ; 6 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_B[5] ; 6 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_B[7] ; 6 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_B[6] ; 6 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_A[2] ; 6 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_B[2] ; 6 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_A[1] ; 6 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_B[1] ; 6 ; +; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_ojc:wrptr_g1p|counter8a6 ; 6 ; +; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_ojc:wrptr_g1p|counter8a4 ; 6 ; +; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_ojc:wrptr_g1p|counter8a2 ; 6 ; +; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_ojc:wrptr_g1p|counter8a0 ; 6 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_A[0] ; 6 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TCDCR[0]~0 ; 6 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_B[0] ; 6 ; +; interrupt_handler:nobody|RTC_ADR[5]~0 ; 6 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|wrptr_g[7] ; 6 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|wrptr_g[6] ; 6 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|wrptr_g[4] ; 6 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|SYS_CTR[6]~2 ; 6 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL|Mux1~0 ; 6 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL|Mux2~0 ; 6 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CRC_LOGIC:I_CRC_LOGIC|CRC_SHIFT[1]~18 ; 6 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CRC_LOGIC:I_CRC_LOGIC|CRC_SHIFT~17 ; 6 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|Equal1~4 ; 6 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE.T3_VERIFY_AM ; 6 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_AM_DETECTOR:I_AM_DETECTOR|DDATA_AM ; 6 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|RCV_STATE.IDLE ; 6 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|LessThan4~0 ; 6 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|\CLKDIV:CLK_DIVCNT[1] ; 6 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|RX_DATA[0]~1 ; 6 ; +; interrupt_handler:nobody|INT_LATCH_CS ; 6 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|OVR ; 6 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|OVR ; 6 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|DA_OUT[2]~37 ; 6 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|DA_OUT[2]~36 ; 6 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|DA_OUT[2]~35 ; 6 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|DA_OUT[2]~30 ; 6 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|DA_OUT[2]~28 ; 6 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|DA_OUT[2]~27 ; 6 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|FS_B ; 6 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|RDRF ; 6 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[8] ; 6 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MID_CS ; 6 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|DATA_EN~0 ; 6 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|FALCON_CLUT_RDH ; 6 ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_bustri_WORD:$00000|lpm_bustri:lpm_bustri_component|dout[3]~31 ; 6 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO|Mux7~9 ; 6 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW_CS~1 ; 6 ; +; interrupt_handler:nobody|_~141 ; 6 ; +; interrupt_handler:nobody|_~140 ; 6 ; +; interrupt_handler:nobody|_~137 ; 6 ; +; interrupt_handler:nobody|_~136 ; 6 ; +; interrupt_handler:nobody|_~134 ; 6 ; +; interrupt_handler:nobody|_~133 ; 6 ; +; interrupt_handler:nobody|_~130 ; 6 ; +; interrupt_handler:nobody|_~129 ; 6 ; +; interrupt_handler:nobody|_~127 ; 6 ; +; interrupt_handler:nobody|_~126 ; 6 ; +; interrupt_handler:nobody|_~124 ; 6 ; +; interrupt_handler:nobody|_~123 ; 6 ; +; interrupt_handler:nobody|_~121 ; 6 ; +; interrupt_handler:nobody|_~120 ; 6 ; +; interrupt_handler:nobody|_~117 ; 6 ; +; interrupt_handler:nobody|_~116 ; 6 ; +; interrupt_handler:nobody|_~114 ; 6 ; +; interrupt_handler:nobody|_~113 ; 6 ; +; interrupt_handler:nobody|_~111 ; 6 ; +; interrupt_handler:nobody|_~110 ; 6 ; +; interrupt_handler:nobody|_~108 ; 6 ; +; interrupt_handler:nobody|_~107 ; 6 ; +; interrupt_handler:nobody|_~104 ; 6 ; +; interrupt_handler:nobody|_~103 ; 6 ; +; interrupt_handler:nobody|_~101 ; 6 ; +; interrupt_handler:nobody|_~100 ; 6 ; +; interrupt_handler:nobody|_~98 ; 6 ; +; interrupt_handler:nobody|_~97 ; 6 ; +; interrupt_handler:nobody|_~95 ; 6 ; +; interrupt_handler:nobody|_~94 ; 6 ; +; interrupt_handler:nobody|_~90 ; 6 ; +; interrupt_handler:nobody|_~89 ; 6 ; +; interrupt_handler:nobody|_~86 ; 6 ; +; interrupt_handler:nobody|_~84 ; 6 ; +; interrupt_handler:nobody|_~80 ; 6 ; +; interrupt_handler:nobody|_~79 ; 6 ; +; interrupt_handler:nobody|_~76 ; 6 ; +; interrupt_handler:nobody|_~75 ; 6 ; +; interrupt_handler:nobody|_~73 ; 6 ; +; interrupt_handler:nobody|_~72 ; 6 ; +; interrupt_handler:nobody|_~70 ; 6 ; +; interrupt_handler:nobody|_~69 ; 6 ; +; interrupt_handler:nobody|_~67 ; 6 ; +; interrupt_handler:nobody|_~66 ; 6 ; +; interrupt_handler:nobody|_~63 ; 6 ; +; interrupt_handler:nobody|_~61 ; 6 ; +; interrupt_handler:nobody|_~57 ; 6 ; +; interrupt_handler:nobody|_~52 ; 6 ; +; interrupt_handler:nobody|_~49 ; 6 ; +; interrupt_handler:nobody|_~47 ; 6 ; +; interrupt_handler:nobody|_~43 ; 6 ; ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+---------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter RAM Summary ; ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+-------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+------+------+------------------------------------------------------------------------------------------------------------------------------+ +; Name ; Type ; Mode ; Clock Mode ; Port A Depth ; Port A Width ; Port B Depth ; Port B Width ; Port A Input Registers ; Port A Output Registers ; Port B Input Registers ; Port B Output Registers ; Size ; Implementation Port A Depth ; Implementation Port A Width ; Implementation Port B Depth ; Implementation Port B Width ; Implementation Bits ; M9Ks ; MIF ; Location ; ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+-------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+------+------+------------------------------------------------------------------------------------------------------------------------------+ +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|altsyncram_bi31:fifo_ram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Dual Clocks ; 1024 ; 8 ; 256 ; 32 ; yes ; no ; yes ; yes ; 8192 ; 1024 ; 8 ; 256 ; 32 ; 8192 ; 1 ; None ; M9K_X24_Y23_N0 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Dual Clocks ; 256 ; 32 ; 1024 ; 8 ; yes ; no ; yes ; yes ; 8192 ; 256 ; 32 ; 1024 ; 8 ; 8192 ; 1 ; None ; M9K_X24_Y22_N0 ; +; Video:Fredi_Aschwanden|altdpram0:ST_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated|ALTSYNCRAM ; AUTO ; True Dual Port ; Dual Clocks ; 16 ; 3 ; 16 ; 3 ; yes ; yes ; yes ; yes ; 48 ; 16 ; 3 ; 16 ; 3 ; 48 ; 1 ; None ; M9K_X24_Y19_N0 ; +; Video:Fredi_Aschwanden|altdpram0:ST_CLUT_GREEN|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated|ALTSYNCRAM ; AUTO ; True Dual Port ; Dual Clocks ; 16 ; 3 ; 16 ; 3 ; yes ; yes ; yes ; yes ; 48 ; 16 ; 3 ; 16 ; 3 ; 48 ; 1 ; None ; M9K_X24_Y19_N0 ; +; Video:Fredi_Aschwanden|altdpram0:ST_CLUT_RED|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated|ALTSYNCRAM ; AUTO ; True Dual Port ; Dual Clocks ; 16 ; 3 ; 16 ; 3 ; yes ; yes ; yes ; yes ; 48 ; 16 ; 3 ; 16 ; 3 ; 48 ; 1 ; None ; M9K_X24_Y21_N0 ; +; Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ALTSYNCRAM ; AUTO ; True Dual Port ; Dual Clocks ; 256 ; 6 ; 256 ; 6 ; yes ; yes ; yes ; yes ; 1536 ; 256 ; 6 ; 256 ; 6 ; 1536 ; 1 ; None ; M9K_X24_Y18_N0 ; +; Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_GREEN|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ALTSYNCRAM ; AUTO ; True Dual Port ; Dual Clocks ; 256 ; 6 ; 256 ; 6 ; yes ; yes ; yes ; yes ; 1536 ; 256 ; 6 ; 256 ; 6 ; 1536 ; 1 ; None ; M9K_X24_Y16_N0 ; +; Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_RED|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ALTSYNCRAM ; AUTO ; True Dual Port ; Dual Clocks ; 256 ; 6 ; 256 ; 6 ; yes ; yes ; yes ; yes ; 1536 ; 256 ; 6 ; 256 ; 6 ; 1536 ; 1 ; None ; M9K_X24_Y20_N0 ; +; Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM54|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ALTSYNCRAM ; AUTO ; True Dual Port ; Dual Clocks ; 256 ; 8 ; 256 ; 8 ; yes ; yes ; yes ; yes ; 2048 ; 256 ; 8 ; 256 ; 8 ; 2048 ; 1 ; None ; M9K_X24_Y17_N0 ; +; Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ALTSYNCRAM ; AUTO ; True Dual Port ; Dual Clocks ; 256 ; 8 ; 256 ; 8 ; yes ; yes ; yes ; yes ; 2048 ; 256 ; 8 ; 256 ; 8 ; 2048 ; 1 ; None ; M9K_X24_Y15_N0 ; +; Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ALTSYNCRAM ; AUTO ; True Dual Port ; Dual Clocks ; 256 ; 8 ; 256 ; 8 ; yes ; yes ; yes ; yes ; 2048 ; 256 ; 8 ; 256 ; 8 ; 2048 ; 1 ; None ; M9K_X24_Y14_N0 ; +; Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_hk21:auto_generated|a_dpfifo_oq21:dpfifo|altsyncram_gj81:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Dual Clocks ; 128 ; 128 ; 128 ; 128 ; yes ; no ; yes ; no ; 16384 ; 128 ; 128 ; 128 ; 128 ; 16384 ; 4 ; None ; M9K_X40_Y18_N0, M9K_X40_Y16_N0, M9K_X40_Y17_N0, M9K_X40_Y19_N0 ; +; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|altsyncram_tl31:fifo_ram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Dual Clocks ; 512 ; 128 ; 512 ; 128 ; yes ; no ; yes ; yes ; 65536 ; 512 ; 128 ; 512 ; 128 ; 65536 ; 8 ; None ; M9K_X40_Y10_N0, M9K_X40_Y8_N0, M9K_X40_Y9_N0, M9K_X40_Y11_N0, M9K_X40_Y13_N0, M9K_X40_Y14_N0, M9K_X40_Y12_N0, M9K_X40_Y15_N0 ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|altsyncram:altsyncram4|altsyncram_46r:auto_generated|ALTSYNCRAM ; AUTO ; Single Port ; Single Clock ; 144 ; 1 ; -- ; -- ; yes ; no ; -- ; -- ; 144 ; 144 ; 1 ; -- ; -- ; 144 ; 1 ; None ; M9K_X24_Y26_N0 ; ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+-------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+------+------+------------------------------------------------------------------------------------------------------------------------------+ +Note: Fitter may spread logical memories into multiple blocks to improve timing. The actual required RAM blocks can be found in the Fitter Resource Usage section. + + ++-----------------------------------------------------------------------------------------------+ +; Fitter DSP Block Usage Summary ; ++---------------------------------------+-------------+---------------------+-------------------+ +; Statistic ; Number Used ; Available per Block ; Maximum Available ; ++---------------------------------------+-------------+---------------------+-------------------+ +; Simple Multipliers (9-bit) ; 0 ; 2 ; 252 ; +; Simple Multipliers (18-bit) ; 3 ; 1 ; 126 ; +; Embedded Multiplier Blocks ; 3 ; -- ; 126 ; +; Embedded Multiplier 9-bit elements ; 6 ; 2 ; 252 ; +; Signed Embedded Multipliers ; 0 ; -- ; -- ; +; Unsigned Embedded Multipliers ; 3 ; -- ; -- ; +; Mixed Sign Embedded Multipliers ; 0 ; -- ; -- ; +; Variable Sign Embedded Multipliers ; 0 ; -- ; -- ; +; Dedicated Input Shift Register Chains ; 0 ; -- ; -- ; ++---------------------------------------+-------------+---------------------+-------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; DSP Block Details ; ++------------------------------------------------------------------------------------------------------------------------+----------------------------+--------------------+---------------------+--------------------------------+-----------------------+-----------------------+-------------------+-----------------+ +; Name ; Mode ; Location ; Sign Representation ; Has Input Shift Register Chain ; Data A Input Register ; Data B Input Register ; Pipeline Register ; Output Register ; ++------------------------------------------------------------------------------------------------------------------------+----------------------------+--------------------+---------------------+--------------------------------+-----------------------+-----------------------+-------------------+-----------------+ +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_14|mult_cat:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X31_Y24_N2 ; ; No ; ; ; ; no ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_14|mult_cat:auto_generated|mac_mult1 ; ; DSPMULT_X31_Y24_N0 ; Unsigned ; ; no ; no ; no ; ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_6|mult_aat:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X31_Y22_N2 ; ; No ; ; ; ; no ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_6|mult_aat:auto_generated|mac_mult1 ; ; DSPMULT_X31_Y22_N0 ; Unsigned ; ; no ; no ; no ; ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_12|mult_aat:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X31_Y23_N2 ; ; No ; ; ; ; no ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_12|mult_aat:auto_generated|mac_mult1 ; ; DSPMULT_X31_Y23_N0 ; Unsigned ; ; no ; no ; no ; ; ++------------------------------------------------------------------------------------------------------------------------+----------------------------+--------------------+---------------------+--------------------------------+-----------------------+-----------------------+-------------------+-----------------+ + + ++--------------------------------------------------------+ +; Interconnect Usage Summary ; ++----------------------------+---------------------------+ +; Interconnect Resource Type ; Usage ; ++----------------------------+---------------------------+ +; Block interconnects ; 16,690 / 116,715 ( 14 % ) ; +; C16 interconnects ; 1,027 / 3,886 ( 26 % ) ; +; C4 interconnects ; 15,654 / 73,752 ( 21 % ) ; +; Direct links ; 2,089 / 116,715 ( 2 % ) ; +; Global clocks ; 18 / 20 ( 90 % ) ; +; Local interconnects ; 4,136 / 39,600 ( 10 % ) ; +; R24 interconnects ; 1,023 / 3,777 ( 27 % ) ; +; R4 interconnects ; 16,047 / 99,858 ( 16 % ) ; ++----------------------------+---------------------------+ + + ++-----------------------------------------------------------------------------+ +; LAB Logic Elements ; ++---------------------------------------------+-------------------------------+ +; Number of Logic Elements (Average = 12.93) ; Number of LABs (Total = 730) ; ++---------------------------------------------+-------------------------------+ +; 1 ; 30 ; +; 2 ; 21 ; +; 3 ; 14 ; +; 4 ; 12 ; +; 5 ; 14 ; +; 6 ; 24 ; +; 7 ; 10 ; +; 8 ; 12 ; +; 9 ; 18 ; +; 10 ; 14 ; +; 11 ; 15 ; +; 12 ; 25 ; +; 13 ; 28 ; +; 14 ; 39 ; +; 15 ; 47 ; +; 16 ; 407 ; ++---------------------------------------------+-------------------------------+ + + ++--------------------------------------------------------------------+ +; LAB-wide Signals ; ++------------------------------------+-------------------------------+ +; LAB-wide Signals (Average = 1.68) ; Number of LABs (Total = 730) ; ++------------------------------------+-------------------------------+ +; 1 Async. clear ; 198 ; +; 1 Clock ; 578 ; +; 1 Clock enable ; 278 ; +; 1 Sync. clear ; 16 ; +; 1 Sync. load ; 30 ; +; 2 Async. clears ; 7 ; +; 2 Clock enables ; 67 ; +; 2 Clocks ; 49 ; ++------------------------------------+-------------------------------+ + + ++------------------------------------------------------------------------------+ +; LAB Signals Sourced ; ++----------------------------------------------+-------------------------------+ +; Number of Signals Sourced (Average = 18.51) ; Number of LABs (Total = 730) ; ++----------------------------------------------+-------------------------------+ +; 0 ; 0 ; +; 1 ; 22 ; +; 2 ; 13 ; +; 3 ; 10 ; +; 4 ; 17 ; +; 5 ; 12 ; +; 6 ; 11 ; +; 7 ; 11 ; +; 8 ; 11 ; +; 9 ; 10 ; +; 10 ; 12 ; +; 11 ; 9 ; +; 12 ; 19 ; +; 13 ; 9 ; +; 14 ; 17 ; +; 15 ; 21 ; +; 16 ; 53 ; +; 17 ; 26 ; +; 18 ; 34 ; +; 19 ; 37 ; +; 20 ; 43 ; +; 21 ; 41 ; +; 22 ; 40 ; +; 23 ; 35 ; +; 24 ; 40 ; +; 25 ; 36 ; +; 26 ; 26 ; +; 27 ; 27 ; +; 28 ; 29 ; +; 29 ; 20 ; +; 30 ; 20 ; +; 31 ; 9 ; +; 32 ; 10 ; ++----------------------------------------------+-------------------------------+ + + ++---------------------------------------------------------------------------------+ +; LAB Signals Sourced Out ; ++-------------------------------------------------+-------------------------------+ +; Number of Signals Sourced Out (Average = 8.91) ; Number of LABs (Total = 730) ; ++-------------------------------------------------+-------------------------------+ +; 0 ; 1 ; +; 1 ; 46 ; +; 2 ; 33 ; +; 3 ; 42 ; +; 4 ; 40 ; +; 5 ; 32 ; +; 6 ; 36 ; +; 7 ; 38 ; +; 8 ; 76 ; +; 9 ; 58 ; +; 10 ; 64 ; +; 11 ; 62 ; +; 12 ; 45 ; +; 13 ; 31 ; +; 14 ; 35 ; +; 15 ; 29 ; +; 16 ; 20 ; +; 17 ; 15 ; +; 18 ; 3 ; +; 19 ; 3 ; +; 20 ; 6 ; +; 21 ; 8 ; +; 22 ; 1 ; +; 23 ; 1 ; +; 24 ; 1 ; +; 25 ; 2 ; +; 26 ; 2 ; ++-------------------------------------------------+-------------------------------+ + + ++------------------------------------------------------------------------------+ +; LAB Distinct Inputs ; ++----------------------------------------------+-------------------------------+ +; Number of Distinct Inputs (Average = 18.91) ; Number of LABs (Total = 730) ; ++----------------------------------------------+-------------------------------+ +; 0 ; 0 ; +; 1 ; 2 ; +; 2 ; 20 ; +; 3 ; 22 ; +; 4 ; 22 ; +; 5 ; 18 ; +; 6 ; 16 ; +; 7 ; 10 ; +; 8 ; 12 ; +; 9 ; 15 ; +; 10 ; 19 ; +; 11 ; 14 ; +; 12 ; 28 ; +; 13 ; 18 ; +; 14 ; 18 ; +; 15 ; 14 ; +; 16 ; 22 ; +; 17 ; 21 ; +; 18 ; 24 ; +; 19 ; 30 ; +; 20 ; 45 ; +; 21 ; 22 ; +; 22 ; 29 ; +; 23 ; 34 ; +; 24 ; 32 ; +; 25 ; 27 ; +; 26 ; 20 ; +; 27 ; 19 ; +; 28 ; 33 ; +; 29 ; 17 ; +; 30 ; 28 ; +; 31 ; 31 ; +; 32 ; 30 ; +; 33 ; 17 ; +; 34 ; 1 ; ++----------------------------------------------+-------------------------------+ + + ++------------------------------------------+ +; I/O Rules Summary ; ++----------------------------------+-------+ +; I/O Rules Statistic ; Total ; ++----------------------------------+-------+ +; Total I/O Rules ; 30 ; +; Number of I/O Rules Passed ; 17 ; +; Number of I/O Rules Failed ; 0 ; +; Number of I/O Rules Unchecked ; 0 ; +; Number of I/O Rules Inapplicable ; 13 ; ++----------------------------------+-------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; I/O Rules Details ; ++--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ +; Status ; ID ; Category ; Rule Description ; Severity ; Information ; Area ; Extra Information ; ++--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ +; Pass ; IO_000001 ; Capacity Checks ; Number of pins in an I/O bank should not exceed the number of locations available. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000002 ; Capacity Checks ; Number of clocks in an I/O bank should not exceed the number of clocks available. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000003 ; Capacity Checks ; Number of pins in a Vrefgroup should not exceed the number of locations available. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000004 ; Voltage Compatibility Checks ; The I/O bank should support the requested VCCIO. ; Critical ; No IOBANK_VCCIO assignments found. ; I/O ; ; +; Inapplicable ; IO_000005 ; Voltage Compatibility Checks ; The I/O bank should not have competing VREF values. ; Critical ; No VREF I/O Standard assignments found. ; I/O ; ; +; Pass ; IO_000006 ; Voltage Compatibility Checks ; The I/O bank should not have competing VCCIO values. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000007 ; Valid Location Checks ; Checks for unavailable locations. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000008 ; Valid Location Checks ; Checks for reserved locations. ; Critical ; No reserved LogicLock region found. ; I/O ; ; +; Pass ; IO_000009 ; I/O Properties Checks for One I/O ; The location should support the requested I/O standard. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000010 ; I/O Properties Checks for One I/O ; The location should support the requested I/O direction. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000011 ; I/O Properties Checks for One I/O ; The location should support the requested Current Strength. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000012 ; I/O Properties Checks for One I/O ; The location should support the requested On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000013 ; I/O Properties Checks for One I/O ; The location should support the requested Bus Hold value. ; Critical ; No Enable Bus-Hold Circuitry assignments found. ; I/O ; ; +; Inapplicable ; IO_000014 ; I/O Properties Checks for One I/O ; The location should support the requested Weak Pull Up value. ; Critical ; No Weak Pull-Up Resistor assignments found. ; I/O ; ; +; Pass ; IO_000015 ; I/O Properties Checks for One I/O ; The location should support the requested PCI Clamp Diode. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000018 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Current Strength. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000019 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000020 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested PCI Clamp Diode. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000021 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Weak Pull Up value. ; Critical ; No Weak Pull-Up Resistor assignments found. ; I/O ; ; +; Inapplicable ; IO_000022 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Bus Hold value. ; Critical ; No Enable Bus-Hold Circuitry assignments found. ; I/O ; ; +; Pass ; IO_000023 ; I/O Properties Checks for One I/O ; The I/O standard should support the Open Drain value. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000024 ; I/O Properties Checks for One I/O ; The I/O direction should support the On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000026 ; I/O Properties Checks for One I/O ; On Chip Termination and Current Strength should not be used at the same time. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000027 ; I/O Properties Checks for One I/O ; Weak Pull Up and Bus Hold should not be used at the same time. ; Critical ; No Enable Bus-Hold Circuitry or Weak Pull-Up Resistor assignments found. ; I/O ; ; +; Inapplicable ; IO_000045 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Slew Rate value. ; Critical ; No Slew Rate assignments found. ; I/O ; ; +; Inapplicable ; IO_000046 ; I/O Properties Checks for One I/O ; The location should support the requested Slew Rate value. ; Critical ; No Slew Rate assignments found. ; I/O ; ; +; Inapplicable ; IO_000047 ; I/O Properties Checks for One I/O ; On Chip Termination and Slew Rate should not be used at the same time. ; Critical ; No Slew Rate assignments found. ; I/O ; ; +; Pass ; IO_000033 ; Electromigration Checks ; Current density for consecutive I/Os should not exceed 240mA for row I/Os and 240mA for column I/Os. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000034 ; SI Related Distance Checks ; Single-ended outputs should be 5 LAB row(s) away from a differential I/O. ; High ; No Differential I/O Standard assignments found. ; I/O ; ; +; Inapplicable ; IO_000042 ; SI Related SSO Limit Checks ; No more than 20 outputs are allowed in a VREF group when VREF is being read from. ; High ; No VREF I/O Standard assignments found. ; I/O ; ; +; ---- ; ---- ; Disclaimer ; OCT rules are checked but not reported. ; None ; ---- ; On Chip Termination ; ; ++--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; I/O Rules Matrix ; ++--------------------+-----------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+ +; Pin/Rules ; IO_000001 ; IO_000002 ; IO_000003 ; IO_000004 ; IO_000005 ; IO_000006 ; IO_000007 ; IO_000008 ; IO_000009 ; IO_000010 ; IO_000011 ; IO_000012 ; IO_000013 ; IO_000014 ; IO_000015 ; IO_000018 ; IO_000019 ; IO_000020 ; IO_000021 ; IO_000022 ; IO_000023 ; IO_000024 ; IO_000026 ; IO_000027 ; IO_000045 ; IO_000046 ; IO_000047 ; IO_000033 ; IO_000034 ; IO_000042 ; ++--------------------+-----------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+ +; Total Pass ; 295 ; 64 ; 295 ; 0 ; 0 ; 295 ; 295 ; 0 ; 295 ; 295 ; 168 ; 3 ; 0 ; 0 ; 183 ; 168 ; 3 ; 183 ; 0 ; 0 ; 11 ; 3 ; 171 ; 0 ; 0 ; 0 ; 0 ; 295 ; 0 ; 0 ; +; Total Unchecked ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; Total Inapplicable ; 0 ; 231 ; 0 ; 295 ; 295 ; 0 ; 0 ; 295 ; 0 ; 0 ; 127 ; 292 ; 295 ; 295 ; 112 ; 127 ; 292 ; 112 ; 295 ; 295 ; 284 ; 292 ; 124 ; 295 ; 295 ; 295 ; 295 ; 0 ; 295 ; 295 ; +; Total Fail ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; CLK24M576 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; LP_STR ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nFB_BURST ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nACSI_DRQ ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nACSI_INT ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nSCSI_DRQ ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nSCSI_MSG ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nDCHG ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SD_DATA0 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SD_DATA1 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SD_DATA2 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SD_CARD_DEDECT ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SD_WP ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nDACK0 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; WP_CF_CARD ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nSCSI_C_D ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nSCSI_I_O ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nFB_CS3 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; CLK25M ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nACSI_ACK ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nACSI_RESET ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nACSI_CS ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; ACSI_DIR ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; ACSI_A1 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nSCSI_ACK ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nSCSI_ATN ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SCSI_DIR ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; MIDI_OLR ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; MIDI_TLR ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; TxD ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; RTS ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; DTR ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; AMKB_TX ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; IDE_RES ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nIDE_CS0 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nIDE_CS1 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nIDE_WR ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nIDE_RD ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nCF_CS0 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nCF_CS1 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nROM3 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nROM4 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nRP_UDS ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nRP_LDS ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nSDSEL ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nWR_GATE ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nWR ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; YM_QA ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; YM_QB ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; YM_QC ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SD_CLK ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; DSA_D ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nVWE ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nVCAS ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nVRAS ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nVCS ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nPD_VGA ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; TIN0 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nSRCS ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nSRBLE ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nSRBHE ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nSRWE ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nDREQ1 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; LED_FPGA_OK ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nSROE ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VCKE ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nFB_TA ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nDDR_CLK ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; DDR_CLK ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VSYNC_PAD ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; HSYNC_PAD ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nBLANK_PAD ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; PIXEL_CLK_PAD ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nSYNC ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nMOT_ON ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nSTEP_DIR ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nSTEP ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; CLKUSB ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; LPDIR ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; BA[1] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; BA[0] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nIRQ[7] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nIRQ[6] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nIRQ[5] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nIRQ[4] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nIRQ[3] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nIRQ[2] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VA[12] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VA[11] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VA[10] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VA[9] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VA[8] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VA[7] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VA[6] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VA[5] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VA[4] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VA[3] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VA[2] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VA[1] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VA[0] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VB[7] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VB[6] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VB[5] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VB[4] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VB[3] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VB[2] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VB[1] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VB[0] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VDM[3] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VDM[2] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VDM[1] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VDM[0] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VG[7] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VG[6] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VG[5] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VG[4] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VG[3] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VG[2] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VG[1] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VG[0] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VR[7] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VR[6] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VR[5] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VR[4] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VR[3] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VR[2] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VR[1] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VR[0] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; TOUT0 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nMASTER ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; FB_AD[31] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; FB_AD[30] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; FB_AD[29] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; FB_AD[28] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; FB_AD[27] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; FB_AD[26] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; FB_AD[25] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; FB_AD[24] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; FB_AD[23] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; FB_AD[22] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; FB_AD[21] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; FB_AD[20] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; FB_AD[19] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; FB_AD[18] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; FB_AD[17] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; FB_AD[16] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; FB_AD[15] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; FB_AD[14] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; FB_AD[13] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; FB_AD[12] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; FB_AD[11] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; FB_AD[10] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; FB_AD[9] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; FB_AD[8] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; FB_AD[7] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; FB_AD[6] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; FB_AD[5] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; FB_AD[4] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; FB_AD[3] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; FB_AD[2] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; FB_AD[1] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; FB_AD[0] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VD[31] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VD[30] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VD[29] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VD[28] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VD[27] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VD[26] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VD[25] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VD[24] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VD[23] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VD[22] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VD[21] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VD[20] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VD[19] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VD[18] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VD[17] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VD[16] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VD[15] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VD[14] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VD[13] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VD[12] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VD[11] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VD[10] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VD[9] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VD[8] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VD[7] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VD[6] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VD[5] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VD[4] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VD[3] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VD[2] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VD[1] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VD[0] ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VDQS[3] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VDQS[2] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VDQS[1] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; VDQS[0] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; IO[17] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; IO[16] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; IO[15] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; IO[14] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; IO[13] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; IO[12] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; IO[11] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; IO[10] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; IO[9] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; IO[8] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; IO[7] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; IO[6] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; IO[5] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; IO[4] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; IO[3] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; IO[2] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; IO[1] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; IO[0] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SRD[15] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SRD[14] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SRD[13] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SRD[12] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SRD[11] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SRD[10] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SRD[9] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SRD[8] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SRD[7] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SRD[6] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SRD[5] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SRD[4] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SRD[3] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SRD[2] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SRD[1] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SRD[0] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SCSI_PAR ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nSCSI_SEL ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nSCSI_BUSY ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nSCSI_RST ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SD_CD_DATA3 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SD_CMD_D1 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; ACSI_D[7] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; ACSI_D[6] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; ACSI_D[5] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; ACSI_D[4] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; ACSI_D[3] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; ACSI_D[2] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; ACSI_D[1] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; ACSI_D[0] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; LP_D[7] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; LP_D[6] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; LP_D[5] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; LP_D[4] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; LP_D[3] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; LP_D[2] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; LP_D[1] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; LP_D[0] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SCSI_D[7] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SCSI_D[6] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SCSI_D[5] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SCSI_D[4] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SCSI_D[3] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SCSI_D[2] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SCSI_D[1] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; SCSI_D[0] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nRSTO_MCF ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nFB_WR ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nFB_CS1 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; FB_SIZE1 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; FB_SIZE0 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; FB_ALE ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nFB_CS2 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; MAIN_CLK ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nDACK1 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nFB_OE ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; IDE_RDY ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; CLK33M ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; HD_DD ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nINDEX ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; RxD ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nWP ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; LP_BUSY ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; DCD ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; CTS ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; TRACK00 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; IDE_INT ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; RI ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nPCI_INTD ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nPCI_INTC ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nPCI_INTB ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nPCI_INTA ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; DVI_INT ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; E0_INT ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; PIC_INT ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; MIDI_IN ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; PIC_AMKB_RX ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nRD_DATA ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; AMKB_RX ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ++--------------------+-----------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+ + + ++---------------------------------------------------------------------------------------------+ +; Fitter Device Options ; ++------------------------------------------------------------------+--------------------------+ +; Option ; Setting ; ++------------------------------------------------------------------+--------------------------+ +; Enable user-supplied start-up clock (CLKUSR) ; Off ; +; Enable device-wide reset (DEV_CLRn) ; On ; +; Enable device-wide output enable (DEV_OE) ; On ; +; Enable INIT_DONE output ; Off ; +; Configuration scheme ; Passive Serial ; +; Error detection CRC ; Off ; +; Enable open drain on CRC_ERROR pin ; Off ; +; Enable input tri-state on active configuration pins in user mode ; Off ; +; Configuration Voltage Level ; Auto ; +; Force Configuration Voltage Level ; On ; +; nCEO ; As output driving ground ; +; Data[0] ; As input tri-stated ; +; Data[1]/ASDO ; As input tri-stated ; +; Data[7..2] ; Unreserved ; +; FLASH_nCE/nCSO ; As input tri-stated ; +; Other Active Parallel pins ; Unreserved ; +; DCLK ; As input tri-stated ; +; Base pin-out file on sameframe device ; Off ; ++------------------------------------------------------------------+--------------------------+ + + ++------------------------------------+ +; Operating Settings and Conditions ; ++---------------------------+--------+ +; Setting ; Value ; ++---------------------------+--------+ +; Nominal Core Voltage ; 1.20 V ; +; Low Junction Temperature ; 0 °C ; +; High Junction Temperature ; 85 °C ; ++---------------------------+--------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Estimated Delay Added for Hold Timing Summary ; ++-----------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------+-------------------+ +; Source Clock(s) ; Destination Clock(s) ; Delay Added in ns ; ++-----------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------+-------------------+ +; CLK33M,inst13|altpll_component|auto_generated|pll1|clk[2],MAIN_CLK,inst22|altpll_component|auto_generated|pll1|clk[0] ; CLK33M,inst13|altpll_component|auto_generated|pll1|clk[2],MAIN_CLK,inst22|altpll_component|auto_generated|pll1|clk[0] ; 6031.0 ; +; MAIN_CLK ; MAIN_CLK ; 529.1 ; +; MAIN_CLK ; CLK33M,inst13|altpll_component|auto_generated|pll1|clk[2],MAIN_CLK,inst22|altpll_component|auto_generated|pll1|clk[0] ; 271.4 ; ++-----------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------+-------------------+ +Note: For more information on problematic transfers, consider running the Fitter again with the Optimize hold timing option (Settings Menu) turned off. +This will disable optimization of problematic paths and expose them for further analysis using either the TimeQuest Timing Analyzer or the Classic Timing Analyzer. + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Estimated Delay Added for Hold Timing Details ; ++--------------------------------------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+ +; Source Register ; Destination Register ; Delay Added in ns ; ++--------------------------------------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+ +; CLK33M ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|\CLKDIV:CLK_LOCK ; 11.238 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|TR_STATE.IDLE ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|\CLKDIV:CLK_LOCK ; 11.238 ; +; CLK33M ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_LOCK ; 11.130 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|RCV_STATE.IDLE ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_LOCK ; 11.130 ; +; CLK33M ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:STRB_LOCK ; 11.107 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG[1] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:STRB_LOCK ; 11.107 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG[0] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:STRB_LOCK ; 11.107 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[5] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:STRB_LOCK ; 11.107 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[0] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:STRB_LOCK ; 11.107 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[1] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:STRB_LOCK ; 11.107 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[2] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:STRB_LOCK ; 11.107 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[3] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:STRB_LOCK ; 11.107 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[4] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:STRB_LOCK ; 11.107 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[6] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:STRB_LOCK ; 11.107 ; +; CLK33M ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:STRB_LOCK ; 11.057 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG[1] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:STRB_LOCK ; 11.057 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG[0] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:STRB_LOCK ; 11.057 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[5] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:STRB_LOCK ; 11.057 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[0] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:STRB_LOCK ; 11.057 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[1] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:STRB_LOCK ; 11.057 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[2] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:STRB_LOCK ; 11.057 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[3] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:STRB_LOCK ; 11.057 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[4] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:STRB_LOCK ; 11.057 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[6] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:STRB_LOCK ; 11.057 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|RCV_STATE.IDLE ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:STRB_LOCK ; 11.033 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_LOCK ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:STRB_LOCK ; 11.033 ; +; CLK33M ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_LOCK ; 10.900 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|RCV_STATE.IDLE ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_LOCK ; 10.900 ; +; CLK33M ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[0] ; 10.875 ; +; CLK33M ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[1] ; 10.875 ; +; CLK33M ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[2] ; 10.875 ; +; CLK33M ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[3] ; 10.875 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|RCV_STATE.IDLE ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[0] ; 10.875 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|RCV_STATE.IDLE ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[1] ; 10.875 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|RCV_STATE.IDLE ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[2] ; 10.875 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|RCV_STATE.IDLE ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[3] ; 10.875 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[5] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[0] ; 10.875 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[5] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[1] ; 10.875 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[5] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[2] ; 10.875 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[5] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[3] ; 10.875 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_LOCK ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[0] ; 10.875 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_LOCK ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[1] ; 10.875 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_LOCK ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[2] ; 10.875 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_LOCK ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[3] ; 10.875 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_LOCK ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[4] ; 10.875 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[1] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[0] ; 10.875 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[2] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[0] ; 10.875 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[2] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[1] ; 10.875 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[3] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[0] ; 10.875 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[3] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[1] ; 10.875 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[3] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[2] ; 10.875 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[4] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[0] ; 10.875 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[4] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[1] ; 10.875 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[4] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[2] ; 10.875 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[4] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[3] ; 10.875 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[6] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[0] ; 10.875 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[6] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[1] ; 10.875 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[6] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[2] ; 10.875 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[6] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[3] ; 10.875 ; +; CLK33M ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[5] ; 10.874 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|RCV_STATE.IDLE ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[5] ; 10.874 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[5] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[5] ; 10.874 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_LOCK ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[5] ; 10.874 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_LOCK ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[6] ; 10.874 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[6] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[5] ; 10.874 ; +; CLK33M ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[6] ; 10.823 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|RCV_STATE.IDLE ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[6] ; 10.823 ; +; CLK33M ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[4] ; 10.804 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|RCV_STATE.IDLE ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[4] ; 10.804 ; +; CLK33M ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|\CLKDIV:STRB_LOCK ; 10.801 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG[1] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|\CLKDIV:STRB_LOCK ; 10.801 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG[0] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|\CLKDIV:STRB_LOCK ; 10.801 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|\CLKDIV:CLK_DIVCNT[6] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|\CLKDIV:STRB_LOCK ; 10.801 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|\CLKDIV:CLK_DIVCNT[0] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|\CLKDIV:STRB_LOCK ; 10.801 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|\CLKDIV:CLK_DIVCNT[1] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|\CLKDIV:STRB_LOCK ; 10.801 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|\CLKDIV:CLK_DIVCNT[2] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|\CLKDIV:STRB_LOCK ; 10.801 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|\CLKDIV:CLK_DIVCNT[3] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|\CLKDIV:STRB_LOCK ; 10.801 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|\CLKDIV:CLK_DIVCNT[4] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|\CLKDIV:STRB_LOCK ; 10.801 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|\CLKDIV:CLK_DIVCNT[5] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|\CLKDIV:STRB_LOCK ; 10.801 ; +; CLK33M ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[0] ; 10.700 ; +; CLK33M ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[1] ; 10.700 ; +; CLK33M ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[2] ; 10.700 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|RCV_STATE.IDLE ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[0] ; 10.700 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|RCV_STATE.IDLE ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[1] ; 10.700 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|RCV_STATE.IDLE ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[2] ; 10.700 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[5] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[0] ; 10.700 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[5] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[1] ; 10.700 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[5] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[2] ; 10.700 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_LOCK ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[0] ; 10.700 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_LOCK ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[1] ; 10.700 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_LOCK ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[2] ; 10.700 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[1] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[0] ; 10.700 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[2] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[0] ; 10.700 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[2] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[1] ; 10.700 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[3] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[0] ; 10.700 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[3] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[1] ; 10.700 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[3] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[2] ; 10.700 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[4] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[0] ; 10.700 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[4] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[1] ; 10.700 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[4] ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[2] ; 10.700 ; ++--------------------------------------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+ + + ++-----------------+ +; Fitter Messages ; ++-----------------+ +Info: ******************************************************************* +Info: Running Quartus II 32-bit Fitter + Info: Version 12.0 Build 263 08/02/2012 Service Pack 2 SJ Web Edition + Info: Processing started: Sat Oct 20 18:22:24 2012 +Info: Command: quartus_fit --read_settings_files=off --write_settings_files=off firebeei1 -c firebee1 +Warning (20028): Parallel compilation is not licensed and has been disabled +Info (119006): Selected device EP3C40F484C6 for design "firebee1" +Info (21077): Core supply voltage is 1.2V +Info (21077): Low junction temperature is 0 degrees C +Info (21077): High junction temperature is 85 degrees C +Info (15535): Implemented PLL "altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|pll1" as Cyclone III PLL type + Info (15099): Implementing clock multiplication of 1, clock division of 66, and phase shift of 0 degrees (0 ps) for altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|clk[0] port + Info (15099): Implementing clock multiplication of 67, clock division of 900, and phase shift of 0 degrees (0 ps) for altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|clk[1] port + Info (15099): Implementing clock multiplication of 67, clock division of 90, and phase shift of 0 degrees (0 ps) for altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|clk[2] port +Info (15575): None of the inputs fed by the compensated output clock of PLL "altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|pll1" in Source Synchronous mode are set as the compensated input + Info (15574): Input "nRD_DATA" that is fed by the compensated output clock of PLL "altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|pll1" in Source Synchronous mode has been set as a compensated input +Warning (15536): Implemented PLL "altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|pll1" as Cyclone III PLL type, but with warnings + Warning (15559): Can't achieve requested value multiplication of 16 for clock output altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[3] of parameter multiplication factor -- achieved value of multiplication of 109 + Warning (15559): Can't achieve requested value division of 11 for clock output altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[3] of parameter division factor -- achieved value of division of 75 + Info (15099): Implementing clock multiplication of 109, clock division of 1800, and phase shift of 0 degrees (0 ps) for altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[0] port + Info (15099): Implementing clock multiplication of 109, clock division of 225, and phase shift of 0 degrees (0 ps) for altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[1] port + Info (15099): Implementing clock multiplication of 109, clock division of 144, and phase shift of 0 degrees (0 ps) for altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[2] port + Info (15099): Implementing clock multiplication of 109, clock division of 75, and phase shift of 0 degrees (0 ps) for altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[3] port +Info (15575): None of the inputs fed by the compensated output clock of PLL "altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|pll1" in Source Synchronous mode are set as the compensated input + Info (15574): Input "MAIN_CLK" that is fed by the compensated output clock of PLL "altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|pll1" in Source Synchronous mode has been set as a compensated input +Info (15535): Implemented PLL "altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|pll1" as Cyclone III PLL type + Info (15099): Implementing clock multiplication of 4, clock division of 1, and phase shift of 240 degrees (5051 ps) for altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[0] port + Info (15099): Implementing clock multiplication of 4, clock division of 1, and phase shift of 0 degrees (0 ps) for altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[1] port + Info (15099): Implementing clock multiplication of 4, clock division of 1, and phase shift of 180 degrees (3788 ps) for altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[2] port + Info (15099): Implementing clock multiplication of 4, clock division of 1, and phase shift of 105 degrees (2210 ps) for altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[3] port + Info (15099): Implementing clock multiplication of 2, clock division of 1, and phase shift of 270 degrees (11364 ps) for altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[4] port +Info (15535): Implemented PLL "altpll4:inst22|altpll:altpll_component|altpll_r4n2:auto_generated|pll1" as Cyclone III PLL type + Info (15099): Implementing clock multiplication of 2, clock division of 1, and phase shift of 0 degrees (0 ps) for altpll4:inst22|altpll:altpll_component|altpll_r4n2:auto_generated|clk[0] port +Critical Warning (15042): The input clock frequency specification of PLL "altpll4:inst22|altpll:altpll_component|altpll_r4n2:auto_generated|pll1" is different from the output clock frequency specification of the source PLLs that are driving it + Critical Warning (15043): Input port inclk[0] of PLL "altpll4:inst22|altpll:altpll_component|altpll_r4n2:auto_generated|pll1" and its source clk[3] (the output port of PLL "altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|pll1") have different specified frequencies, 48.0 MHz and 48.0 MHz respectively +Info (171003): Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time +Warning (292013): Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature. +Info (176444): Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices + Info (176445): Device EP3C16F484C6 is compatible + Info (176445): Device EP3C55F484C6 is compatible + Info (176445): Device EP3C80F484C6 is compatible +Info (169124): Fitter converted 7 user pins into dedicated programming pins + Info (169125): Pin ~ALTERA_ASDO_DATA1~ is reserved at location D1 + Info (169125): Pin ~ALTERA_FLASH_nCE_nCSO~ is reserved at location E2 + Info (169125): Pin ~ALTERA_DCLK~ is reserved at location K2 + Info (169125): Pin ~ALTERA_DATA0~ is reserved at location K1 + Info (169125): Pin ~ALTERA_DEV_OE~ is reserved at location N22 + Info (169125): Pin ~ALTERA_DEV_CLRn~ is reserved at location N21 + Info (169125): Pin ~ALTERA_nCEO~ is reserved at location K22 +Warning (15714): Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details +Info (176045): Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements. +Warning (176127): The parameters of the PLL altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|pll1 and the PLL altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|pll1 do not have the same values - hence these PLLs cannot be merged + Info (176120): The values of the parameter "M" do not match for the PLL atoms altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|pll1 and PLL altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|pll1 + Info (176121): The value of the parameter "M" for the PLL atom altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|pll1 is 67 + Info (176121): The value of the parameter "M" for the PLL atom altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|pll1 is 109 + Info (176120): The values of the parameter "N" do not match for the PLL atoms altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|pll1 and PLL altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|pll1 + Info (176121): The value of the parameter "N" for the PLL atom altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|pll1 is 6 + Info (176121): The value of the parameter "N" for the PLL atom altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|pll1 is 3 + Info (176120): The values of the parameter "LOOP FILTER R" do not match for the PLL atoms altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|pll1 and PLL altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|pll1 + Info (176121): The value of the parameter "LOOP FILTER R" for the PLL atom altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|pll1 is 12000 + Info (176121): The value of the parameter "LOOP FILTER R" for the PLL atom altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|pll1 is 10000 + Info (176120): The values of the parameter "VCO POST SCALE" do not match for the PLL atoms altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|pll1 and PLL altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|pll1 + Info (176121): The value of the parameter "VCO POST SCALE" for the PLL atom altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|pll1 is 2 + Info (176121): The value of the parameter "VCO POST SCALE" for the PLL atom altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|pll1 is 1 + Info (176120): The values of the parameter "Min VCO Period" do not match for the PLL atoms altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|pll1 and PLL altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|pll1 + Info (176121): The value of the parameter "Min VCO Period" for the PLL atom altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|pll1 is 1538 + Info (176121): The value of the parameter "Min VCO Period" for the PLL atom altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|pll1 is 769 + Info (176120): The values of the parameter "Max VCO Period" do not match for the PLL atoms altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|pll1 and PLL altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|pll1 + Info (176121): The value of the parameter "Max VCO Period" for the PLL atom altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|pll1 is 3333 + Info (176121): The value of the parameter "Max VCO Period" for the PLL atom altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|pll1 is 1666 + Info (176120): The values of the parameter "Center VCO Period" do not match for the PLL atoms altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|pll1 and PLL altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|pll1 + Info (176121): The value of the parameter "Center VCO Period" for the PLL atom altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|pll1 is 1538 + Info (176121): The value of the parameter "Center VCO Period" for the PLL atom altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|pll1 is 769 + Info (176120): The values of the parameter "Min Lock Period" do not match for the PLL atoms altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|pll1 and PLL altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|pll1 + Info (176121): The value of the parameter "Min Lock Period" for the PLL atom altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|pll1 is 17174 + Info (176121): The value of the parameter "Min Lock Period" for the PLL atom altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|pll1 is 27940 + Info (176120): The values of the parameter "Max Lock Period" do not match for the PLL atoms altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|pll1 and PLL altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|pll1 + Info (176121): The value of the parameter "Max Lock Period" for the PLL atom altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|pll1 is 30864 + Info (176121): The value of the parameter "Max Lock Period" for the PLL atom altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|pll1 is 59523 + Info (176120): The values of the parameter "Compensate Clock" do not match for the PLL atoms altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|pll1 and PLL altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|pll1 + Info (176121): The value of the parameter "Compensate Clock" for the PLL atom altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|pll1 is clock0 + Info (176121): The value of the parameter "Compensate Clock" for the PLL atom altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|pll1 is clock1 +Warning (15536): Implemented PLL "altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|pll1" as Cyclone III PLL type, but with warnings + Warning (15559): Can't achieve requested value multiplication of 16 for clock output altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[3] of parameter multiplication factor -- achieved value of multiplication of 109 + Warning (15559): Can't achieve requested value division of 11 for clock output altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[3] of parameter division factor -- achieved value of division of 75 + Info (15099): Implementing clock multiplication of 109, clock division of 1800, and phase shift of 0 degrees (0 ps) for altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[0] port + Info (15099): Implementing clock multiplication of 109, clock division of 225, and phase shift of 0 degrees (0 ps) for altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[1] port + Info (15099): Implementing clock multiplication of 109, clock division of 144, and phase shift of 0 degrees (0 ps) for altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[2] port + Info (15099): Implementing clock multiplication of 109, clock division of 75, and phase shift of 0 degrees (0 ps) for altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[3] port +Info (15535): Implemented PLL "altpll4:inst22|altpll:altpll_component|altpll_r4n2:auto_generated|pll1" as Cyclone III PLL type + Info (15099): Implementing clock multiplication of 2, clock division of 1, and phase shift of 0 degrees (0 ps) for altpll4:inst22|altpll:altpll_component|altpll_r4n2:auto_generated|clk[0] port +Warning (335093): TimeQuest Timing Analyzer is analyzing 32 combinational loops as latches. +Info (332164): Evaluating HDL-embedded SDC commands + Info (332165): Entity dcfifo_0hh1 + Info (332166): set_false_path -from *rdptr_g* -to *ws_dgrp|dffpipe_id9:dffpipe17|dffe18a* + Info (332166): set_false_path -from *delayed_wrptr_g* -to *rs_dgwp|dffpipe_hd9:dffpipe12|dffe13a* + Info (332165): Entity dcfifo_3fh1 + Info (332166): set_false_path -from *rdptr_g* -to *ws_dgrp|dffpipe_kd9:dffpipe15|dffe16a* + Info (332166): set_false_path -from *delayed_wrptr_g* -to *rs_dgwp|dffpipe_jd9:dffpipe12|dffe13a* + Info (332165): Entity dcfifo_8fi1 + Info (332166): set_false_path -from *rdptr_g* -to *ws_dgrp|dffpipe_re9:dffpipe19|dffe20a* + Info (332166): set_false_path -from *delayed_wrptr_g* -to *rs_dgwp|dffpipe_qe9:dffpipe12|dffe13a* +Warning (332173): Ignored filter: *rs_dgwp|dffpipe_qe9:dffpipe12|dffe13a* could not be matched with a clock or keeper or register or port or pin or cell or partition +Warning (332048): Ignored set_false_path: Argument is not an object ID +Critical Warning (332012): Synopsys Design Constraints File file not found: 'firebee1.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. +Info (336004): TimeQuest will use the Classic Timing Analyzer's FMAX_REQUIREMENT assignment (or --fmax command-line argument) as default timing requirement. Any other Classic Timing Analyzer assignment will be ignored. +Info (332144): No user constrained generated clocks found in the design +Info (332144): No user constrained base clocks found in the design +Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty" +Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties. +Warning (332056): PLL cross checking found inconsistent PLL clock settings: + Warning (332056): Clock: inst22|altpll_component|auto_generated|pll1|clk[0] with master clock period: 20.850 found on PLL node: inst22|altpll_component|auto_generated|pll1|clk[0] does not match the master clock period requirement: 20.833 +Info (332129): Detected timing requirements -- optimizing circuit to achieve only the specified requirements +Info (332111): Found 24 clocks + Info (332111): Period Clock Name + Info (332111): ======== ============ + Info (332111): 30.303 CLK33M + Info (332111): 1.000 DVI_INT + Info (332111): 1.000 E0_INT + Info (332111): 7.575 inst12|altpll_component|auto_generated|pll1|clk[0] + Info (332111): 7.575 inst12|altpll_component|auto_generated|pll1|clk[1] + Info (332111): 7.575 inst12|altpll_component|auto_generated|pll1|clk[2] + Info (332111): 7.575 inst12|altpll_component|auto_generated|pll1|clk[3] + Info (332111): 15.151 inst12|altpll_component|auto_generated|pll1|clk[4] + Info (332111): 500.416 inst13|altpll_component|auto_generated|pll1|clk[0] + Info (332111): 62.552 inst13|altpll_component|auto_generated|pll1|clk[1] + Info (332111): 40.033 inst13|altpll_component|auto_generated|pll1|clk[2] + Info (332111): 20.850 inst13|altpll_component|auto_generated|pll1|clk[3] + Info (332111): 10.425 inst22|altpll_component|auto_generated|pll1|clk[0] + Info (332111): 1999.998 inst|altpll_component|auto_generated|pll1|clk[0] + Info (332111): 407.055 inst|altpll_component|auto_generated|pll1|clk[1] + Info (332111): 40.705 inst|altpll_component|auto_generated|pll1|clk[2] + Info (332111): 30.303 MAIN_CLK + Info (332111): 1.000 nPCI_INTA + Info (332111): 1.000 nPCI_INTB + Info (332111): 1.000 nPCI_INTC + Info (332111): 1.000 nPCI_INTD + Info (332111): 1.000 PIC_INT + Info (332111): 1.000 Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|HSYNC + Info (332111): 1.000 Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VSYNC +Info (176353): Automatically promoted node altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|clk[0] (placed in counter C1 of PLL_3) + Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G14 +Info (176353): Automatically promoted node altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|clk[1] (placed in counter C2 of PLL_3) + Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G12 +Info (176353): Automatically promoted node altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|clk[2] (placed in counter C3 of PLL_3) + Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G13 +Info (176353): Automatically promoted node altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[0] (placed in counter C2 of PLL_1) + Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G2 +Info (176353): Automatically promoted node altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[1] (placed in counter C4 of PLL_1) + Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G4 +Info (176353): Automatically promoted node altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[2] (placed in counter C3 of PLL_1) + Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G3 +Info (176353): Automatically promoted node altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[3] (placed in counter C1 of PLL_1) + Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G1 +Info (176353): Automatically promoted node altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[4] (placed in counter C0 of PLL_1) + Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G0 +Info (176353): Automatically promoted node altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[0] (placed in counter C1 of PLL_4) + Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G16 +Info (176353): Automatically promoted node altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[1] (placed in counter C2 of PLL_4) + Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G17 +Info (176353): Automatically promoted node altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[2] (placed in counter C3 of PLL_4) + Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G18 +Info (176353): Automatically promoted node altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[3] (placed in counter C4 of PLL_4) + Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G19 +Info (176353): Automatically promoted node altpll4:inst22|altpll:altpll_component|altpll_r4n2:auto_generated|clk[0] (placed in counter C0 of PLL_2) + Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G8 +Info (176353): Automatically promoted node CLK33M~input (placed in PIN AB12 (CLK12, DIFFCLK_7n)) + Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G15 + Info (176356): Following destination nodes may be non-global or may not use global or regional clocks + Info (176357): Destination node Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|PIXEL_CLK~0 + Info (176357): Destination node Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|PIXEL_CLK~3 + Info (176357): Destination node Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CLK17M +Info (176353): Automatically promoted node inst25 + Info (176355): Automatically promoted destinations to use location or clock signal Global Clock + Info (176356): Following destination nodes may be non-global or may not use global or regional clocks + Info (176357): Destination node FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|nIDE_WR~reg0 + Info (176357): Destination node FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|nIDE_RD~reg0 + Info (176357): Destination node FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|MFM_In + Info (176357): Destination node FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|DTACK_OUTn + Info (176357): Destination node FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT|TDRE + Info (176357): Destination node FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|INT_PASS[11] + Info (176357): Destination node FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|INT_PASS[10] + Info (176357): Destination node FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|INT_PASS[14] + Info (176357): Destination node FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|INT_PASS[15] + Info (176357): Destination node FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|INT_PASS[12] + Info (176358): Non-global destination nodes limited to 10 nodes +Info (176353): Automatically promoted node Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|PIXEL_CLK + Info (176355): Automatically promoted destinations to use location or clock signal Global Clock +Info (176353): Automatically promoted node FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|CLR_FIFO + Info (176355): Automatically promoted destinations to use location or clock signal Global Clock +Info (176353): Automatically promoted node Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CLR_FIFO + Info (176355): Automatically promoted destinations to use location or clock signal Global Clock + Info (176356): Following destination nodes may be non-global or may not use global or regional clocks + Info (176357): Destination node Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|CLR_FIFO_SYNC +Info (176466): Following DDIO Input nodes are constrained by the Fitter to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[31]" is constrained to location LAB_X43_Y1_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[31]" is constrained to location LAB_X43_Y1_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[31]" is constrained to location LAB_X43_Y1_N0 to improve DDIO timing + Info (176467): Node "VD[31]~input" is constrained to location IOIBUF_X43_Y0_N1 to improve DDIO timing + Info (176467): Node "VD[31]" is constrained to location PIN U12 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[30]" is constrained to location LAB_X41_Y1_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[30]" is constrained to location LAB_X41_Y1_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[30]" is constrained to location LAB_X41_Y1_N0 to improve DDIO timing + Info (176467): Node "VD[30]~input" is constrained to location IOIBUF_X41_Y0_N29 to improve DDIO timing + Info (176467): Node "VD[30]" is constrained to location PIN V12 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[29]" is constrained to location LAB_X38_Y1_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[29]" is constrained to location LAB_X38_Y1_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[29]" is constrained to location LAB_X38_Y1_N0 to improve DDIO timing + Info (176467): Node "VD[29]~input" is constrained to location IOIBUF_X38_Y0_N22 to improve DDIO timing + Info (176467): Node "VD[29]" is constrained to location PIN AB13 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[28]" is constrained to location LAB_X43_Y1_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[28]" is constrained to location LAB_X43_Y1_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[28]" is constrained to location LAB_X43_Y1_N0 to improve DDIO timing + Info (176467): Node "VD[28]~input" is constrained to location IOIBUF_X43_Y0_N29 to improve DDIO timing + Info (176467): Node "VD[28]" is constrained to location PIN W13 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[27]" is constrained to location LAB_X48_Y1_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[27]" is constrained to location LAB_X48_Y1_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[27]" is constrained to location LAB_X48_Y1_N0 to improve DDIO timing + Info (176467): Node "VD[27]~input" is constrained to location IOIBUF_X48_Y0_N29 to improve DDIO timing + Info (176467): Node "VD[27]" is constrained to location PIN V13 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[26]" is constrained to location LAB_X38_Y1_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[26]" is constrained to location LAB_X38_Y1_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[26]" is constrained to location LAB_X38_Y1_N0 to improve DDIO timing + Info (176467): Node "VD[26]~input" is constrained to location IOIBUF_X38_Y0_N8 to improve DDIO timing + Info (176467): Node "VD[26]" is constrained to location PIN AB14 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[25]" is constrained to location LAB_X38_Y1_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[25]" is constrained to location LAB_X38_Y1_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[25]" is constrained to location LAB_X38_Y1_N0 to improve DDIO timing + Info (176467): Node "VD[25]~input" is constrained to location IOIBUF_X38_Y0_N15 to improve DDIO timing + Info (176467): Node "VD[25]" is constrained to location PIN AA14 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[24]" is constrained to location LAB_X43_Y1_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[24]" is constrained to location LAB_X43_Y1_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[24]" is constrained to location LAB_X43_Y1_N0 to improve DDIO timing + Info (176467): Node "VD[24]~input" is constrained to location IOIBUF_X43_Y0_N8 to improve DDIO timing + Info (176467): Node "VD[24]" is constrained to location PIN AB15 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[23]" is constrained to location LAB_X45_Y1_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[23]" is constrained to location LAB_X45_Y1_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[23]" is constrained to location LAB_X45_Y1_N0 to improve DDIO timing + Info (176467): Node "VD[23]~input" is constrained to location IOIBUF_X45_Y0_N15 to improve DDIO timing + Info (176467): Node "VD[23]" is constrained to location PIN AB16 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[22]" is constrained to location LAB_X48_Y1_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[22]" is constrained to location LAB_X48_Y1_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[22]" is constrained to location LAB_X48_Y1_N0 to improve DDIO timing + Info (176467): Node "VD[22]~input" is constrained to location IOIBUF_X48_Y0_N22 to improve DDIO timing + Info (176467): Node "VD[22]" is constrained to location PIN W14 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[21]" is constrained to location LAB_X50_Y1_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[21]" is constrained to location LAB_X50_Y1_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[21]" is constrained to location LAB_X50_Y1_N0 to improve DDIO timing + Info (176467): Node "VD[21]~input" is constrained to location IOIBUF_X50_Y0_N1 to improve DDIO timing + Info (176467): Node "VD[21]" is constrained to location PIN V15 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[20]" is constrained to location LAB_X50_Y1_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[20]" is constrained to location LAB_X50_Y1_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[20]" is constrained to location LAB_X50_Y1_N0 to improve DDIO timing + Info (176467): Node "VD[20]~input" is constrained to location IOIBUF_X50_Y0_N29 to improve DDIO timing + Info (176467): Node "VD[20]" is constrained to location PIN U13 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[19]" is constrained to location LAB_X50_Y1_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[19]" is constrained to location LAB_X50_Y1_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[19]" is constrained to location LAB_X50_Y1_N0 to improve DDIO timing + Info (176467): Node "VD[19]~input" is constrained to location IOIBUF_X50_Y0_N22 to improve DDIO timing + Info (176467): Node "VD[19]" is constrained to location PIN V14 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[18]" is constrained to location LAB_X38_Y1_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[18]" is constrained to location LAB_X38_Y1_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[18]" is constrained to location LAB_X38_Y1_N0 to improve DDIO timing + Info (176467): Node "VD[18]~input" is constrained to location IOIBUF_X38_Y0_N29 to improve DDIO timing + Info (176467): Node "VD[18]" is constrained to location PIN AA13 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[17]" is constrained to location LAB_X43_Y1_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[17]" is constrained to location LAB_X43_Y1_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[17]" is constrained to location LAB_X43_Y1_N0 to improve DDIO timing + Info (176467): Node "VD[17]~input" is constrained to location IOIBUF_X43_Y0_N22 to improve DDIO timing + Info (176467): Node "VD[17]" is constrained to location PIN Y13 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[16]" is constrained to location LAB_X45_Y1_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[16]" is constrained to location LAB_X45_Y1_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[16]" is constrained to location LAB_X45_Y1_N0 to improve DDIO timing + Info (176467): Node "VD[16]~input" is constrained to location IOIBUF_X45_Y0_N8 to improve DDIO timing + Info (176467): Node "VD[16]" is constrained to location PIN T12 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[15]" is constrained to location LAB_X66_Y15_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[15]" is constrained to location LAB_X66_Y15_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[15]" is constrained to location LAB_X66_Y15_N0 to improve DDIO timing + Info (176467): Node "VD[15]~input" is constrained to location IOIBUF_X67_Y15_N8 to improve DDIO timing + Info (176467): Node "VD[15]" is constrained to location PIN N20 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[14]" is constrained to location LAB_X66_Y13_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[14]" is constrained to location LAB_X66_Y13_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[14]" is constrained to location LAB_X66_Y13_N0 to improve DDIO timing + Info (176467): Node "VD[14]~input" is constrained to location IOIBUF_X67_Y13_N8 to improve DDIO timing + Info (176467): Node "VD[14]" is constrained to location PIN R22 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[13]" is constrained to location LAB_X66_Y14_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[13]" is constrained to location LAB_X66_Y14_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[13]" is constrained to location LAB_X66_Y14_N0 to improve DDIO timing + Info (176467): Node "VD[13]~input" is constrained to location IOIBUF_X67_Y14_N22 to improve DDIO timing + Info (176467): Node "VD[13]" is constrained to location PIN P20 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[12]" is constrained to location LAB_X66_Y17_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[12]" is constrained to location LAB_X66_Y17_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[12]" is constrained to location LAB_X66_Y17_N0 to improve DDIO timing + Info (176467): Node "VD[12]~input" is constrained to location IOIBUF_X67_Y17_N22 to improve DDIO timing + Info (176467): Node "VD[12]" is constrained to location PIN N17 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[11]" is constrained to location LAB_X66_Y13_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[11]" is constrained to location LAB_X66_Y13_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[11]" is constrained to location LAB_X66_Y13_N0 to improve DDIO timing + Info (176467): Node "VD[11]~input" is constrained to location IOIBUF_X67_Y13_N1 to improve DDIO timing + Info (176467): Node "VD[11]" is constrained to location PIN R21 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[10]" is constrained to location LAB_X66_Y10_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[10]" is constrained to location LAB_X66_Y10_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[10]" is constrained to location LAB_X66_Y10_N0 to improve DDIO timing + Info (176467): Node "VD[10]~input" is constrained to location IOIBUF_X67_Y10_N15 to improve DDIO timing + Info (176467): Node "VD[10]" is constrained to location PIN P17 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[9]" is constrained to location LAB_X66_Y12_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[9]" is constrained to location LAB_X66_Y12_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[9]" is constrained to location LAB_X66_Y12_N0 to improve DDIO timing + Info (176467): Node "VD[9]~input" is constrained to location IOIBUF_X67_Y12_N22 to improve DDIO timing + Info (176467): Node "VD[9]" is constrained to location PIN R18 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[8]" is constrained to location LAB_X66_Y10_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[8]" is constrained to location LAB_X66_Y10_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[8]" is constrained to location LAB_X66_Y10_N0 to improve DDIO timing + Info (176467): Node "VD[8]~input" is constrained to location IOIBUF_X67_Y10_N8 to improve DDIO timing + Info (176467): Node "VD[8]" is constrained to location PIN V22 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[7]" is constrained to location LAB_X66_Y11_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[7]" is constrained to location LAB_X66_Y11_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[7]" is constrained to location LAB_X66_Y11_N0 to improve DDIO timing + Info (176467): Node "VD[7]~input" is constrained to location IOIBUF_X67_Y11_N1 to improve DDIO timing + Info (176467): Node "VD[7]" is constrained to location PIN U21 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[6]" is constrained to location LAB_X66_Y12_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[6]" is constrained to location LAB_X66_Y12_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[6]" is constrained to location LAB_X66_Y12_N0 to improve DDIO timing + Info (176467): Node "VD[6]~input" is constrained to location IOIBUF_X67_Y12_N15 to improve DDIO timing + Info (176467): Node "VD[6]" is constrained to location PIN R19 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[5]" is constrained to location LAB_X66_Y10_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[5]" is constrained to location LAB_X66_Y10_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[5]" is constrained to location LAB_X66_Y10_N0 to improve DDIO timing + Info (176467): Node "VD[5]~input" is constrained to location IOIBUF_X67_Y10_N22 to improve DDIO timing + Info (176467): Node "VD[5]" is constrained to location PIN R17 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[4]" is constrained to location LAB_X66_Y14_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[4]" is constrained to location LAB_X66_Y14_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[4]" is constrained to location LAB_X66_Y14_N0 to improve DDIO timing + Info (176467): Node "VD[4]~input" is constrained to location IOIBUF_X67_Y14_N1 to improve DDIO timing + Info (176467): Node "VD[4]" is constrained to location PIN P21 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[3]" is constrained to location LAB_X66_Y11_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[3]" is constrained to location LAB_X66_Y11_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[3]" is constrained to location LAB_X66_Y11_N0 to improve DDIO timing + Info (176467): Node "VD[3]~input" is constrained to location IOIBUF_X67_Y11_N22 to improve DDIO timing + Info (176467): Node "VD[3]" is constrained to location PIN R20 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[2]" is constrained to location LAB_X66_Y14_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[2]" is constrained to location LAB_X66_Y14_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[2]" is constrained to location LAB_X66_Y14_N0 to improve DDIO timing + Info (176467): Node "VD[2]~input" is constrained to location IOIBUF_X67_Y14_N8 to improve DDIO timing + Info (176467): Node "VD[2]" is constrained to location PIN P22 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[1]" is constrained to location LAB_X66_Y18_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[1]" is constrained to location LAB_X66_Y18_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[1]" is constrained to location LAB_X66_Y18_N0 to improve DDIO timing + Info (176467): Node "VD[1]~input" is constrained to location IOIBUF_X67_Y18_N1 to improve DDIO timing + Info (176467): Node "VD[1]" is constrained to location PIN M21 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[0]" is constrained to location LAB_X66_Y18_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[0]" is constrained to location LAB_X66_Y18_N0 to improve DDIO timing + Info (176467): Node "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[0]" is constrained to location LAB_X66_Y18_N0 to improve DDIO timing + Info (176467): Node "VD[0]~input" is constrained to location IOIBUF_X67_Y18_N8 to improve DDIO timing + Info (176467): Node "VD[0]" is constrained to location PIN M22 to improve DDIO timing +Info (176233): Starting register packing +Extra Info (176273): Performing register packing on registers with non-logic cell location assignments +Extra Info (176274): Completed register packing on registers with non-logic cell location assignments +Extra Info (176236): Started Fast Input/Output/OE register processing +Extra Info (176237): Finished Fast Input/Output/OE register processing +Extra Info (176248): Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density +Extra Info (176249): Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks +Info (176235): Finished register packing + Extra Info (176219): No registers were packed into other blocks +Warning (15062): PLL "altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|pll1" in Source Synchronous mode with compensated output clock set to clk[0] is not fully compensated because it does not feed an I/O input register +Warning (15055): PLL "altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|pll1" input clock inclk[0] is not fully compensated and may have reduced jitter performance because it is fed by a non-dedicated input + Info (15024): Input port INCLK[0] of node "altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|pll1" is driven by CLK33M~inputclkctrl which is OUTCLK output port of Clock control block type node CLK33M~inputclkctrl +Warning (15064): PLL "altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated|pll1" output port clk[2] feeds output pin "CLK24M576~output" via non-dedicated routing -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance +Warning (15064): PLL "altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|pll1" output port clk[2] feeds output pin "CLK25M~output" via non-dedicated routing -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance +Warning (15064): PLL "altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|pll1" output port clk[3] feeds output pin "CLKUSB~output" via non-dedicated routing -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance +Warning (15064): PLL "altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|pll1" output port clk[0] feeds output pin "DDR_CLK~output" via non-dedicated routing -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance +Warning (15064): PLL "altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|pll1" output port clk[0] feeds output pin "nDDR_CLK~output" via non-dedicated routing -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance +Warning (15064): PLL "altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|pll1" output port clk[0] feeds output pin "VDQS[0]~output" via non-dedicated routing -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance +Warning (15064): PLL "altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|pll1" output port clk[0] feeds output pin "VDQS[1]~output" via non-dedicated routing -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance +Warning (15064): PLL "altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|pll1" output port clk[0] feeds output pin "VDQS[2]~output" via non-dedicated routing -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance +Warning (15064): PLL "altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|pll1" output port clk[0] feeds output pin "VDQS[3]~output" via non-dedicated routing -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance +Warning (15055): PLL "altpll4:inst22|altpll:altpll_component|altpll_r4n2:auto_generated|pll1" input clock inclk[0] is not fully compensated and may have reduced jitter performance because it is fed by a non-dedicated input + Info (15024): Input port INCLK[0] of node "altpll4:inst22|altpll:altpll_component|altpll_r4n2:auto_generated|pll1" is driven by altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[3]~clkctrl which is OUTCLK output port of Clock control block type node altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|clk[3]~clkctrl +Info (128000): Starting physical synthesis optimizations for speed +Info (128001): Physical synthesis optimizations for speed complete: elapsed CPU time is 00:00:12 +Info (171121): Fitter preparation operations ending: elapsed time is 00:00:35 +Info (170189): Fitter placement preparation operations beginning +Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:20 +Info (170191): Fitter placement operations beginning +Info (170137): Fitter placement was successful +Info (170192): Fitter placement operations ending: elapsed time is 00:01:10 +Info (128000): Starting physical synthesis optimizations for speed +Info (128001): Physical synthesis optimizations for speed complete: elapsed CPU time is 00:00:09 +Info (170193): Fitter routing operations beginning +Info (170089): 8e+03 ns of routing delay (approximately 11.2% of available device routing delay) has been added to meet hold timing. For more information, refer to the Estimated Delay Added for Hold Timing section in the Fitter report. +Info (170195): Router estimated average interconnect usage is 18% of the available device resources + Info (170196): Router estimated peak interconnect usage is 61% of the available device resources in the region that extends from location X34_Y11 to location X44_Y21 +Info (188005): Design requires adding a large amount of routing delay for some signals to meet hold time requirements, and there is an excessive demand for the available routing resources. The Fitter is reducing the routing delays of some signals to help the routing algorithm converge, but doing so may cause hold time failures. For more information, refer to the "Estimated Delay Added for Hold Timing" section in the Fitter report. +Info (170194): Fitter routing operations ending: elapsed time is 00:13:48 +Info (170199): The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. + Info (170201): Optimizations that may affect the design's routability were skipped +Info (334003): Started post-fitting delay annotation +Info (334004): Delay annotation completed successfully +Info (334003): Started post-fitting delay annotation +Info (334004): Delay annotation completed successfully +Warning (15062): PLL "altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated|pll1" in Source Synchronous mode with compensated output clock set to clk[1] is not fully compensated because it does not feed an I/O input register +Warning (15062): PLL "altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|pll1" in Source Synchronous mode with compensated output clock set to clk[0] is not fully compensated because it does not feed an I/O input register +Warning (171167): Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information. +Warning (169244): Total number of single-ended output or bi-directional pins in bank 4 have exceeded the recommended amount in a bank where dedicated LVDS, RSDS, or mini-LVDS outputs exists. Refer to the pad placement and DC guidelines section in the Cyclone III Device I/O Features chapter of the Cyclone III Device Handbook for details on this condition. + Info (169245): There are 32 output pin(s) with I/O standard 2.5 V and current strength 12mA + Info (169220): Location AA13 (pad PAD_208): Pin VD[18] of type bi-directional uses 2.5 V I/O standard + Info (169220): Location AB13 (pad PAD_209): Pin VD[29] of type bi-directional uses 2.5 V I/O standard + Info (169220): Location AA14 (pad PAD_210): Pin VD[25] of type bi-directional uses 2.5 V I/O standard + Info (169220): Location AB14 (pad PAD_211): Pin VD[26] of type bi-directional uses 2.5 V I/O standard + Info (169220): Location V12 (pad PAD_213): Pin VD[30] of type bi-directional uses 2.5 V I/O standard + Info (169220): Location W13 (pad PAD_218): Pin VD[28] of type bi-directional uses 2.5 V I/O standard + Info (169220): Location Y13 (pad PAD_219): Pin VD[17] of type bi-directional uses 2.5 V I/O standard + Info (169220): Location AA15 (pad PAD_220): Pin VDQS[0] of type bi-directional uses 2.5 V I/O standard + Info (169220): Location AB15 (pad PAD_221): Pin VD[24] of type bi-directional uses 2.5 V I/O standard + Info (169220): Location U12 (pad PAD_222): Pin VD[31] of type bi-directional uses 2.5 V I/O standard + Info (169220): Location AA16 (pad PAD_224): Pin VDM[0] of type output uses 2.5 V I/O standard + Info (169220): Location AB16 (pad PAD_225): Pin VD[23] of type bi-directional uses 2.5 V I/O standard + Info (169220): Location T12 (pad PAD_226): Pin VD[16] of type bi-directional uses 2.5 V I/O standard + Info (169220): Location V13 (pad PAD_228): Pin VD[27] of type bi-directional uses 2.5 V I/O standard + Info (169220): Location W14 (pad PAD_229): Pin VD[22] of type bi-directional uses 2.5 V I/O standard + Info (169220): Location U13 (pad PAD_233): Pin VD[20] of type bi-directional uses 2.5 V I/O standard + Info (169220): Location V14 (pad PAD_234): Pin VD[19] of type bi-directional uses 2.5 V I/O standard + Info (169220): Location U15 (pad PAD_236): Pin VCKE of type output uses 2.5 V I/O standard + Info (169220): Location V15 (pad PAD_237): Pin VD[21] of type bi-directional uses 2.5 V I/O standard + Info (169220): Location W15 (pad PAD_239): Pin VDQS[1] of type bi-directional uses 2.5 V I/O standard + Info (169220): Location AB18 (pad PAD_242): Pin nVCAS of type output uses 2.5 V I/O standard + Info (169220): Location AA17 (pad PAD_243): Pin nDDR_CLK of type output uses 2.5 V I/O standard + Info (169220): Location AB17 (pad PAD_244): Pin DDR_CLK of type output uses 2.5 V I/O standard + Info (169220): Location AA18 (pad PAD_245): Pin VA[12] of type output uses 2.5 V I/O standard + Info (169220): Location AA19 (pad PAD_252): Pin BA[1] of type output uses 2.5 V I/O standard + Info (169220): Location AB19 (pad PAD_253): Pin VA[9] of type output uses 2.5 V I/O standard + Info (169220): Location W17 (pad PAD_257): Pin nVRAS of type output uses 2.5 V I/O standard + Info (169220): Location Y17 (pad PAD_258): Pin nVWE of type output uses 2.5 V I/O standard + Info (169220): Location AA20 (pad PAD_259): Pin VA[7] of type output uses 2.5 V I/O standard + Info (169220): Location AB20 (pad PAD_260): Pin VA[8] of type output uses 2.5 V I/O standard + Info (169220): Location V16 (pad PAD_261): Pin VDM[1] of type output uses 2.5 V I/O standard + Info (169220): Location T16 (pad PAD_266): Pin VDQS[3] of type bi-directional uses 2.5 V I/O standard +Warning (169244): Total number of single-ended output or bi-directional pins in bank 5 have exceeded the recommended amount in a bank where dedicated LVDS, RSDS, or mini-LVDS outputs exists. Refer to the pad placement and DC guidelines section in the Cyclone III Device I/O Features chapter of the Cyclone III Device Handbook for details on this condition. + Info (169245): There are 30 output pin(s) with I/O standard 2.5 V and current strength 12mA + Info (169220): Location AA22 (pad PAD_273): Pin VA[4] of type output uses 2.5 V I/O standard + Info (169220): Location AA21 (pad PAD_274): Pin VA[6] of type output uses 2.5 V I/O standard + Info (169220): Location T17 (pad PAD_277): Pin VDM[3] of type output uses 2.5 V I/O standard + Info (169220): Location T18 (pad PAD_278): Pin nVCS of type output uses 2.5 V I/O standard + Info (169220): Location W20 (pad PAD_280): Pin VA[0] of type output uses 2.5 V I/O standard + Info (169220): Location W19 (pad PAD_285): Pin BA[0] of type output uses 2.5 V I/O standard + Info (169220): Location Y22 (pad PAD_288): Pin VA[3] of type output uses 2.5 V I/O standard + Info (169220): Location Y21 (pad PAD_289): Pin VA[5] of type output uses 2.5 V I/O standard + Info (169220): Location U20 (pad PAD_290): Pin VDM[2] of type output uses 2.5 V I/O standard + Info (169220): Location U19 (pad PAD_291): Pin VA[11] of type output uses 2.5 V I/O standard + Info (169220): Location W22 (pad PAD_292): Pin VA[1] of type output uses 2.5 V I/O standard + Info (169220): Location W21 (pad PAD_293): Pin VA[2] of type output uses 2.5 V I/O standard + Info (169220): Location R17 (pad PAD_301): Pin VD[5] of type bi-directional uses 2.5 V I/O standard + Info (169220): Location P17 (pad PAD_302): Pin VD[10] of type bi-directional uses 2.5 V I/O standard + Info (169220): Location V22 (pad PAD_303): Pin VD[8] of type bi-directional uses 2.5 V I/O standard + Info (169220): Location V21 (pad PAD_304): Pin VA[10] of type output uses 2.5 V I/O standard + Info (169220): Location R20 (pad PAD_305): Pin VD[3] of type bi-directional uses 2.5 V I/O standard + Info (169220): Location U22 (pad PAD_307): Pin VDQS[2] of type bi-directional uses 2.5 V I/O standard + Info (169220): Location U21 (pad PAD_308): Pin VD[7] of type bi-directional uses 2.5 V I/O standard + Info (169220): Location R18 (pad PAD_309): Pin VD[9] of type bi-directional uses 2.5 V I/O standard + Info (169220): Location R19 (pad PAD_310): Pin VD[6] of type bi-directional uses 2.5 V I/O standard + Info (169220): Location R22 (pad PAD_315): Pin VD[14] of type bi-directional uses 2.5 V I/O standard + Info (169220): Location R21 (pad PAD_316): Pin VD[11] of type bi-directional uses 2.5 V I/O standard + Info (169220): Location P20 (pad PAD_317): Pin VD[13] of type bi-directional uses 2.5 V I/O standard + Info (169220): Location P22 (pad PAD_319): Pin VD[2] of type bi-directional uses 2.5 V I/O standard + Info (169220): Location P21 (pad PAD_320): Pin VD[4] of type bi-directional uses 2.5 V I/O standard + Info (169220): Location N20 (pad PAD_323): Pin VD[15] of type bi-directional uses 2.5 V I/O standard + Info (169220): Location N17 (pad PAD_329): Pin VD[12] of type bi-directional uses 2.5 V I/O standard + Info (169220): Location M22 (pad PAD_333): Pin VD[0] of type bi-directional uses 2.5 V I/O standard + Info (169220): Location M21 (pad PAD_334): Pin VD[1] of type bi-directional uses 2.5 V I/O standard +Warning (169177): 145 pins must meet Altera requirements for 3.3-, 3.0-, and 2.5-V interfaces. For more information, refer to AN 447: Interfacing Cyclone III Devices with 3.3/3.0/2.5-V LVTTL/LVCMOS I/O Systems. + Info (169178): Pin nFB_BURST uses I/O standard 3.3-V LVTTL at T3 + Info (169178): Pin nACSI_DRQ uses I/O standard 3.3-V LVTTL at K7 + Info (169178): Pin nACSI_INT uses I/O standard 3.3-V LVTTL at J4 + Info (169178): Pin nSCSI_DRQ uses I/O standard 3.3-V LVTTL at U1 + Info (169178): Pin nSCSI_MSG uses I/O standard 3.3-V LVTTL at H2 + Info (169178): Pin nDCHG uses I/O standard 3.3-V LVTTL at C17 + Info (169178): Pin SD_DATA0 uses I/O standard 3.3-V LVTTL at B16 + Info (169178): Pin SD_DATA1 uses I/O standard 3.3-V LVTTL at A16 + Info (169178): Pin SD_DATA2 uses I/O standard 3.3-V LVTTL at B17 + Info (169178): Pin SD_CARD_DEDECT uses I/O standard 3.3-V LVTTL at M20 + Info (169178): Pin SD_WP uses I/O standard 3.3-V LVTTL at M19 + Info (169178): Pin nDACK0 uses I/O standard 3.3-V LVTTL at B12 + Info (169178): Pin WP_CF_CARD uses I/O standard 3.3-V LVTTL at T1 + Info (169178): Pin nSCSI_C_D uses I/O standard 3.3-V LVTTL at H1 + Info (169178): Pin nSCSI_I_O uses I/O standard 3.3-V LVTTL at J3 + Info (169178): Pin nFB_CS3 uses I/O standard 3.3-V LVTTL at V6 + Info (169178): Pin TOUT0 uses I/O standard 3.3-V LVTTL at T22 + Info (169178): Pin nMASTER uses I/O standard 3.3-V LVTTL at T21 + Info (169178): Pin FB_AD[31] uses I/O standard 3.3-V LVTTL at AA10 + Info (169178): Pin FB_AD[30] uses I/O standard 3.3-V LVTTL at Y10 + Info (169178): Pin FB_AD[29] uses I/O standard 3.3-V LVTTL at W10 + Info (169178): Pin FB_AD[28] uses I/O standard 3.3-V LVTTL at V11 + Info (169178): Pin FB_AD[27] uses I/O standard 3.3-V LVTTL at U11 + Info (169178): Pin FB_AD[26] uses I/O standard 3.3-V LVTTL at AB9 + Info (169178): Pin FB_AD[25] uses I/O standard 3.3-V LVTTL at AA9 + Info (169178): Pin FB_AD[24] uses I/O standard 3.3-V LVTTL at T11 + Info (169178): Pin FB_AD[23] uses I/O standard 3.3-V LVTTL at AB8 + Info (169178): Pin FB_AD[22] uses I/O standard 3.3-V LVTTL at AA8 + Info (169178): Pin FB_AD[21] uses I/O standard 3.3-V LVTTL at U10 + Info (169178): Pin FB_AD[20] uses I/O standard 3.3-V LVTTL at T10 + Info (169178): Pin FB_AD[19] uses I/O standard 3.3-V LVTTL at V10 + Info (169178): Pin FB_AD[18] uses I/O standard 3.3-V LVTTL at V9 + Info (169178): Pin FB_AD[17] uses I/O standard 3.3-V LVTTL at Y8 + Info (169178): Pin FB_AD[16] uses I/O standard 3.3-V LVTTL at AB7 + Info (169178): Pin FB_AD[15] uses I/O standard 3.3-V LVTTL at AA7 + Info (169178): Pin FB_AD[14] uses I/O standard 3.3-V LVTTL at W8 + Info (169178): Pin FB_AD[13] uses I/O standard 3.3-V LVTTL at V8 + Info (169178): Pin FB_AD[12] uses I/O standard 3.3-V LVTTL at U9 + Info (169178): Pin FB_AD[11] uses I/O standard 3.3-V LVTTL at Y7 + Info (169178): Pin FB_AD[10] uses I/O standard 3.3-V LVTTL at W7 + Info (169178): Pin FB_AD[9] uses I/O standard 3.3-V LVTTL at AB5 + Info (169178): Pin FB_AD[8] uses I/O standard 3.3-V LVTTL at AA5 + Info (169178): Pin FB_AD[7] uses I/O standard 3.3-V LVTTL at AB4 + Info (169178): Pin FB_AD[6] uses I/O standard 3.3-V LVTTL at AA4 + Info (169178): Pin FB_AD[5] uses I/O standard 3.3-V LVTTL at V7 + Info (169178): Pin FB_AD[4] uses I/O standard 3.3-V LVTTL at W6 + Info (169178): Pin FB_AD[3] uses I/O standard 3.3-V LVTTL at AB3 + Info (169178): Pin FB_AD[2] uses I/O standard 3.3-V LVTTL at AA3 + Info (169178): Pin FB_AD[1] uses I/O standard 3.3-V LVTTL at Y6 + Info (169178): Pin FB_AD[0] uses I/O standard 3.3-V LVTTL at Y3 + Info (169178): Pin IO[17] uses I/O standard 3.3-V LVTTL at B13 + Info (169178): Pin IO[16] uses I/O standard 3.3-V LVTTL at A13 + Info (169178): Pin IO[15] uses I/O standard 3.3-V LVTTL at B14 + Info (169178): Pin IO[14] uses I/O standard 3.3-V LVTTL at A14 + Info (169178): Pin IO[13] uses I/O standard 3.3-V LVTTL at E13 + Info (169178): Pin IO[12] uses I/O standard 3.3-V LVTTL at D13 + Info (169178): Pin IO[11] uses I/O standard 3.3-V LVTTL at C13 + Info (169178): Pin IO[10] uses I/O standard 3.3-V LVTTL at B15 + Info (169178): Pin IO[9] uses I/O standard 3.3-V LVTTL at A15 + Info (169178): Pin IO[8] uses I/O standard 3.3-V LVTTL at G10 + Info (169178): Pin IO[7] uses I/O standard 3.3-V LVTTL at C7 + Info (169178): Pin IO[6] uses I/O standard 3.3-V LVTTL at C8 + Info (169178): Pin IO[5] uses I/O standard 3.3-V LVTTL at E9 + Info (169178): Pin IO[4] uses I/O standard 3.3-V LVTTL at B6 + Info (169178): Pin IO[3] uses I/O standard 3.3-V LVTTL at A6 + Info (169178): Pin IO[2] uses I/O standard 3.3-V LVTTL at B7 + Info (169178): Pin IO[1] uses I/O standard 3.3-V LVTTL at A7 + Info (169178): Pin IO[0] uses I/O standard 3.3-V LVTTL at A8 + Info (169178): Pin SRD[15] uses I/O standard 3.3-V LVTTL at H10 + Info (169178): Pin SRD[14] uses I/O standard 3.3-V LVTTL at G9 + Info (169178): Pin SRD[13] uses I/O standard 3.3-V LVTTL at F10 + Info (169178): Pin SRD[12] uses I/O standard 3.3-V LVTTL at D10 + Info (169178): Pin SRD[11] uses I/O standard 3.3-V LVTTL at B10 + Info (169178): Pin SRD[10] uses I/O standard 3.3-V LVTTL at A9 + Info (169178): Pin SRD[9] uses I/O standard 3.3-V LVTTL at A10 + Info (169178): Pin SRD[8] uses I/O standard 3.3-V LVTTL at B9 + Info (169178): Pin SRD[7] uses I/O standard 3.3-V LVTTL at H11 + Info (169178): Pin SRD[6] uses I/O standard 3.3-V LVTTL at E10 + Info (169178): Pin SRD[5] uses I/O standard 3.3-V LVTTL at F9 + Info (169178): Pin SRD[4] uses I/O standard 3.3-V LVTTL at C10 + Info (169178): Pin SRD[3] uses I/O standard 3.3-V LVTTL at G11 + Info (169178): Pin SRD[2] uses I/O standard 3.3-V LVTTL at C6 + Info (169178): Pin SRD[1] uses I/O standard 3.3-V LVTTL at A5 + Info (169178): Pin SRD[0] uses I/O standard 3.3-V LVTTL at B5 + Info (169178): Pin SCSI_PAR uses I/O standard 3.3-V LVTTL at M7 + Info (169178): Pin nSCSI_SEL uses I/O standard 3.3-V LVTTL at M8 + Info (169178): Pin nSCSI_BUSY uses I/O standard 3.3-V LVTTL at N8 + Info (169178): Pin nSCSI_RST uses I/O standard 3.3-V LVTTL at N6 + Info (169178): Pin SD_CD_DATA3 uses I/O standard 3.3-V LVTTL at F13 + Info (169178): Pin SD_CMD_D1 uses I/O standard 3.3-V LVTTL at E14 + Info (169178): Pin ACSI_D[7] uses I/O standard 3.3-V LVTTL at H6 + Info (169178): Pin ACSI_D[6] uses I/O standard 3.3-V LVTTL at H7 + Info (169178): Pin ACSI_D[5] uses I/O standard 3.3-V LVTTL at D2 + Info (169178): Pin ACSI_D[4] uses I/O standard 3.3-V LVTTL at C1 + Info (169178): Pin ACSI_D[3] uses I/O standard 3.3-V LVTTL at C2 + Info (169178): Pin ACSI_D[2] uses I/O standard 3.3-V LVTTL at E3 + Info (169178): Pin ACSI_D[1] uses I/O standard 3.3-V LVTTL at G5 + Info (169178): Pin ACSI_D[0] uses I/O standard 3.3-V LVTTL at B1 + Info (169178): Pin LP_D[7] uses I/O standard 3.3-V LVTTL at G8 + Info (169178): Pin LP_D[6] uses I/O standard 3.3-V LVTTL at A3 + Info (169178): Pin LP_D[5] uses I/O standard 3.3-V LVTTL at B3 + Info (169178): Pin LP_D[4] uses I/O standard 3.3-V LVTTL at D6 + Info (169178): Pin LP_D[3] uses I/O standard 3.3-V LVTTL at E7 + Info (169178): Pin LP_D[2] uses I/O standard 3.3-V LVTTL at C3 + Info (169178): Pin LP_D[1] uses I/O standard 3.3-V LVTTL at C4 + Info (169178): Pin LP_D[0] uses I/O standard 3.3-V LVTTL at F7 + Info (169178): Pin SCSI_D[7] uses I/O standard 3.3-V LVTTL at K8 + Info (169178): Pin SCSI_D[6] uses I/O standard 3.3-V LVTTL at L8 + Info (169178): Pin SCSI_D[5] uses I/O standard 3.3-V LVTTL at G3 + Info (169178): Pin SCSI_D[4] uses I/O standard 3.3-V LVTTL at G4 + Info (169178): Pin SCSI_D[3] uses I/O standard 3.3-V LVTTL at F1 + Info (169178): Pin SCSI_D[2] uses I/O standard 3.3-V LVTTL at F2 + Info (169178): Pin SCSI_D[1] uses I/O standard 3.3-V LVTTL at E1 + Info (169178): Pin SCSI_D[0] uses I/O standard 3.3-V LVTTL at J6 + Info (169178): Pin nRSTO_MCF uses I/O standard 3.3-V LVTTL at B11 + Info (169178): Pin nFB_WR uses I/O standard 3.3-V LVTTL at T5 + Info (169178): Pin nFB_CS1 uses I/O standard 3.3-V LVTTL at T8 + Info (169178): Pin FB_SIZE1 uses I/O standard 3.3-V LVTTL at Y4 + Info (169178): Pin FB_SIZE0 uses I/O standard 3.3-V LVTTL at U8 + Info (169178): Pin FB_ALE uses I/O standard 3.3-V LVTTL at R7 + Info (169178): Pin nFB_CS2 uses I/O standard 3.3-V LVTTL at T9 + Info (169178): Pin MAIN_CLK uses I/O standard 3.3-V LVTTL at G2 + Info (169178): Pin nDACK1 uses I/O standard 3.3-V LVTTL at A12 + Info (169178): Pin nFB_OE uses I/O standard 3.3-V LVTTL at R6 + Info (169178): Pin IDE_RDY uses I/O standard 3.3-V LVTTL at Y1 + Info (169178): Pin CLK33M uses I/O standard 3.3-V LVTTL at AB12 + Info (169178): Pin HD_DD uses I/O standard 3.3-V LVTTL at F16 + Info (169178): Pin nINDEX uses I/O standard 3.3-V LVTTL at E16 + Info (169178): Pin RxD uses I/O standard 3.3-V LVTTL at H15 + Info (169178): Pin nWP uses I/O standard 3.3-V LVTTL at D19 + Info (169178): Pin LP_BUSY uses I/O standard 3.3-V LVTTL at G7 + Info (169178): Pin DCD uses I/O standard 3.3-V LVTTL at A19 + Info (169178): Pin CTS uses I/O standard 3.3-V LVTTL at H14 + Info (169178): Pin TRACK00 uses I/O standard 3.3-V LVTTL at C19 + Info (169178): Pin RI uses I/O standard 3.3-V LVTTL at B19 + Info (169178): Pin nPCI_INTD uses I/O standard 3.3-V LVTTL at P6 + Info (169178): Pin nPCI_INTC uses I/O standard 3.3-V LVTTL at V3 + Info (169178): Pin nPCI_INTB uses I/O standard 3.3-V LVTTL at V4 + Info (169178): Pin nPCI_INTA uses I/O standard 3.3-V LVTTL at AA1 + Info (169178): Pin DVI_INT uses I/O standard 3.3-V LVTTL at A11 + Info (169178): Pin PIC_INT uses I/O standard 3.3-V LVTTL at AA2 + Info (169178): Pin MIDI_IN uses I/O standard 3.3-V LVTTL at E12 + Info (169178): Pin PIC_AMKB_RX uses I/O standard 3.3-V LVTTL at L7 + Info (169178): Pin nRD_DATA uses I/O standard 3.3-V LVTTL at A20 + Info (169178): Pin AMKB_RX uses I/O standard 3.3-V LVTTL at Y2 +Warning (169064): Following 40 pins have no output enable or a GND or VCC output enable - later changes to this connectivity may change fitting results + Info (169065): Pin IO[17] has a permanently enabled output enable + Info (169065): Pin IO[16] has a permanently enabled output enable + Info (169065): Pin IO[15] has a permanently enabled output enable + Info (169065): Pin IO[14] has a permanently enabled output enable + Info (169065): Pin IO[13] has a permanently enabled output enable + Info (169065): Pin IO[12] has a permanently enabled output enable + Info (169065): Pin IO[11] has a permanently enabled output enable + Info (169065): Pin IO[10] has a permanently enabled output enable + Info (169065): Pin IO[9] has a permanently enabled output enable + Info (169065): Pin IO[8] has a permanently enabled output enable + Info (169065): Pin IO[7] has a permanently enabled output enable + Info (169065): Pin IO[6] has a permanently enabled output enable + Info (169065): Pin IO[5] has a permanently enabled output enable + Info (169065): Pin IO[4] has a permanently enabled output enable + Info (169065): Pin IO[3] has a permanently enabled output enable + Info (169065): Pin IO[2] has a permanently enabled output enable + Info (169065): Pin IO[1] has a permanently enabled output enable + Info (169065): Pin IO[0] has a permanently enabled output enable + Info (169065): Pin SCSI_PAR has a permanently disabled output enable + Info (169065): Pin nSCSI_SEL has a permanently enabled output enable + Info (169065): Pin nSCSI_BUSY has a permanently enabled output enable + Info (169065): Pin nSCSI_RST has a permanently disabled output enable + Info (169065): Pin SD_CD_DATA3 has a permanently disabled output enable + Info (169065): Pin SD_CMD_D1 has a permanently disabled output enable + Info (169065): Pin ACSI_D[7] has a permanently disabled output enable + Info (169065): Pin ACSI_D[6] has a permanently disabled output enable + Info (169065): Pin ACSI_D[5] has a permanently disabled output enable + Info (169065): Pin ACSI_D[4] has a permanently disabled output enable + Info (169065): Pin ACSI_D[3] has a permanently disabled output enable + Info (169065): Pin ACSI_D[2] has a permanently disabled output enable + Info (169065): Pin ACSI_D[1] has a permanently disabled output enable + Info (169065): Pin ACSI_D[0] has a permanently disabled output enable + Info (169065): Pin SCSI_D[7] has a permanently disabled output enable + Info (169065): Pin SCSI_D[6] has a permanently disabled output enable + Info (169065): Pin SCSI_D[5] has a permanently disabled output enable + Info (169065): Pin SCSI_D[4] has a permanently disabled output enable + Info (169065): Pin SCSI_D[3] has a permanently disabled output enable + Info (169065): Pin SCSI_D[2] has a permanently disabled output enable + Info (169065): Pin SCSI_D[1] has a permanently disabled output enable + Info (169065): Pin SCSI_D[0] has a permanently disabled output enable +Info: Quartus II 32-bit Fitter was successful. 0 errors, 37 warnings + Info: Peak virtual memory: 598 megabytes + Info: Processing ended: Sat Oct 20 18:39:35 2012 + Info: Elapsed time: 00:17:11 + Info: Total CPU time (on all processors): 00:17:07 + + diff --git a/firebee1.fit.summary b/firebee1.fit.summary index f177099..d63ac06 100644 --- a/firebee1.fit.summary +++ b/firebee1.fit.summary @@ -1,16 +1,16 @@ -Fitter Status : Successful - Wed Dec 15 02:25:02 2010 -Quartus II Version : 9.1 Build 350 03/24/2010 SP 2 SJ Web Edition -Revision Name : firebee1 -Top-level Entity Name : firebee1 -Family : Cyclone III -Device : EP3C40F484C6 -Timing Models : Final -Total logic elements : 9,526 / 39,600 ( 24 % ) - Total combinational functions : 8,061 / 39,600 ( 20 % ) - Dedicated logic registers : 4,563 / 39,600 ( 12 % ) -Total registers : 4749 -Total pins : 295 / 332 ( 89 % ) -Total virtual pins : 0 -Total memory bits : 109,344 / 1,161,216 ( 9 % ) -Embedded Multiplier 9-bit elements : 6 / 252 ( 2 % ) -Total PLLs : 4 / 4 ( 100 % ) +Fitter Status : Successful - Sat Oct 20 18:39:28 2012 +Quartus II 32-bit Version : 12.0 Build 263 08/02/2012 SP 2 SJ Web Edition +Revision Name : firebee1 +Top-level Entity Name : firebee1 +Family : Cyclone III +Device : EP3C40F484C6 +Timing Models : Final +Total logic elements : 9,435 / 39,600 ( 24 % ) + Total combinational functions : 7,967 / 39,600 ( 20 % ) + Dedicated logic registers : 4,622 / 39,600 ( 12 % ) +Total registers : 4750 +Total pins : 295 / 332 ( 89 % ) +Total virtual pins : 0 +Total memory bits : 109,344 / 1,161,216 ( 9 % ) +Embedded Multiplier 9-bit elements : 6 / 252 ( 2 % ) +Total PLLs : 4 / 4 ( 100 % ) diff --git a/firebee1.flow.rpt b/firebee1.flow.rpt index 297d7a0..7b4f0fe 100644 --- a/firebee1.flow.rpt +++ b/firebee1.flow.rpt @@ -1,380 +1,379 @@ -Flow report for firebee1 -Wed Dec 15 02:25:22 2010 -Quartus II Version 9.1 Build 350 03/24/2010 Service Pack 2 SJ Web Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Flow Summary - 3. Flow Settings - 4. Flow Non-Default Global Settings - 5. Flow Elapsed Time - 6. Flow OS Summary - 7. Flow Log - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 1991-2010 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. - - - -+-----------------------------------------------------------------------------------+ -; Flow Summary ; -+------------------------------------+----------------------------------------------+ -; Flow Status ; Successful - Wed Dec 15 02:25:21 2010 ; -; Quartus II Version ; 9.1 Build 350 03/24/2010 SP 2 SJ Web Edition ; -; Revision Name ; firebee1 ; -; Top-level Entity Name ; firebee1 ; -; Family ; Cyclone III ; -; Device ; EP3C40F484C6 ; -; Timing Models ; Final ; -; Met timing requirements ; No ; -; Total logic elements ; 9,526 / 39,600 ( 24 % ) ; -; Total combinational functions ; 8,061 / 39,600 ( 20 % ) ; -; Dedicated logic registers ; 4,563 / 39,600 ( 12 % ) ; -; Total registers ; 4749 ; -; Total pins ; 295 / 332 ( 89 % ) ; -; Total virtual pins ; 0 ; -; Total memory bits ; 109,344 / 1,161,216 ( 9 % ) ; -; Embedded Multiplier 9-bit elements ; 6 / 252 ( 2 % ) ; -; Total PLLs ; 4 / 4 ( 100 % ) ; -+------------------------------------+----------------------------------------------+ - - -+-----------------------------------------+ -; Flow Settings ; -+-------------------+---------------------+ -; Option ; Setting ; -+-------------------+---------------------+ -; Start date & time ; 12/15/2010 02:20:37 ; -; Main task ; Compilation ; -; Revision Name ; firebee1 ; -+-------------------+---------------------+ - - -+-----------------------------------------------------------------------------------------------------------------------------+ -; Flow Non-Default Global Settings ; -+-----------------------------------------+------------------------------------+---------------+-------------+----------------+ -; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; -+-----------------------------------------+------------------------------------+---------------+-------------+----------------+ -; COMPILER_SIGNATURE_ID ; 150661768621.129237603704664 ; -- ; -- ; -- ; -; CYCLONEII_OPTIMIZATION_TECHNIQUE ; Speed ; Balanced ; -- ; -- ; -; FMAX_REQUIREMENT ; 30 ns ; -- ; -- ; -- ; -; IP_TOOL_NAME ; ALTPLL ; -- ; -- ; -- ; -; IP_TOOL_NAME ; ALTPLL ; -- ; -- ; -- ; -; IP_TOOL_NAME ; ALTPLL ; -- ; -- ; -- ; -; IP_TOOL_NAME ; ALTPLL ; -- ; -- ; -- ; -; IP_TOOL_NAME ; LPM_COUNTER ; -- ; -- ; -- ; -; IP_TOOL_NAME ; LPM_SHIFTREG ; -- ; -- ; -- ; -; IP_TOOL_NAME ; LPM_RAM_DP+ ; -- ; -- ; -- ; -; IP_TOOL_NAME ; LPM_BUSTRI ; -- ; -- ; -- ; -; IP_TOOL_NAME ; LPM_RAM_DP+ ; -- ; -- ; -- ; -; IP_TOOL_NAME ; LPM_BUSTRI ; -- ; -- ; -- ; -; IP_TOOL_NAME ; LPM_BUSTRI ; -- ; -- ; -- ; -; IP_TOOL_NAME ; LPM_CONSTANT ; -- ; -- ; -- ; -; IP_TOOL_NAME ; LPM_CONSTANT ; -- ; -- ; -- ; -; IP_TOOL_NAME ; LPM_MUX ; -- ; -- ; -- ; -; IP_TOOL_NAME ; LPM_MUX ; -- ; -- ; -- ; -; IP_TOOL_NAME ; LPM_MUX ; -- ; -- ; -- ; -; IP_TOOL_NAME ; LPM_CONSTANT ; -- ; -- ; -- ; -; IP_TOOL_NAME ; LPM_RAM_DP+ ; -- ; -- ; -- ; -; IP_TOOL_NAME ; LPM_BUSTRI ; -- ; -- ; -- ; -; IP_TOOL_NAME ; LPM_MUX ; -- ; -- ; -- ; -; IP_TOOL_NAME ; LPM_MUX ; -- ; -- ; -- ; -; IP_TOOL_NAME ; LPM_CONSTANT ; -- ; -- ; -- ; -; IP_TOOL_NAME ; LPM_SHIFTREG ; -- ; -- ; -- ; -; IP_TOOL_NAME ; LPM_LATCH ; -- ; -- ; -- ; -; IP_TOOL_NAME ; LPM_CONSTANT ; -- ; -- ; -- ; -; IP_TOOL_NAME ; LPM_SHIFTREG ; -- ; -- ; -- ; -; IP_TOOL_NAME ; LPM_COMPARE ; -- ; -- ; -- ; -; IP_TOOL_NAME ; LPM_BUSTRI ; -- ; -- ; -- ; -; IP_TOOL_NAME ; LPM_BUSTRI ; -- ; -- ; -- ; -; IP_TOOL_NAME ; LPM_BUSTRI ; -- ; -- ; -- ; -; IP_TOOL_NAME ; LPM_FF ; -- ; -- ; -- ; -; IP_TOOL_NAME ; LPM_FF ; -- ; -- ; -- ; -; IP_TOOL_NAME ; LPM_FF ; -- ; -- ; -- ; -; IP_TOOL_NAME ; LPM_SHIFTREG ; -- ; -- ; -- ; -; IP_TOOL_NAME ; ALTDDIO_BIDIR ; -- ; -- ; -- ; -; IP_TOOL_NAME ; ALTDDIO_OUT ; -- ; -- ; -- ; -; IP_TOOL_NAME ; LPM_MUX ; -- ; -- ; -- ; -; IP_TOOL_NAME ; LPM_SHIFTREG ; -- ; -- ; -- ; -; IP_TOOL_NAME ; LPM_SHIFTREG ; -- ; -- ; -- ; -; IP_TOOL_NAME ; LPM_SHIFTREG ; -- ; -- ; -- ; -; IP_TOOL_NAME ; ALTDDIO_OUT ; -- ; -- ; -- ; -; IP_TOOL_NAME ; ALTDDIO_OUT ; -- ; -- ; -- ; -; IP_TOOL_NAME ; ALTDDIO_OUT ; -- ; -- ; -- ; -; IP_TOOL_NAME ; LPM_MUX ; -- ; -- ; -- ; -; IP_TOOL_NAME ; LPM_FIFO+ ; -- ; -- ; -- ; -; IP_TOOL_NAME ; LPM_FIFO+ ; -- ; -- ; -- ; -; IP_TOOL_NAME ; LPM_MUX ; -- ; -- ; -- ; -; IP_TOOL_NAME ; LPM_MUX ; -- ; -- ; -- ; -; IP_TOOL_NAME ; ALTPLL_RECONFIG ; -- ; -- ; -- ; -; IP_TOOL_NAME ; ALTPLL ; -- ; -- ; -- ; -; IP_TOOL_VERSION ; 9.1 ; -- ; -- ; -- ; -; IP_TOOL_VERSION ; 9.1 ; -- ; -- ; -- ; -; IP_TOOL_VERSION ; 9.1 ; -- ; -- ; -- ; -; IP_TOOL_VERSION ; 9.1 ; -- ; -- ; -- ; -; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; -; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; -; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; -; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; -; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; -; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; -; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; -; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; -; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; -; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; -; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; -; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; -; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; -; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; -; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; -; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; -; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; -; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; -; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; -; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; -; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; -; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; -; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; -; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; -; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; -; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; -; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; -; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; -; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; -; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; -; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; -; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; -; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; -; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; -; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; -; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; -; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; -; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; -; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; -; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; -; IP_TOOL_VERSION ; 9.1 ; -- ; -- ; -- ; -; IP_TOOL_VERSION ; 9.1 ; -- ; -- ; -- ; -; IP_TOOL_VERSION ; 9.1 ; -- ; -- ; -- ; -; IP_TOOL_VERSION ; 9.1 ; -- ; -- ; -- ; -; IP_TOOL_VERSION ; 9.1 ; -- ; -- ; -- ; -; IP_TOOL_VERSION ; 9.1 ; -- ; -- ; -- ; -; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; -; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; -; MISC_FILE ; C:/firebee/FPGA/firebee1.dpf ; -- ; -- ; -- ; -; MISC_FILE ; altpll1.bsf ; -- ; -- ; -- ; -; MISC_FILE ; altpll1.inc ; -- ; -- ; -- ; -; MISC_FILE ; altpll1.cmp ; -- ; -- ; -- ; -; MISC_FILE ; altpll1.ppf ; -- ; -- ; -- ; -; MISC_FILE ; altpll2.bsf ; -- ; -- ; -- ; -; MISC_FILE ; altpll2.inc ; -- ; -- ; -- ; -; MISC_FILE ; altpll2.cmp ; -- ; -- ; -- ; -; MISC_FILE ; altpll2.ppf ; -- ; -- ; -- ; -; MISC_FILE ; altpll3.bsf ; -- ; -- ; -- ; -; MISC_FILE ; altpll3.inc ; -- ; -- ; -- ; -; MISC_FILE ; altpll3.cmp ; -- ; -- ; -- ; -; MISC_FILE ; altpll3.ppf ; -- ; -- ; -- ; -; MISC_FILE ; altpll0.bsf ; -- ; -- ; -- ; -; MISC_FILE ; altpll0.inc ; -- ; -- ; -- ; -; MISC_FILE ; altpll0.cmp ; -- ; -- ; -- ; -; MISC_FILE ; altpll0.ppf ; -- ; -- ; -- ; -; MISC_FILE ; lpm_counter0.bsf ; -- ; -- ; -- ; -; MISC_FILE ; lpm_counter0.cmp ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_shiftreg0.bsf ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_shiftreg0.inc ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_shiftreg0.cmp ; -- ; -- ; -- ; -; MISC_FILE ; Video/altdpram0.bsf ; -- ; -- ; -- ; -; MISC_FILE ; Video/altdpram0.inc ; -- ; -- ; -- ; -; MISC_FILE ; Video/altdpram0.cmp ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_bustri1.bsf ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_bustri1.cmp ; -- ; -- ; -- ; -; MISC_FILE ; Video/altdpram1.bsf ; -- ; -- ; -- ; -; MISC_FILE ; Video/altdpram1.inc ; -- ; -- ; -- ; -; MISC_FILE ; Video/altdpram1.cmp ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_bustri2.bsf ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_bustri2.cmp ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_bustri4.bsf ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_bustri4.cmp ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_constant0.bsf ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_constant0.cmp ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_constant1.bsf ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_constant1.inc ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_constant1.cmp ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_mux0.bsf ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_mux0.inc ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_mux0.cmp ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_mux1.bsf ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_mux1.inc ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_mux1.cmp ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_mux2.bsf ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_mux2.inc ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_mux2.cmp ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_constant2.bsf ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_constant2.cmp ; -- ; -- ; -- ; -; MISC_FILE ; Video/altdpram2.bsf ; -- ; -- ; -- ; -; MISC_FILE ; Video/altdpram2.inc ; -- ; -- ; -- ; -; MISC_FILE ; Video/altdpram2.cmp ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_bustri6.bsf ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_bustri6.cmp ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_mux3.bsf ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_mux3.cmp ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_mux4.bsf ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_mux4.cmp ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_constant3.bsf ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_constant3.cmp ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_shiftreg1.bsf ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_shiftreg1.cmp ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_latch1.bsf ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_latch1.cmp ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_constant4.bsf ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_constant4.inc ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_constant4.cmp ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_shiftreg2.bsf ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_shiftreg2.cmp ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_compare1.bsf ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_compare1.inc ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_compare1.cmp ; -- ; -- ; -- ; -; MISC_FILE ; lpm_bustri_LONG.bsf ; -- ; -- ; -- ; -; MISC_FILE ; lpm_bustri_LONG.inc ; -- ; -- ; -- ; -; MISC_FILE ; lpm_bustri_LONG.cmp ; -- ; -- ; -- ; -; MISC_FILE ; lpm_bustri_BYT.bsf ; -- ; -- ; -- ; -; MISC_FILE ; lpm_bustri_BYT.inc ; -- ; -- ; -- ; -; MISC_FILE ; lpm_bustri_BYT.cmp ; -- ; -- ; -- ; -; MISC_FILE ; lpm_bustri_WORD.bsf ; -- ; -- ; -- ; -; MISC_FILE ; lpm_bustri_WORD.inc ; -- ; -- ; -- ; -; MISC_FILE ; lpm_bustri_WORD.cmp ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_ff4.bsf ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_ff4.inc ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_ff4.cmp ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_ff5.bsf ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_ff5.inc ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_ff5.cmp ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_ff6.bsf ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_ff6.inc ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_ff6.cmp ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_shiftreg3.bsf ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_shiftreg3.inc ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_shiftreg3.cmp ; -- ; -- ; -- ; -; MISC_FILE ; Video/altddio_bidir0.bsf ; -- ; -- ; -- ; -; MISC_FILE ; Video/altddio_bidir0.inc ; -- ; -- ; -- ; -; MISC_FILE ; Video/altddio_bidir0.cmp ; -- ; -- ; -- ; -; MISC_FILE ; Video/altddio_bidir0.ppf ; -- ; -- ; -- ; -; MISC_FILE ; Video/altddio_out0.bsf ; -- ; -- ; -- ; -; MISC_FILE ; Video/altddio_out0.inc ; -- ; -- ; -- ; -; MISC_FILE ; Video/altddio_out0.cmp ; -- ; -- ; -- ; -; MISC_FILE ; Video/altddio_out0.ppf ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_mux5.bsf ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_mux5.inc ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_mux5.cmp ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_shiftreg5.bsf ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_shiftreg5.inc ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_shiftreg5.cmp ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_shiftreg6.bsf ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_shiftreg6.inc ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_shiftreg6.cmp ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_shiftreg4.bsf ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_shiftreg4.inc ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_shiftreg4.cmp ; -- ; -- ; -- ; -; MISC_FILE ; Video/altddio_out1.bsf ; -- ; -- ; -- ; -; MISC_FILE ; Video/altddio_out1.inc ; -- ; -- ; -- ; -; MISC_FILE ; Video/altddio_out1.cmp ; -- ; -- ; -- ; -; MISC_FILE ; Video/altddio_out1.ppf ; -- ; -- ; -- ; -; MISC_FILE ; Video/altddio_out2.bsf ; -- ; -- ; -- ; -; MISC_FILE ; Video/altddio_out2.inc ; -- ; -- ; -- ; -; MISC_FILE ; Video/altddio_out2.cmp ; -- ; -- ; -- ; -; MISC_FILE ; Video/altddio_out2.ppf ; -- ; -- ; -- ; -; MISC_FILE ; altddio_out3.bsf ; -- ; -- ; -- ; -; MISC_FILE ; altddio_out3.inc ; -- ; -- ; -- ; -; MISC_FILE ; altddio_out3.cmp ; -- ; -- ; -- ; -; MISC_FILE ; altddio_out3.ppf ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_mux6.bsf ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_mux6.inc ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_mux6.cmp ; -- ; -- ; -- ; -; MISC_FILE ; FalconIO_SDCard_IDE_CF/dcfifo0.bsf ; -- ; -- ; -- ; -; MISC_FILE ; FalconIO_SDCard_IDE_CF/dcfifo0.cmp ; -- ; -- ; -- ; -; MISC_FILE ; FalconIO_SDCard_IDE_CF/dcfifo1.bsf ; -- ; -- ; -- ; -; MISC_FILE ; FalconIO_SDCard_IDE_CF/dcfifo1.cmp ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_muxDZ.bsf ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_muxDZ.cmp ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_muxVDM.bsf ; -- ; -- ; -- ; -; MISC_FILE ; Video/lpm_muxVDM.cmp ; -- ; -- ; -- ; -; MISC_FILE ; C:/FireBee/FPGA/firebee1.dpf ; -- ; -- ; -- ; -; MISC_FILE ; altpll_reconfig1.tdf ; -- ; -- ; -- ; -; MISC_FILE ; altpll_reconfig1.bsf ; -- ; -- ; -- ; -; MISC_FILE ; altpll_reconfig1.inc ; -- ; -- ; -- ; -; MISC_FILE ; altpll_reconfig1.cmp ; -- ; -- ; -- ; -; MISC_FILE ; altpll4.tdf ; -- ; -- ; -- ; -; MISC_FILE ; altpll4.bsf ; -- ; -- ; -- ; -; MISC_FILE ; altpll4.inc ; -- ; -- ; -- ; -; MISC_FILE ; altpll4.cmp ; -- ; -- ; -- ; -; MISC_FILE ; altpll4.ppf ; -- ; -- ; -- ; -; NOMINAL_CORE_SUPPLY_VOLTAGE ; 1.2V ; -- ; -- ; -- ; -; PARTITION_COLOR ; 16764057 ; -- ; -- ; Top ; -; PARTITION_NETLIST_TYPE ; SOURCE ; -- ; -- ; Top ; -; PHYSICAL_SYNTHESIS_COMBO_LOGIC ; On ; Off ; -- ; -- ; -; PHYSICAL_SYNTHESIS_COMBO_LOGIC_FOR_AREA ; On ; Off ; -- ; -- ; -; PHYSICAL_SYNTHESIS_EFFORT ; Fast ; Normal ; -- ; -- ; -; PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION ; On ; Off ; -- ; -- ; -; STATE_MACHINE_PROCESSING ; One-Hot ; Auto ; -- ; -- ; -; TCO_REQUIREMENT ; 1 ns ; -- ; -- ; -- ; -; TH_REQUIREMENT ; 1 ns ; -- ; -- ; -- ; -; TPD_REQUIREMENT ; 1 ns ; -- ; -- ; -- ; -; TSU_REQUIREMENT ; 1 ns ; -- ; -- ; -- ; -; USE_GENERATED_PHYSICAL_CONSTRAINTS ; Off ; -- ; -- ; eda_blast_fpga ; -; USE_TIMEQUEST_TIMING_ANALYZER ; Off ; On ; -- ; -- ; -+-----------------------------------------+------------------------------------+---------------+-------------+----------------+ - - -+-----------------------------------------------------------------------------------------------------------------------------+ -; Flow Elapsed Time ; -+-------------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; -+-------------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:01:16 ; 1.0 ; 347 MB ; 00:01:17 ; -; Fitter ; 00:03:05 ; 1.0 ; 334 MB ; 00:03:07 ; -; Assembler ; 00:00:05 ; 1.0 ; 291 MB ; 00:00:04 ; -; Classic Timing Analyzer ; 00:00:07 ; 1.0 ; 227 MB ; 00:00:09 ; -; Total ; 00:04:33 ; -- ; -- ; 00:04:37 ; -+-------------------------+--------------+-------------------------+---------------------+------------------------------------+ - - -+------------------------------------------------------------------------------------------+ -; Flow OS Summary ; -+-------------------------+------------------+---------------+------------+----------------+ -; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; -+-------------------------+------------------+---------------+------------+----------------+ -; Analysis & Synthesis ; envy15 ; Windows Vista ; 6.1 ; x86_64 ; -; Fitter ; envy15 ; Windows Vista ; 6.1 ; x86_64 ; -; Assembler ; envy15 ; Windows Vista ; 6.1 ; x86_64 ; -; Classic Timing Analyzer ; envy15 ; Windows Vista ; 6.1 ; x86_64 ; -+-------------------------+------------------+---------------+------------+----------------+ - - ------------- -; Flow Log ; ------------- -quartus_map --read_settings_files=on --write_settings_files=off firebeei1 -c firebee1 -quartus_fit --read_settings_files=off --write_settings_files=off firebeei1 -c firebee1 -quartus_asm --read_settings_files=off --write_settings_files=off firebeei1 -c firebee1 -quartus_tan --read_settings_files=off --write_settings_files=off firebeei1 -c firebee1 --timing_analysis_only - - - +Flow report for firebee1 +Sat Oct 20 18:40:30 2012 +Quartus II 32-bit Version 12.0 Build 263 08/02/2012 Service Pack 2 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Flow Summary + 3. Flow Settings + 4. Flow Non-Default Global Settings + 5. Flow Elapsed Time + 6. Flow OS Summary + 7. Flow Log + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2012 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++------------------------------------------------------------------------------------+ +; Flow Summary ; ++------------------------------------+-----------------------------------------------+ +; Flow Status ; Successful - Sat Oct 20 18:39:48 2012 ; +; Quartus II 32-bit Version ; 12.0 Build 263 08/02/2012 SP 2 SJ Web Edition ; +; Revision Name ; firebee1 ; +; Top-level Entity Name ; firebee1 ; +; Family ; Cyclone III ; +; Device ; EP3C40F484C6 ; +; Timing Models ; Final ; +; Total logic elements ; 9,435 / 39,600 ( 24 % ) ; +; Total combinational functions ; 7,967 / 39,600 ( 20 % ) ; +; Dedicated logic registers ; 4,622 / 39,600 ( 12 % ) ; +; Total registers ; 4750 ; +; Total pins ; 295 / 332 ( 89 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 109,344 / 1,161,216 ( 9 % ) ; +; Embedded Multiplier 9-bit elements ; 6 / 252 ( 2 % ) ; +; Total PLLs ; 4 / 4 ( 100 % ) ; ++------------------------------------+-----------------------------------------------+ + + ++-----------------------------------------+ +; Flow Settings ; ++-------------------+---------------------+ +; Option ; Setting ; ++-------------------+---------------------+ +; Start date & time ; 10/20/2012 18:20:26 ; +; Main task ; Compilation ; +; Revision Name ; firebee1 ; ++-------------------+---------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------+ +; Flow Non-Default Global Settings ; ++-----------------------------------------+------------------------------------+---------------+-------------+----------------+ +; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; ++-----------------------------------------+------------------------------------+---------------+-------------+----------------+ +; COMPILER_SIGNATURE_ID ; 247163806132475.135075002618816 ; -- ; -- ; -- ; +; CYCLONEII_OPTIMIZATION_TECHNIQUE ; Speed ; Balanced ; -- ; -- ; +; FMAX_REQUIREMENT ; 30 ns ; -- ; -- ; -- ; +; IP_TOOL_NAME ; ALTPLL ; -- ; -- ; -- ; +; IP_TOOL_NAME ; ALTPLL ; -- ; -- ; -- ; +; IP_TOOL_NAME ; ALTPLL ; -- ; -- ; -- ; +; IP_TOOL_NAME ; ALTPLL ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_COUNTER ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_SHIFTREG ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_RAM_DP+ ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_BUSTRI ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_RAM_DP+ ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_BUSTRI ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_BUSTRI ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_CONSTANT ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_CONSTANT ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_MUX ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_MUX ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_MUX ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_CONSTANT ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_RAM_DP+ ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_BUSTRI ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_MUX ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_MUX ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_CONSTANT ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_SHIFTREG ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_LATCH ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_CONSTANT ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_SHIFTREG ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_COMPARE ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_BUSTRI ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_BUSTRI ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_BUSTRI ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_FF ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_FF ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_FF ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_SHIFTREG ; -- ; -- ; -- ; +; IP_TOOL_NAME ; ALTDDIO_BIDIR ; -- ; -- ; -- ; +; IP_TOOL_NAME ; ALTDDIO_OUT ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_MUX ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_SHIFTREG ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_SHIFTREG ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_SHIFTREG ; -- ; -- ; -- ; +; IP_TOOL_NAME ; ALTDDIO_OUT ; -- ; -- ; -- ; +; IP_TOOL_NAME ; ALTDDIO_OUT ; -- ; -- ; -- ; +; IP_TOOL_NAME ; ALTDDIO_OUT ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_MUX ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_FIFO+ ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_FIFO+ ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_MUX ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_MUX ; -- ; -- ; -- ; +; IP_TOOL_NAME ; ALTPLL_RECONFIG ; -- ; -- ; -- ; +; IP_TOOL_NAME ; ALTPLL ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 9.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 9.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 9.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 9.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 9.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 9.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 9.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 9.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 9.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 9.1 ; -- ; -- ; -- ; +; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; +; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; +; MISC_FILE ; C:/firebee/FPGA/firebee1.dpf ; -- ; -- ; -- ; +; MISC_FILE ; C:/FireBee/FPGA/firebee1.dpf ; -- ; -- ; -- ; +; MISC_FILE ; altpll1.bsf ; -- ; -- ; -- ; +; MISC_FILE ; altpll1.inc ; -- ; -- ; -- ; +; MISC_FILE ; altpll1.cmp ; -- ; -- ; -- ; +; MISC_FILE ; altpll1.ppf ; -- ; -- ; -- ; +; MISC_FILE ; altpll2.bsf ; -- ; -- ; -- ; +; MISC_FILE ; altpll2.inc ; -- ; -- ; -- ; +; MISC_FILE ; altpll2.cmp ; -- ; -- ; -- ; +; MISC_FILE ; altpll2.ppf ; -- ; -- ; -- ; +; MISC_FILE ; altpll3.bsf ; -- ; -- ; -- ; +; MISC_FILE ; altpll3.inc ; -- ; -- ; -- ; +; MISC_FILE ; altpll3.cmp ; -- ; -- ; -- ; +; MISC_FILE ; altpll3.ppf ; -- ; -- ; -- ; +; MISC_FILE ; altpll0.bsf ; -- ; -- ; -- ; +; MISC_FILE ; altpll0.inc ; -- ; -- ; -- ; +; MISC_FILE ; altpll0.cmp ; -- ; -- ; -- ; +; MISC_FILE ; altpll0.ppf ; -- ; -- ; -- ; +; MISC_FILE ; lpm_counter0.bsf ; -- ; -- ; -- ; +; MISC_FILE ; lpm_counter0.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_shiftreg0.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_shiftreg0.inc ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_shiftreg0.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/altdpram0.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/altdpram0.inc ; -- ; -- ; -- ; +; MISC_FILE ; Video/altdpram0.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_bustri1.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_bustri1.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/altdpram1.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/altdpram1.inc ; -- ; -- ; -- ; +; MISC_FILE ; Video/altdpram1.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_bustri2.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_bustri2.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_bustri4.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_bustri4.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_constant0.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_constant0.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_constant1.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_constant1.inc ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_constant1.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_mux0.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_mux0.inc ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_mux0.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_mux1.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_mux1.inc ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_mux1.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_mux2.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_mux2.inc ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_mux2.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_constant2.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_constant2.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/altdpram2.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/altdpram2.inc ; -- ; -- ; -- ; +; MISC_FILE ; Video/altdpram2.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_bustri6.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_bustri6.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_mux3.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_mux3.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_mux4.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_mux4.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_constant3.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_constant3.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_shiftreg1.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_shiftreg1.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_latch1.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_latch1.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_constant4.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_constant4.inc ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_constant4.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_shiftreg2.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_shiftreg2.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_compare1.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_compare1.inc ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_compare1.cmp ; -- ; -- ; -- ; +; MISC_FILE ; lpm_bustri_LONG.bsf ; -- ; -- ; -- ; +; MISC_FILE ; lpm_bustri_LONG.inc ; -- ; -- ; -- ; +; MISC_FILE ; lpm_bustri_LONG.cmp ; -- ; -- ; -- ; +; MISC_FILE ; lpm_bustri_BYT.bsf ; -- ; -- ; -- ; +; MISC_FILE ; lpm_bustri_BYT.inc ; -- ; -- ; -- ; +; MISC_FILE ; lpm_bustri_BYT.cmp ; -- ; -- ; -- ; +; MISC_FILE ; lpm_bustri_WORD.bsf ; -- ; -- ; -- ; +; MISC_FILE ; lpm_bustri_WORD.inc ; -- ; -- ; -- ; +; MISC_FILE ; lpm_bustri_WORD.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_ff4.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_ff4.inc ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_ff4.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_ff5.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_ff5.inc ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_ff5.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_ff6.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_ff6.inc ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_ff6.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_shiftreg3.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_shiftreg3.inc ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_shiftreg3.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/altddio_bidir0.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/altddio_bidir0.inc ; -- ; -- ; -- ; +; MISC_FILE ; Video/altddio_bidir0.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/altddio_bidir0.ppf ; -- ; -- ; -- ; +; MISC_FILE ; Video/altddio_out0.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/altddio_out0.inc ; -- ; -- ; -- ; +; MISC_FILE ; Video/altddio_out0.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/altddio_out0.ppf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_mux5.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_mux5.inc ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_mux5.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_shiftreg5.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_shiftreg5.inc ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_shiftreg5.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_shiftreg6.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_shiftreg6.inc ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_shiftreg6.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_shiftreg4.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_shiftreg4.inc ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_shiftreg4.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/altddio_out1.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/altddio_out1.inc ; -- ; -- ; -- ; +; MISC_FILE ; Video/altddio_out1.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/altddio_out1.ppf ; -- ; -- ; -- ; +; MISC_FILE ; Video/altddio_out2.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/altddio_out2.inc ; -- ; -- ; -- ; +; MISC_FILE ; Video/altddio_out2.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/altddio_out2.ppf ; -- ; -- ; -- ; +; MISC_FILE ; altddio_out3.bsf ; -- ; -- ; -- ; +; MISC_FILE ; altddio_out3.inc ; -- ; -- ; -- ; +; MISC_FILE ; altddio_out3.cmp ; -- ; -- ; -- ; +; MISC_FILE ; altddio_out3.ppf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_mux6.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_mux6.inc ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_mux6.cmp ; -- ; -- ; -- ; +; MISC_FILE ; FalconIO_SDCard_IDE_CF/dcfifo0.bsf ; -- ; -- ; -- ; +; MISC_FILE ; FalconIO_SDCard_IDE_CF/dcfifo0.cmp ; -- ; -- ; -- ; +; MISC_FILE ; FalconIO_SDCard_IDE_CF/dcfifo1.bsf ; -- ; -- ; -- ; +; MISC_FILE ; FalconIO_SDCard_IDE_CF/dcfifo1.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_muxDZ.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_muxDZ.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_muxVDM.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_muxVDM.cmp ; -- ; -- ; -- ; +; MISC_FILE ; altpll_reconfig1.tdf ; -- ; -- ; -- ; +; MISC_FILE ; altpll_reconfig1.bsf ; -- ; -- ; -- ; +; MISC_FILE ; altpll_reconfig1.inc ; -- ; -- ; -- ; +; MISC_FILE ; altpll_reconfig1.cmp ; -- ; -- ; -- ; +; MISC_FILE ; altpll4.tdf ; -- ; -- ; -- ; +; MISC_FILE ; altpll4.bsf ; -- ; -- ; -- ; +; MISC_FILE ; altpll4.inc ; -- ; -- ; -- ; +; MISC_FILE ; altpll4.cmp ; -- ; -- ; -- ; +; MISC_FILE ; altpll4.ppf ; -- ; -- ; -- ; +; NOMINAL_CORE_SUPPLY_VOLTAGE ; 1.2V ; -- ; -- ; -- ; +; PARTITION_COLOR ; 16764057 ; -- ; -- ; Top ; +; PARTITION_FITTER_PRESERVATION_LEVEL ; PLACEMENT_AND_ROUTING ; -- ; -- ; Top ; +; PARTITION_NETLIST_TYPE ; SOURCE ; -- ; -- ; Top ; +; PHYSICAL_SYNTHESIS_COMBO_LOGIC ; On ; Off ; -- ; -- ; +; PHYSICAL_SYNTHESIS_COMBO_LOGIC_FOR_AREA ; On ; Off ; -- ; -- ; +; PHYSICAL_SYNTHESIS_EFFORT ; Fast ; Normal ; -- ; -- ; +; PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION ; On ; Off ; -- ; -- ; +; STATE_MACHINE_PROCESSING ; One-Hot ; Auto ; -- ; -- ; +; TCO_REQUIREMENT ; 1 ns ; -- ; -- ; -- ; +; TH_REQUIREMENT ; 1 ns ; -- ; -- ; -- ; +; TPD_REQUIREMENT ; 1 ns ; -- ; -- ; -- ; +; TSU_REQUIREMENT ; 1 ns ; -- ; -- ; -- ; +; USE_GENERATED_PHYSICAL_CONSTRAINTS ; Off ; -- ; -- ; eda_blast_fpga ; ++-----------------------------------------+------------------------------------+---------------+-------------+----------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------+ +; Flow Elapsed Time ; ++---------------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; ++---------------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Analysis & Synthesis ; 00:01:48 ; 1.0 ; -- ; 00:01:46 ; +; Fitter ; 00:17:04 ; 1.0 ; -- ; 00:17:00 ; +; Assembler ; 00:00:06 ; 1.0 ; -- ; 00:00:06 ; +; TimeQuest Timing Analyzer ; 00:00:49 ; 1.0 ; -- ; 00:00:48 ; +; Total ; 00:19:47 ; -- ; -- ; 00:19:40 ; ++---------------------------+--------------+-------------------------+---------------------+------------------------------------+ + + ++---------------------------------------------------------------------------------------------+ +; Flow OS Summary ; ++---------------------------+------------------+----------------+------------+----------------+ +; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; ++---------------------------+------------------+----------------+------------+----------------+ +; Analysis & Synthesis ; bums ; Ubuntu 12.04.1 ; 12 ; x86_64 ; +; Fitter ; bums ; Ubuntu 12.04.1 ; 12 ; x86_64 ; +; Assembler ; bums ; Ubuntu 12.04.1 ; 12 ; x86_64 ; +; TimeQuest Timing Analyzer ; bums ; Ubuntu 12.04.1 ; 12 ; x86_64 ; ++---------------------------+------------------+----------------+------------+----------------+ + + +------------ +; Flow Log ; +------------ +quartus_map --read_settings_files=on --write_settings_files=off firebeei1 -c firebee1 +quartus_fit --read_settings_files=off --write_settings_files=off firebeei1 -c firebee1 +quartus_asm --read_settings_files=off --write_settings_files=off firebeei1 -c firebee1 +quartus_sta firebeei1 -c firebee1 + + + diff --git a/firebee1.map.rpt b/firebee1.map.rpt index 11a1ac1..53a572f 100644 --- a/firebee1.map.rpt +++ b/firebee1.map.rpt @@ -1,8590 +1,8631 @@ -Analysis & Synthesis report for firebee1 -Wed Dec 15 02:21:56 2010 -Quartus II Version 9.1 Build 350 03/24/2010 Service Pack 2 SJ Web Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Analysis & Synthesis Summary - 3. Analysis & Synthesis Settings - 4. Parallel Compilation - 5. Analysis & Synthesis Source Files Read - 6. Analysis & Synthesis Resource Usage Summary - 7. Analysis & Synthesis Resource Utilization by Entity - 8. Analysis & Synthesis RAM Summary - 9. Analysis & Synthesis DSP Block Usage Summary - 10. State Machine - |firebee1|Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FB_REGDDR - 11. State Machine - |firebee1|Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|DDR_SM - 12. State Machine - |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_STATE - 13. State Machine - |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|CMD_STATE - 14. State Machine - |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|INT_STATE - 15. State Machine - |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT|TR_STATE - 16. State Machine - |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|RCV_STATE - 17. State Machine - |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|TR_STATE - 18. State Machine - |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|RCV_STATE - 19. State Machine - |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|TR_STATE - 20. State Machine - |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|RCV_STATE - 21. State Machine - |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|DMA_STATE - 22. State Machine - |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|CTRL_STATE - 23. State Machine - |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|PRECOMP - 24. State Machine - |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|MFM_STATE - 25. State Machine - |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE - 26. Registers Protected by Synthesis - 27. User-Specified and Inferred Latches - 28. Registers Removed During Synthesis - 29. Removed Registers Triggering Further Register Optimizations - 30. General Register Statistics - 31. Inverted Register Statistics - 32. Multiplexer Restructuring Statistics (Restructuring Performed) - 33. Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated - 34. Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_graycounter_k47:rdptr_g1p - 35. Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_graycounter_fic:wrptr_g1p - 36. Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|altsyncram_bi31:fifo_ram - 37. Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|alt_synch_pipe_ikd:rs_dgwp - 38. Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|alt_synch_pipe_ikd:rs_dgwp|dffpipe_hd9:dffpipe12 - 39. Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|dffpipe_gd9:ws_brp - 40. Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|dffpipe_pe9:ws_bwp - 41. Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|alt_synch_pipe_jkd:ws_dgrp - 42. Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|alt_synch_pipe_jkd:ws_dgrp|dffpipe_id9:dffpipe17 - 43. Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated - 44. Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_graycounter_j47:rdptr_g1p - 45. Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_graycounter_gic:wrptr_g1p - 46. Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram - 47. Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|dffpipe_pe9:rs_brp - 48. Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|dffpipe_gd9:rs_bwp - 49. Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|alt_synch_pipe_kkd:rs_dgwp - 50. Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|alt_synch_pipe_kkd:rs_dgwp|dffpipe_jd9:dffpipe12 - 51. Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|alt_synch_pipe_lkd:ws_dgrp - 52. Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|alt_synch_pipe_lkd:ws_dgrp|dffpipe_kd9:dffpipe15 - 53. Source assignments for Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component - 54. Source assignments for Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated - 55. Source assignments for Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_s57:rdptr_g1p - 56. Source assignments for Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_ojc:wrptr_g1p - 57. Source assignments for Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_njc:wrptr_gp - 58. Source assignments for Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|altsyncram_tl31:fifo_ram - 59. Source assignments for Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|alt_synch_pipe_rld:rs_dgwp - 60. Source assignments for Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|alt_synch_pipe_rld:rs_dgwp|dffpipe_qe9:dffpipe15 - 61. Source assignments for Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|dffpipe_9d9:wraclr - 62. Source assignments for Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|dffpipe_oe9:ws_brp - 63. Source assignments for Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|dffpipe_oe9:ws_bwp - 64. Source assignments for Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|alt_synch_pipe_sld:ws_dgrp - 65. Source assignments for Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|alt_synch_pipe_sld:ws_dgrp|dffpipe_re9:dffpipe22 - 66. Source assignments for Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component - 67. Source assignments for Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated - 68. Source assignments for Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_RED|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated - 69. Source assignments for Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_lk21:auto_generated|a_dpfifo_oq21:dpfifo|altsyncram_gj81:FIFOram - 70. Source assignments for Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_GREEN|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated - 71. Source assignments for Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated - 72. Source assignments for Video:Fredi_Aschwanden|altdpram0:ST_CLUT_RED|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated - 73. Source assignments for Video:Fredi_Aschwanden|altdpram0:ST_CLUT_GREEN|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated - 74. Source assignments for Video:Fredi_Aschwanden|altdpram0:ST_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated - 75. Source assignments for Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated - 76. Source assignments for Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM54|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated - 77. Source assignments for Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated - 78. Source assignments for Video:Fredi_Aschwanden|altddio_out2:inst5|altddio_out:altddio_out_component - 79. Source assignments for Video:Fredi_Aschwanden|altddio_out2:inst5|altddio_out:altddio_out_component|ddio_out_o2f:auto_generated - 80. Source assignments for Video:Fredi_Aschwanden|altddio_out0:inst2|altddio_out:altddio_out_component - 81. Source assignments for Video:Fredi_Aschwanden|altddio_out0:inst2|altddio_out:altddio_out_component|ddio_out_are:auto_generated - 82. Source assignments for altpll4:inst22|altpll:altpll_component|altpll_c6j2:auto_generated - 83. Source assignments for altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component - 84. Source assignments for altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|altsyncram:altsyncram4|altsyncram_46r:auto_generated - 85. Source assignments for altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr1 - 86. Source assignments for altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr12 - 87. Source assignments for altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr13 - 88. Source assignments for altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr14 - 89. Source assignments for altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr15 - 90. Source assignments for altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr2 - 91. Source assignments for altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr3 - 92. Source assignments for lpm_counter0:inst18|lpm_counter:lpm_counter_component - 93. Source assignments for altddio_out3:inst5|altddio_out:altddio_out_component - 94. Source assignments for altddio_out3:inst5|altddio_out:altddio_out_component|ddio_out_31f:auto_generated - 95. Source assignments for altddio_out3:inst6|altddio_out:altddio_out_component - 96. Source assignments for altddio_out3:inst6|altddio_out:altddio_out_component|ddio_out_31f:auto_generated - 97. Source assignments for altddio_out3:inst8|altddio_out:altddio_out_component - 98. Source assignments for altddio_out3:inst8|altddio_out:altddio_out_component|ddio_out_31f:auto_generated - 99. Source assignments for altddio_out3:inst9|altddio_out:altddio_out_component -100. Source assignments for altddio_out3:inst9|altddio_out:altddio_out_component|ddio_out_31f:auto_generated -101. Parameter Settings for User Entity Instance: altpll1:inst|altpll:altpll_component -102. Parameter Settings for User Entity Instance: FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component -103. Parameter Settings for User Entity Instance: FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component -104. Parameter Settings for User Entity Instance: FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL -105. Parameter Settings for User Entity Instance: altpll3:inst13|altpll:altpll_component -106. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_bustri_WORD:$00000|lpm_bustri:lpm_bustri_component -107. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_bustri_WORD:$00002|lpm_bustri:lpm_bustri_component -108. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_shiftreg6:inst89|lpm_shiftreg:lpm_shiftreg_component -109. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|lpm_bustri_BYT:$00002|lpm_bustri:lpm_bustri_component -110. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|lpm_bustri_BYT:$00004|lpm_bustri:lpm_bustri_component -111. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component -112. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_shiftreg4:inst26|lpm_shiftreg:lpm_shiftreg_component -113. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_muxVDM:inst100|LPM_MUX:lpm_mux_component -114. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff6:inst94|lpm_ff:lpm_ff_component -115. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff6:inst71|lpm_ff:lpm_ff_component -116. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff1:inst4|lpm_ff:lpm_ff_component -117. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff1:inst3|lpm_ff:lpm_ff_component -118. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component -119. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_mux5:inst22|LPM_MUX:lpm_mux_component -120. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component -121. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component -122. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component -123. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component -124. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff1:inst20|lpm_ff:lpm_ff_component -125. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff1:inst12|lpm_ff:lpm_ff_component -126. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff6:inst36|lpm_ff:lpm_ff_component -127. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_bustri_LONG:inst108|lpm_bustri:lpm_bustri_component -128. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component -129. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_bustri_LONG:inst119|lpm_bustri:lpm_bustri_component -130. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff0:inst19|lpm_ff:lpm_ff_component -131. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_shiftreg6:inst92|lpm_shiftreg:lpm_shiftreg_component -132. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_bustri_LONG:inst110|lpm_bustri:lpm_bustri_component -133. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff0:inst18|lpm_ff:lpm_ff_component -134. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_bustri_LONG:inst109|lpm_bustri:lpm_bustri_component -135. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff0:inst17|lpm_ff:lpm_ff_component -136. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_bustri3:inst66|lpm_bustri:lpm_bustri_component -137. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_RED|altsyncram:altsyncram_component -138. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_shiftreg0:sr0|lpm_shiftreg:lpm_shiftreg_component -139. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_shiftreg0:sr4|lpm_shiftreg:lpm_shiftreg_component -140. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_shiftreg0:sr5|lpm_shiftreg:lpm_shiftreg_component -141. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_shiftreg0:sr6|lpm_shiftreg:lpm_shiftreg_component -142. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_shiftreg0:sr7|lpm_shiftreg:lpm_shiftreg_component -143. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_muxDZ:inst62|LPM_MUX:lpm_mux_component -144. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component -145. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_shiftreg0:sr1|lpm_shiftreg:lpm_shiftreg_component -146. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_shiftreg0:sr2|lpm_shiftreg:lpm_shiftreg_component -147. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_shiftreg0:sr3|lpm_shiftreg:lpm_shiftreg_component -148. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_bustri3:inst70|lpm_bustri:lpm_bustri_component -149. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_GREEN|altsyncram:altsyncram_component -150. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_bustri3:inst74|lpm_bustri:lpm_bustri_component -151. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_BLUE|altsyncram:altsyncram_component -152. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_bustri1:inst51|lpm_bustri:lpm_bustri_component -153. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|altdpram0:ST_CLUT_RED|altsyncram:altsyncram_component -154. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_bustri1:inst56|lpm_bustri:lpm_bustri_component -155. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|altdpram0:ST_CLUT_GREEN|altsyncram:altsyncram_component -156. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_bustri1:inst61|lpm_bustri:lpm_bustri_component -157. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|altdpram0:ST_CLUT_BLUE|altsyncram:altsyncram_component -158. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_bustri_BYT:inst58|lpm_bustri:lpm_bustri_component -159. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55|altsyncram:altsyncram_component -160. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_mux3:inst102|LPM_MUX:lpm_mux_component -161. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff5:inst11|lpm_ff:lpm_ff_component -162. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_mux2:inst25|LPM_MUX:lpm_mux_component -163. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_mux4:inst81|LPM_MUX:lpm_mux_component -164. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_constant3:inst82|lpm_constant:lpm_constant_component -165. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_bustri_BYT:inst57|lpm_bustri:lpm_bustri_component -166. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM54|altsyncram:altsyncram_component -167. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_bustri_BYT:inst53|lpm_bustri:lpm_bustri_component -168. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM|altsyncram:altsyncram_component -169. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|altddio_out2:inst5|altddio_out:altddio_out_component -170. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_mux6:inst7|LPM_MUX:lpm_mux_component -171. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff3:inst49|lpm_ff:lpm_ff_component -172. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff3:inst52|lpm_ff:lpm_ff_component -173. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_constant0:inst59|lpm_constant:lpm_constant_component -174. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_constant0:inst54|lpm_constant:lpm_constant_component -175. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_constant0:inst64|lpm_constant:lpm_constant_component -176. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff3:inst46|lpm_ff:lpm_ff_component -177. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff3:inst47|lpm_ff:lpm_ff_component -178. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_constant1:inst77|lpm_constant:lpm_constant_component -179. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_constant1:inst80|lpm_constant:lpm_constant_component -180. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_constant1:inst83|lpm_constant:lpm_constant_component -181. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff4:inst10|lpm_ff:lpm_ff_component -182. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_mux1:inst24|LPM_MUX:lpm_mux_component -183. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_constant2:inst23|lpm_constant:lpm_constant_component -184. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff1:inst9|lpm_ff:lpm_ff_component -185. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_mux0:inst21|LPM_MUX:lpm_mux_component -186. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|altddio_out0:inst2|altddio_out:altddio_out_component -187. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff5:inst97|lpm_ff:lpm_ff_component -188. Parameter Settings for User Entity Instance: altpll2:inst12|altpll:altpll_component -189. Parameter Settings for User Entity Instance: altpll4:inst22|altpll:altpll_component -190. Parameter Settings for User Entity Instance: altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component -191. Parameter Settings for User Entity Instance: altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|altsyncram:altsyncram4 -192. Parameter Settings for User Entity Instance: altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_add_sub:add_sub5 -193. Parameter Settings for User Entity Instance: altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_add_sub:add_sub6 -194. Parameter Settings for User Entity Instance: altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_compare:cmpr7 -195. Parameter Settings for User Entity Instance: altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr1 -196. Parameter Settings for User Entity Instance: altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr12 -197. Parameter Settings for User Entity Instance: altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr13 -198. Parameter Settings for User Entity Instance: altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr14 -199. Parameter Settings for User Entity Instance: altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr15 -200. Parameter Settings for User Entity Instance: altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr2 -201. Parameter Settings for User Entity Instance: altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr3 -202. Parameter Settings for User Entity Instance: altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_decode:decode11 -203. Parameter Settings for User Entity Instance: lpm_ff0:inst1|lpm_ff:lpm_ff_component -204. Parameter Settings for User Entity Instance: interrupt_handler:nobody|lpm_bustri_BYT:$00000|lpm_bustri:lpm_bustri_component -205. Parameter Settings for User Entity Instance: interrupt_handler:nobody|lpm_bustri_BYT:$00002|lpm_bustri:lpm_bustri_component -206. Parameter Settings for User Entity Instance: interrupt_handler:nobody|lpm_bustri_BYT:$00004|lpm_bustri:lpm_bustri_component -207. Parameter Settings for User Entity Instance: interrupt_handler:nobody|lpm_bustri_BYT:$00006|lpm_bustri:lpm_bustri_component -208. Parameter Settings for User Entity Instance: lpm_counter0:inst18|lpm_counter:lpm_counter_component -209. Parameter Settings for User Entity Instance: altddio_out3:inst5|altddio_out:altddio_out_component -210. Parameter Settings for User Entity Instance: altddio_out3:inst6|altddio_out:altddio_out_component -211. Parameter Settings for User Entity Instance: altddio_out3:inst8|altddio_out:altddio_out_component -212. Parameter Settings for User Entity Instance: altddio_out3:inst9|altddio_out:altddio_out_component -213. Parameter Settings for Inferred Entity Instance: Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_14 -214. Parameter Settings for Inferred Entity Instance: Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_6 -215. Parameter Settings for Inferred Entity Instance: Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_12 -216. altpll Parameter Settings by Entity Instance -217. lpm_shiftreg Parameter Settings by Entity Instance -218. dcfifo Parameter Settings by Entity Instance -219. scfifo Parameter Settings by Entity Instance -220. altsyncram Parameter Settings by Entity Instance -221. lpm_mult Parameter Settings by Entity Instance -222. Port Connectivity Checks: "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND" -223. Port Connectivity Checks: "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP" -224. Port Connectivity Checks: "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI" -225. Port Connectivity Checks: "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD" -226. Port Connectivity Checks: "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS" -227. Port Connectivity Checks: "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI" -228. Port Connectivity Checks: "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC" -229. Analysis & Synthesis Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 1991-2010 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. - - - -+-----------------------------------------------------------------------------------+ -; Analysis & Synthesis Summary ; -+------------------------------------+----------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Wed Dec 15 02:21:55 2010 ; -; Quartus II Version ; 9.1 Build 350 03/24/2010 SP 2 SJ Web Edition ; -; Revision Name ; firebee1 ; -; Top-level Entity Name ; firebee1 ; -; Family ; Cyclone III ; -; Total logic elements ; 10,706 ; -; Total combinational functions ; 8,060 ; -; Dedicated logic registers ; 4,612 ; -; Total registers ; 4740 ; -; Total pins ; 295 ; -; Total virtual pins ; 0 ; -; Total memory bits ; 109,344 ; -; Embedded Multiplier 9-bit elements ; 6 ; -; Total PLLs ; 4 ; -+------------------------------------+----------------------------------------------+ - - -+----------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Settings ; -+----------------------------------------------------------------------------+--------------------+--------------------+ -; Option ; Setting ; Default Value ; -+----------------------------------------------------------------------------+--------------------+--------------------+ -; Device ; EP3C40F484C6 ; ; -; Top-level entity name ; firebee1 ; firebee1 ; -; Family name ; Cyclone III ; Stratix II ; -; State Machine Processing ; One-Hot ; Auto ; -; Optimization Technique ; Speed ; Balanced ; -; Use Generated Physical Constraints File ; Off ; ; -; Use smart compilation ; Off ; Off ; -; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; -; Enable compact report table ; Off ; Off ; -; Restructure Multiplexers ; Auto ; Auto ; -; Create Debugging Nodes for IP Cores ; Off ; Off ; -; Preserve fewer node names ; On ; On ; -; Disable OpenCore Plus hardware evaluation ; Off ; Off ; -; Verilog Version ; Verilog_2001 ; Verilog_2001 ; -; VHDL Version ; VHDL_1993 ; VHDL_1993 ; -; Safe State Machine ; Off ; Off ; -; Extract Verilog State Machines ; On ; On ; -; Extract VHDL State Machines ; On ; On ; -; Ignore Verilog initial constructs ; Off ; Off ; -; Iteration limit for constant Verilog loops ; 5000 ; 5000 ; -; Iteration limit for non-constant Verilog loops ; 250 ; 250 ; -; Add Pass-Through Logic to Inferred RAMs ; On ; On ; -; Parallel Synthesis ; On ; On ; -; DSP Block Balancing ; Auto ; Auto ; -; NOT Gate Push-Back ; On ; On ; -; Power-Up Don't Care ; On ; On ; -; Remove Redundant Logic Cells ; Off ; Off ; -; Remove Duplicate Registers ; On ; On ; -; Ignore CARRY Buffers ; Off ; Off ; -; Ignore CASCADE Buffers ; Off ; Off ; -; Ignore GLOBAL Buffers ; Off ; Off ; -; Ignore ROW GLOBAL Buffers ; Off ; Off ; -; Ignore LCELL Buffers ; Off ; Off ; -; Ignore SOFT Buffers ; On ; On ; -; Limit AHDL Integers to 32 Bits ; Off ; Off ; -; Carry Chain Length ; 70 ; 70 ; -; Auto Carry Chains ; On ; On ; -; Auto Open-Drain Pins ; On ; On ; -; Perform WYSIWYG Primitive Resynthesis ; Off ; Off ; -; Auto ROM Replacement ; On ; On ; -; Auto RAM Replacement ; On ; On ; -; Auto DSP Block Replacement ; On ; On ; -; Auto Shift Register Replacement ; Auto ; Auto ; -; Auto Clock Enable Replacement ; On ; On ; -; Strict RAM Replacement ; Off ; Off ; -; Allow Synchronous Control Signals ; On ; On ; -; Force Use of Synchronous Clear Signals ; Off ; Off ; -; Auto RAM Block Balancing ; On ; On ; -; Auto RAM to Logic Cell Conversion ; Off ; Off ; -; Auto Resource Sharing ; Off ; Off ; -; Allow Any RAM Size For Recognition ; Off ; Off ; -; Allow Any ROM Size For Recognition ; Off ; Off ; -; Allow Any Shift Register Size For Recognition ; Off ; Off ; -; Use LogicLock Constraints during Resource Balancing ; On ; On ; -; Ignore translate_off and synthesis_off directives ; Off ; Off ; -; Timing-Driven Synthesis ; On ; On ; -; Show Parameter Settings Tables in Synthesis Report ; On ; On ; -; Ignore Maximum Fan-Out Assignments ; Off ; Off ; -; Synchronization Register Chain Length ; 2 ; 2 ; -; PowerPlay Power Optimization ; Normal compilation ; Normal compilation ; -; HDL message level ; Level2 ; Level2 ; -; Suppress Register Optimization Related Messages ; Off ; Off ; -; Number of Removed Registers Reported in Synthesis Report ; 5000 ; 5000 ; -; Number of Inverted Registers Reported in Synthesis Report ; 100 ; 100 ; -; Clock MUX Protection ; On ; On ; -; Auto Gated Clock Conversion ; Off ; Off ; -; Block Design Naming ; Auto ; Auto ; -; SDC constraint protection ; Off ; Off ; -; Synthesis Effort ; Auto ; Auto ; -; Shift Register Replacement - Allow Asynchronous Clear Signal ; On ; On ; -; Analysis & Synthesis Message Level ; Medium ; Medium ; -; Disable Register Merging Across Hierarchies ; Auto ; Auto ; -; Resource Aware Inference For Block RAM ; On ; On ; -+----------------------------------------------------------------------------+--------------------+--------------------+ - - -Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. -+-------------------------------------+ -; Parallel Compilation ; -+----------------------------+--------+ -; Processors ; Number ; -+----------------------------+--------+ -; Number detected on machine ; 4 ; -; Maximum allowed ; 1 ; -+----------------------------+--------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Source Files Read ; -+----------------------------------------------------------------+-----------------+------------------------------------+--------------------------------------------------------------------------------+ -; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; -+----------------------------------------------------------------+-----------------+------------------------------------+--------------------------------------------------------------------------------+ -; FalconIO_SDCard_IDE_CF/WF5380/wf5380_control.vhd ; yes ; User VHDL File ; C:/FireBee/FPGA/FalconIO_SDCard_IDE_CF/WF5380/wf5380_control.vhd ; -; FalconIO_SDCard_IDE_CF/WF5380/wf5380_pkg.vhd ; yes ; User VHDL File ; C:/FireBee/FPGA/FalconIO_SDCard_IDE_CF/WF5380/wf5380_pkg.vhd ; -; FalconIO_SDCard_IDE_CF/WF5380/wf5380_registers.vhd ; yes ; User VHDL File ; C:/FireBee/FPGA/FalconIO_SDCard_IDE_CF/WF5380/wf5380_registers.vhd ; -; FalconIO_SDCard_IDE_CF/WF5380/wf5380_soc_top.vhd ; yes ; User VHDL File ; C:/FireBee/FPGA/FalconIO_SDCard_IDE_CF/WF5380/wf5380_soc_top.vhd ; -; FalconIO_SDCard_IDE_CF/WF_FDC1772_IP/wf1772ip_am_detector.vhd ; yes ; User VHDL File ; C:/FireBee/FPGA/FalconIO_SDCard_IDE_CF/WF_FDC1772_IP/wf1772ip_am_detector.vhd ; -; FalconIO_SDCard_IDE_CF/dcfifo0.vhd ; yes ; User Wizard-Generated File ; C:/FireBee/FPGA/FalconIO_SDCard_IDE_CF/dcfifo0.vhd ; -; Video/DDR_CTR.tdf ; yes ; User AHDL File ; C:/FireBee/FPGA/Video/DDR_CTR.tdf ; -; FalconIO_SDCard_IDE_CF/WF_FDC1772_IP/wf1772ip_control.vhd ; yes ; User VHDL File ; C:/FireBee/FPGA/FalconIO_SDCard_IDE_CF/WF_FDC1772_IP/wf1772ip_control.vhd ; -; FalconIO_SDCard_IDE_CF/WF_FDC1772_IP/wf1772ip_crc_logic.vhd ; yes ; User VHDL File ; C:/FireBee/FPGA/FalconIO_SDCard_IDE_CF/WF_FDC1772_IP/wf1772ip_crc_logic.vhd ; -; FalconIO_SDCard_IDE_CF/WF_FDC1772_IP/wf1772ip_digital_pll.vhd ; yes ; User VHDL File ; C:/FireBee/FPGA/FalconIO_SDCard_IDE_CF/WF_FDC1772_IP/wf1772ip_digital_pll.vhd ; -; FalconIO_SDCard_IDE_CF/WF_FDC1772_IP/wf1772ip_pkg.vhd ; yes ; User VHDL File ; C:/FireBee/FPGA/FalconIO_SDCard_IDE_CF/WF_FDC1772_IP/wf1772ip_pkg.vhd ; -; FalconIO_SDCard_IDE_CF/WF_FDC1772_IP/wf1772ip_registers.vhd ; yes ; User VHDL File ; C:/FireBee/FPGA/FalconIO_SDCard_IDE_CF/WF_FDC1772_IP/wf1772ip_registers.vhd ; -; FalconIO_SDCard_IDE_CF/WF_FDC1772_IP/wf1772ip_top_soc.vhd ; yes ; User VHDL File ; C:/FireBee/FPGA/FalconIO_SDCard_IDE_CF/WF_FDC1772_IP/wf1772ip_top_soc.vhd ; -; FalconIO_SDCard_IDE_CF/WF_FDC1772_IP/wf1772ip_transceiver.vhd ; yes ; User VHDL File ; C:/FireBee/FPGA/FalconIO_SDCard_IDE_CF/WF_FDC1772_IP/wf1772ip_transceiver.vhd ; -; FalconIO_SDCard_IDE_CF/WF_UART6850_IP/wf6850ip_ctrl_status.vhd ; yes ; User VHDL File ; C:/FireBee/FPGA/FalconIO_SDCard_IDE_CF/WF_UART6850_IP/wf6850ip_ctrl_status.vhd ; -; FalconIO_SDCard_IDE_CF/WF_UART6850_IP/wf6850ip_receive.vhd ; yes ; User VHDL File ; C:/FireBee/FPGA/FalconIO_SDCard_IDE_CF/WF_UART6850_IP/wf6850ip_receive.vhd ; -; FalconIO_SDCard_IDE_CF/WF_UART6850_IP/wf6850ip_top_soc.vhd ; yes ; User VHDL File ; C:/FireBee/FPGA/FalconIO_SDCard_IDE_CF/WF_UART6850_IP/wf6850ip_top_soc.vhd ; -; FalconIO_SDCard_IDE_CF/WF_UART6850_IP/wf6850ip_transmit.vhd ; yes ; User VHDL File ; C:/FireBee/FPGA/FalconIO_SDCard_IDE_CF/WF_UART6850_IP/wf6850ip_transmit.vhd ; -; FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_gpio.vhd ; yes ; User VHDL File ; C:/FireBee/FPGA/FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_gpio.vhd ; -; FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_interrupts.vhd ; yes ; User VHDL File ; C:/FireBee/FPGA/FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_interrupts.vhd ; -; FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_pkg.vhd ; yes ; User VHDL File ; C:/FireBee/FPGA/FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_pkg.vhd ; -; FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_timers.vhd ; yes ; User VHDL File ; C:/FireBee/FPGA/FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_timers.vhd ; -; FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_top_soc.vhd ; yes ; User VHDL File ; C:/FireBee/FPGA/FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_top_soc.vhd ; -; FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_usart_ctrl.vhd ; yes ; User VHDL File ; C:/FireBee/FPGA/FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_usart_ctrl.vhd ; -; FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_usart_rx.vhd ; yes ; User VHDL File ; C:/FireBee/FPGA/FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_usart_rx.vhd ; -; FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_usart_top.vhd ; yes ; User VHDL File ; C:/FireBee/FPGA/FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_usart_top.vhd ; -; FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_usart_tx.vhd ; yes ; User VHDL File ; C:/FireBee/FPGA/FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_usart_tx.vhd ; -; FalconIO_SDCard_IDE_CF/WF_SND2149_IP/wf2149ip_pkg.vhd ; yes ; User VHDL File ; C:/FireBee/FPGA/FalconIO_SDCard_IDE_CF/WF_SND2149_IP/wf2149ip_pkg.vhd ; -; FalconIO_SDCard_IDE_CF/WF_SND2149_IP/wf2149ip_top_soc.vhd ; yes ; User VHDL File ; C:/FireBee/FPGA/FalconIO_SDCard_IDE_CF/WF_SND2149_IP/wf2149ip_top_soc.vhd ; -; FalconIO_SDCard_IDE_CF/WF_SND2149_IP/wf2149ip_wave.vhd ; yes ; User VHDL File ; C:/FireBee/FPGA/FalconIO_SDCard_IDE_CF/WF_SND2149_IP/wf2149ip_wave.vhd ; -; lpm_latch0.vhd ; yes ; User Wizard-Generated File ; C:/FireBee/FPGA/lpm_latch0.vhd ; -; altpll1.vhd ; yes ; User Wizard-Generated File ; C:/FireBee/FPGA/altpll1.vhd ; -; Video/lpm_fifoDZ.vhd ; yes ; User Wizard-Generated File ; C:/FireBee/FPGA/Video/lpm_fifoDZ.vhd ; -; altpll2.vhd ; yes ; User Wizard-Generated File ; C:/FireBee/FPGA/altpll2.vhd ; -; altpll3.vhd ; yes ; User Wizard-Generated File ; C:/FireBee/FPGA/altpll3.vhd ; -; Video/altdpram0.vhd ; yes ; User Wizard-Generated File ; C:/FireBee/FPGA/Video/altdpram0.vhd ; -; Video/lpm_muxDZ.vhd ; yes ; User Wizard-Generated File ; C:/FireBee/FPGA/Video/lpm_muxDZ.vhd ; -; Video/lpm_bustri3.vhd ; yes ; User Wizard-Generated File ; C:/FireBee/FPGA/Video/lpm_bustri3.vhd ; -; Video/lpm_ff0.vhd ; yes ; User Wizard-Generated File ; C:/FireBee/FPGA/Video/lpm_ff0.vhd ; -; Video/lpm_ff1.vhd ; yes ; User Wizard-Generated File ; C:/FireBee/FPGA/Video/lpm_ff1.vhd ; -; Video/lpm_ff3.vhd ; yes ; User Wizard-Generated File ; C:/FireBee/FPGA/Video/lpm_ff3.vhd ; -; Video/VIDEO_MOD_MUX_CLUTCTR.tdf ; yes ; User AHDL File ; C:/FireBee/FPGA/Video/VIDEO_MOD_MUX_CLUTCTR.tdf ; -; Video/lpm_fifo_dc0.vhd ; yes ; User Wizard-Generated File ; C:/FireBee/FPGA/Video/lpm_fifo_dc0.vhd ; -; Video/Video.bdf ; yes ; User Block Diagram/Schematic File ; C:/FireBee/FPGA/Video/Video.bdf ; -; firebee1.bdf ; yes ; User Block Diagram/Schematic File ; C:/FireBee/FPGA/firebee1.bdf ; -; lpm_counter0.vhd ; yes ; User Wizard-Generated File ; C:/FireBee/FPGA/lpm_counter0.vhd ; -; FalconIO_SDCard_IDE_CF/FalconIO_SDCard_IDE_CF.vhd ; yes ; User VHDL File ; C:/FireBee/FPGA/FalconIO_SDCard_IDE_CF/FalconIO_SDCard_IDE_CF.vhd ; -; DSP/DSP.vhd ; yes ; User VHDL File ; C:/FireBee/FPGA/DSP/DSP.vhd ; -; Video/lpm_shiftreg0.vhd ; yes ; User Wizard-Generated File ; C:/FireBee/FPGA/Video/lpm_shiftreg0.vhd ; -; Video/lpm_bustri1.vhd ; yes ; User Wizard-Generated File ; C:/FireBee/FPGA/Video/lpm_bustri1.vhd ; -; Video/altdpram1.vhd ; yes ; User Wizard-Generated File ; C:/FireBee/FPGA/Video/altdpram1.vhd ; -; Video/lpm_constant0.vhd ; yes ; User Wizard-Generated File ; C:/FireBee/FPGA/Video/lpm_constant0.vhd ; -; Video/lpm_constant1.vhd ; yes ; User Wizard-Generated File ; C:/FireBee/FPGA/Video/lpm_constant1.vhd ; -; Video/lpm_mux0.vhd ; yes ; User Wizard-Generated File ; C:/FireBee/FPGA/Video/lpm_mux0.vhd ; -; Video/lpm_mux1.vhd ; yes ; User Wizard-Generated File ; C:/FireBee/FPGA/Video/lpm_mux1.vhd ; -; Video/lpm_mux2.vhd ; yes ; User Wizard-Generated File ; C:/FireBee/FPGA/Video/lpm_mux2.vhd ; -; Video/lpm_constant2.vhd ; yes ; User Wizard-Generated File ; C:/FireBee/FPGA/Video/lpm_constant2.vhd ; -; Video/altdpram2.vhd ; yes ; User Wizard-Generated File ; C:/FireBee/FPGA/Video/altdpram2.vhd ; -; Video/lpm_mux3.vhd ; yes ; User Wizard-Generated File ; C:/FireBee/FPGA/Video/lpm_mux3.vhd ; -; Video/lpm_mux4.vhd ; yes ; User Wizard-Generated File ; C:/FireBee/FPGA/Video/lpm_mux4.vhd ; -; Video/lpm_constant3.vhd ; yes ; User Wizard-Generated File ; C:/FireBee/FPGA/Video/lpm_constant3.vhd ; -; Interrupt_Handler/interrupt_handler.tdf ; yes ; User AHDL File ; C:/FireBee/FPGA/Interrupt_Handler/interrupt_handler.tdf ; -; lpm_bustri_LONG.vhd ; yes ; User Wizard-Generated File ; C:/FireBee/FPGA/lpm_bustri_LONG.vhd ; -; lpm_bustri_BYT.vhd ; yes ; User Wizard-Generated File ; C:/FireBee/FPGA/lpm_bustri_BYT.vhd ; -; lpm_bustri_WORD.vhd ; yes ; User Wizard-Generated File ; C:/FireBee/FPGA/lpm_bustri_WORD.vhd ; -; Video/lpm_ff4.vhd ; yes ; User Wizard-Generated File ; C:/FireBee/FPGA/Video/lpm_ff4.vhd ; -; Video/lpm_ff5.vhd ; yes ; User Wizard-Generated File ; C:/FireBee/FPGA/Video/lpm_ff5.vhd ; -; Video/lpm_ff6.vhd ; yes ; User Wizard-Generated File ; C:/FireBee/FPGA/Video/lpm_ff6.vhd ; -; Video/altddio_bidir0.vhd ; yes ; User Wizard-Generated File ; C:/FireBee/FPGA/Video/altddio_bidir0.vhd ; -; Video/altddio_out0.vhd ; yes ; User Wizard-Generated File ; C:/FireBee/FPGA/Video/altddio_out0.vhd ; -; Video/lpm_mux5.vhd ; yes ; User Wizard-Generated File ; C:/FireBee/FPGA/Video/lpm_mux5.vhd ; -; Video/BLITTER/BLITTER.vhd ; yes ; User VHDL File ; C:/FireBee/FPGA/Video/BLITTER/BLITTER.vhd ; -; Video/lpm_shiftreg6.vhd ; yes ; User Wizard-Generated File ; C:/FireBee/FPGA/Video/lpm_shiftreg6.vhd ; -; Video/lpm_shiftreg4.vhd ; yes ; User Wizard-Generated File ; C:/FireBee/FPGA/Video/lpm_shiftreg4.vhd ; -; Video/altddio_out2.vhd ; yes ; User Wizard-Generated File ; C:/FireBee/FPGA/Video/altddio_out2.vhd ; -; altddio_out3.vhd ; yes ; User Wizard-Generated File ; C:/FireBee/FPGA/altddio_out3.vhd ; -; Video/lpm_mux6.vhd ; yes ; User Wizard-Generated File ; C:/FireBee/FPGA/Video/lpm_mux6.vhd ; -; FalconIO_SDCard_IDE_CF/FalconIO_SDCard_IDE_CF_pgk.vhd ; yes ; User VHDL File ; C:/FireBee/FPGA/FalconIO_SDCard_IDE_CF/FalconIO_SDCard_IDE_CF_pgk.vhd ; -; FalconIO_SDCard_IDE_CF/dcfifo1.vhd ; yes ; User Wizard-Generated File ; C:/FireBee/FPGA/FalconIO_SDCard_IDE_CF/dcfifo1.vhd ; -; Video/lpm_muxVDM.vhd ; yes ; User Wizard-Generated File ; C:/FireBee/FPGA/Video/lpm_muxVDM.vhd ; -; lpm_bustri_byt.inc ; yes ; Auto-Found AHDL File ; C:/FireBee/FPGA/lpm_bustri_byt.inc ; -; lpm_bustri_word.inc ; yes ; Auto-Found AHDL File ; C:/FireBee/FPGA/lpm_bustri_word.inc ; -; lpm_bustri_long.inc ; yes ; Auto-Found AHDL File ; C:/FireBee/FPGA/lpm_bustri_long.inc ; -; altpll.tdf ; yes ; Megafunction ; c:/altera/91sp2/quartus/libraries/megafunctions/altpll.tdf ; -; db/altpll_pul2.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/altpll_pul2.tdf ; -; dcfifo_mixed_widths.tdf ; yes ; Megafunction ; c:/altera/91sp2/quartus/libraries/megafunctions/dcfifo_mixed_widths.tdf ; -; db/dcfifo_0hh1.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/dcfifo_0hh1.tdf ; -; db/a_gray2bin_lfb.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/a_gray2bin_lfb.tdf ; -; db/a_graycounter_k47.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/a_graycounter_k47.tdf ; -; db/a_graycounter_fic.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/a_graycounter_fic.tdf ; -; db/altsyncram_bi31.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/altsyncram_bi31.tdf ; -; db/alt_synch_pipe_ikd.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/alt_synch_pipe_ikd.tdf ; -; db/dffpipe_hd9.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/dffpipe_hd9.tdf ; -; db/dffpipe_gd9.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/dffpipe_gd9.tdf ; -; db/dffpipe_pe9.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/dffpipe_pe9.tdf ; -; db/alt_synch_pipe_jkd.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/alt_synch_pipe_jkd.tdf ; -; db/dffpipe_id9.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/dffpipe_id9.tdf ; -; db/cmpr_256.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/cmpr_256.tdf ; -; db/cmpr_156.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/cmpr_156.tdf ; -; db/cntr_t2e.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/cntr_t2e.tdf ; -; db/mux_a18.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/mux_a18.tdf ; -; db/dcfifo_3fh1.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/dcfifo_3fh1.tdf ; -; db/a_graycounter_j47.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/a_graycounter_j47.tdf ; -; db/a_graycounter_gic.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/a_graycounter_gic.tdf ; -; db/altsyncram_ci31.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/altsyncram_ci31.tdf ; -; db/alt_synch_pipe_kkd.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/alt_synch_pipe_kkd.tdf ; -; db/dffpipe_jd9.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/dffpipe_jd9.tdf ; -; db/alt_synch_pipe_lkd.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/alt_synch_pipe_lkd.tdf ; -; db/dffpipe_kd9.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/dffpipe_kd9.tdf ; -; db/altpll_41p2.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/altpll_41p2.tdf ; -; lpm_bustri.tdf ; yes ; Megafunction ; c:/altera/91sp2/quartus/libraries/megafunctions/lpm_bustri.tdf ; -; lpm_shiftreg.tdf ; yes ; Megafunction ; c:/altera/91sp2/quartus/libraries/megafunctions/lpm_shiftreg.tdf ; -; dcfifo.tdf ; yes ; Megafunction ; c:/altera/91sp2/quartus/libraries/megafunctions/dcfifo.tdf ; -; db/dcfifo_8fi1.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/dcfifo_8fi1.tdf ; -; db/a_gray2bin_tgb.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/a_gray2bin_tgb.tdf ; -; db/a_graycounter_s57.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/a_graycounter_s57.tdf ; -; db/a_graycounter_ojc.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/a_graycounter_ojc.tdf ; -; db/a_graycounter_njc.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/a_graycounter_njc.tdf ; -; db/altsyncram_tl31.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/altsyncram_tl31.tdf ; -; db/alt_synch_pipe_rld.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/alt_synch_pipe_rld.tdf ; -; db/dffpipe_qe9.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/dffpipe_qe9.tdf ; -; db/dffpipe_9d9.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/dffpipe_9d9.tdf ; -; db/dffpipe_oe9.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/dffpipe_oe9.tdf ; -; db/alt_synch_pipe_sld.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/alt_synch_pipe_sld.tdf ; -; db/dffpipe_re9.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/dffpipe_re9.tdf ; -; lpm_mux.tdf ; yes ; Megafunction ; c:/altera/91sp2/quartus/libraries/megafunctions/lpm_mux.tdf ; -; db/mux_bbe.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/mux_bbe.tdf ; -; lpm_ff.tdf ; yes ; Megafunction ; c:/altera/91sp2/quartus/libraries/megafunctions/lpm_ff.tdf ; -; altddio_bidir.tdf ; yes ; Megafunction ; c:/altera/91sp2/quartus/libraries/megafunctions/altddio_bidir.tdf ; -; db/ddio_bidir_3jl.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/ddio_bidir_3jl.tdf ; -; db/mux_58e.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/mux_58e.tdf ; -; lpm_latch.tdf ; yes ; Megafunction ; c:/altera/91sp2/quartus/libraries/megafunctions/lpm_latch.tdf ; -; altsyncram.tdf ; yes ; Megafunction ; c:/altera/91sp2/quartus/libraries/megafunctions/altsyncram.tdf ; -; db/altsyncram_lf92.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/altsyncram_lf92.tdf ; -; mux41.bdf ; yes ; Megafunction ; c:/altera/91sp2/quartus/libraries/others/maxplus2/mux41.bdf ; -; db/mux_dcf.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/mux_dcf.tdf ; -; scfifo.tdf ; yes ; Megafunction ; c:/altera/91sp2/quartus/libraries/megafunctions/scfifo.tdf ; -; db/scfifo_lk21.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/scfifo_lk21.tdf ; -; db/a_dpfifo_oq21.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/a_dpfifo_oq21.tdf ; -; db/altsyncram_gj81.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/altsyncram_gj81.tdf ; -; db/cmpr_br8.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/cmpr_br8.tdf ; -; db/cntr_omb.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/cntr_omb.tdf ; -; db/cntr_5n7.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/cntr_5n7.tdf ; -; db/cntr_pmb.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/cntr_pmb.tdf ; -; db/altsyncram_rb92.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/altsyncram_rb92.tdf ; -; db/altsyncram_pf92.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/altsyncram_pf92.tdf ; -; db/mux_96e.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/mux_96e.tdf ; -; db/mux_mpe.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/mux_mpe.tdf ; -; db/mux_f6e.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/mux_f6e.tdf ; -; lpm_constant.tdf ; yes ; Megafunction ; c:/altera/91sp2/quartus/libraries/megafunctions/lpm_constant.tdf ; -; altddio_out.tdf ; yes ; Megafunction ; c:/altera/91sp2/quartus/libraries/megafunctions/altddio_out.tdf ; -; db/ddio_out_o2f.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/ddio_out_o2f.tdf ; -; db/mux_kpe.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/mux_kpe.tdf ; -; db/mux_npe.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/mux_npe.tdf ; -; db/mux_gpe.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/mux_gpe.tdf ; -; db/ddio_out_are.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/ddio_out_are.tdf ; -; db/altpll_isv2.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/altpll_isv2.tdf ; -; altpll4.tdf ; yes ; Auto-Found Wizard-Generated File ; C:/FireBee/FPGA/altpll4.tdf ; -; altpll.inc ; yes ; Auto-Found AHDL File ; c:/altera/91sp2/quartus/libraries/megafunctions/altpll.inc ; -; db/altpll_c6j2.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/altpll_c6j2.tdf ; -; altpll_reconfig1.tdf ; yes ; Auto-Found Wizard-Generated File ; C:/FireBee/FPGA/altpll_reconfig1.tdf ; -; altpll_reconfig1_pllrcfg_t4q.tdf ; yes ; Auto-Found AHDL File ; C:/FireBee/FPGA/altpll_reconfig1_pllrcfg_t4q.tdf ; -; altsyncram.inc ; yes ; Auto-Found AHDL File ; c:/altera/91sp2/quartus/libraries/megafunctions/altsyncram.inc ; -; db/altsyncram_46r.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/altsyncram_46r.tdf ; -; lpm_add_sub.tdf ; yes ; Megafunction ; c:/altera/91sp2/quartus/libraries/megafunctions/lpm_add_sub.tdf ; -; db/add_sub_hpa.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/add_sub_hpa.tdf ; -; db/add_sub_k8a.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/add_sub_k8a.tdf ; -; lpm_compare.tdf ; yes ; Megafunction ; c:/altera/91sp2/quartus/libraries/megafunctions/lpm_compare.tdf ; -; db/cmpr_tnd.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/cmpr_tnd.tdf ; -; lpm_counter.tdf ; yes ; Megafunction ; c:/altera/91sp2/quartus/libraries/megafunctions/lpm_counter.tdf ; -; db/cntr_30l.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/cntr_30l.tdf ; -; db/cntr_qij.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/cntr_qij.tdf ; -; db/cntr_pij.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/cntr_pij.tdf ; -; db/cntr_9cj.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/cntr_9cj.tdf ; -; lpm_decode.tdf ; yes ; Megafunction ; c:/altera/91sp2/quartus/libraries/megafunctions/lpm_decode.tdf ; -; db/decode_2af.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/decode_2af.tdf ; -; db/cntr_mph.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/cntr_mph.tdf ; -; db/ddio_out_31f.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/ddio_out_31f.tdf ; -; lpm_mult.tdf ; yes ; Megafunction ; c:/altera/91sp2/quartus/libraries/megafunctions/lpm_mult.tdf ; -; db/mult_cat.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/mult_cat.tdf ; -; db/mult_aat.tdf ; yes ; Auto-Generated Megafunction ; C:/FireBee/FPGA/db/mult_aat.tdf ; -+----------------------------------------------------------------+-----------------+------------------------------------+--------------------------------------------------------------------------------+ - - -+--------------------------------------------------------------+ -; Analysis & Synthesis Resource Usage Summary ; -+---------------------------------------------+----------------+ -; Resource ; Usage ; -+---------------------------------------------+----------------+ -; Estimated Total logic elements ; 10,706 ; -; ; ; -; Total combinational functions ; 8060 ; -; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 4947 ; -; -- 3 input functions ; 1867 ; -; -- <=2 input functions ; 1246 ; -; ; ; -; Logic elements by mode ; ; -; -- normal mode ; 7261 ; -; -- arithmetic mode ; 799 ; -; ; ; -; Total registers ; 4740 ; -; -- Dedicated logic registers ; 4612 ; -; -- I/O registers ; 256 ; -; ; ; -; I/O pins ; 295 ; -; Total memory bits ; 109344 ; -; Embedded Multiplier 9-bit elements ; 6 ; -; Total PLLs ; 4 ; -; Maximum fan-out node ; MAIN_CLK~input ; -; Maximum fan-out ; 2327 ; -; Total fan-out ; 49317 ; -; Average fan-out ; 3.57 ; -+---------------------------------------------+----------------+ - - -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Resource Utilization by Entity ; -+-----------------------------------------------------------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------+ -; Compilation Hierarchy Node ; LC Combinationals ; LC Registers ; Memory Bits ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; Full Hierarchy Name ; Library Name ; -+-----------------------------------------------------------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------+ -; |firebee1 ; 8060 (10) ; 4612 (0) ; 109344 ; 6 ; 0 ; 3 ; 295 ; 0 ; |firebee1 ; work ; -; |DSP:Mathias_Alles| ; 10 (10) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|DSP:Mathias_Alles ; ; -; |FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden| ; 3814 (634) ; 1633 (114) ; 16384 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden ; ; -; |WF1772IP_TOP_SOC:I_FDC| ; 944 (24) ; 406 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC ; ; -; |WF1772IP_AM_DETECTOR:I_AM_DETECTOR| ; 39 (39) ; 27 (27) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_AM_DETECTOR:I_AM_DETECTOR ; ; -; |WF1772IP_CONTROL:I_CONTROL| ; 533 (533) ; 197 (197) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL ; ; -; |WF1772IP_CRC_LOGIC:I_CRC_LOGIC| ; 40 (40) ; 16 (16) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CRC_LOGIC:I_CRC_LOGIC ; ; -; |WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL| ; 104 (104) ; 38 (38) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL ; ; -; |WF1772IP_REGISTERS:I_REGISTERS| ; 86 (86) ; 48 (48) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS ; ; -; |WF1772IP_TRANSCEIVER:I_TRANSCEIVER| ; 118 (118) ; 80 (80) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER ; ; -; |WF2149IP_TOP_SOC:I_SOUND| ; 445 (32) ; 210 (29) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND ; ; -; |WF2149IP_WAVE:I_PSG_WAVE| ; 413 (413) ; 181 (181) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE ; ; -; |WF5380_TOP_SOC:I_SCSI| ; 0 (0) ; 1 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI ; ; -; |WF5380_CONTROL:I_CONTROL| ; 0 (0) ; 1 (1) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL ; ; -; |WF6850IP_TOP_SOC:I_ACIA_KEYBOARD| ; 199 (2) ; 97 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD ; ; -; |WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS| ; 16 (16) ; 11 (11) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS ; ; -; |WF6850IP_RECEIVE:I_UART_RECEIVE| ; 94 (94) ; 47 (47) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE ; ; -; |WF6850IP_TRANSMIT:I_UART_TRANSMIT| ; 87 (87) ; 39 (39) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT ; ; -; |WF6850IP_TOP_SOC:I_ACIA_MIDI| ; 203 (2) ; 97 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI ; ; -; |WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS| ; 20 (20) ; 11 (11) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS ; ; -; |WF6850IP_RECEIVE:I_UART_RECEIVE| ; 94 (94) ; 47 (47) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE ; ; -; |WF6850IP_TRANSMIT:I_UART_TRANSMIT| ; 87 (87) ; 39 (39) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT ; ; -; |WF68901IP_TOP_SOC:I_MFP| ; 1199 (178) ; 460 (2) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP ; ; -; |WF68901IP_GPIO:I_GPIO| ; 25 (25) ; 24 (24) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO ; ; -; |WF68901IP_INTERRUPTS:I_INTERRUPTS| ; 273 (273) ; 128 (128) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS ; ; -; |WF68901IP_TIMERS:I_TIMERS| ; 434 (434) ; 166 (166) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS ; ; -; |WF68901IP_USART_TOP:I_USART| ; 289 (4) ; 140 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART ; ; -; |WF68901IP_USART_CTRL:I_USART_CTRL| ; 38 (38) ; 49 (49) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL ; ; -; |WF68901IP_USART_RX:I_USART_RECEIVE| ; 159 (159) ; 56 (56) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE ; ; -; |WF68901IP_USART_TX:I_USART_TRANSMIT| ; 88 (88) ; 35 (35) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT ; ; -; |dcfifo0:RDF| ; 94 (0) ; 124 (0) ; 8192 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF ; ; -; |dcfifo_mixed_widths:dcfifo_mixed_widths_component| ; 94 (0) ; 124 (0) ; 8192 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component ; ; -; |dcfifo_0hh1:auto_generated| ; 94 (17) ; 124 (42) ; 8192 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated ; ; -; |a_gray2bin_lfb:wrptr_g_gray2bin| ; 7 (7) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_gray2bin_lfb:wrptr_g_gray2bin ; ; -; |a_gray2bin_lfb:ws_dgrp_gray2bin| ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_gray2bin_lfb:ws_dgrp_gray2bin ; ; -; |a_graycounter_fic:wrptr_g1p| ; 16 (16) ; 13 (13) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_graycounter_fic:wrptr_g1p ; ; -; |a_graycounter_k47:rdptr_g1p| ; 17 (17) ; 13 (13) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_graycounter_k47:rdptr_g1p ; ; -; |alt_synch_pipe_ikd:rs_dgwp| ; 0 (0) ; 18 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|alt_synch_pipe_ikd:rs_dgwp ; ; -; |dffpipe_hd9:dffpipe12| ; 0 (0) ; 18 (18) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|alt_synch_pipe_ikd:rs_dgwp|dffpipe_hd9:dffpipe12 ; ; -; |alt_synch_pipe_jkd:ws_dgrp| ; 0 (0) ; 18 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|alt_synch_pipe_jkd:ws_dgrp ; ; -; |dffpipe_id9:dffpipe17| ; 0 (0) ; 18 (18) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|alt_synch_pipe_jkd:ws_dgrp|dffpipe_id9:dffpipe17 ; ; -; |altsyncram_bi31:fifo_ram| ; 0 (0) ; 0 (0) ; 8192 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|altsyncram_bi31:fifo_ram ; ; -; |cmpr_156:rdempty_eq_comp1_msb| ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|cmpr_156:rdempty_eq_comp1_msb ; ; -; |cmpr_156:wrfull_eq_comp1_msb| ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|cmpr_156:wrfull_eq_comp1_msb ; ; -; |cntr_t2e:cntr_b| ; 3 (3) ; 2 (2) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|cntr_t2e:cntr_b ; ; -; |dffpipe_gd9:ws_brp| ; 0 (0) ; 8 (8) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|dffpipe_gd9:ws_brp ; ; -; |dffpipe_pe9:ws_bwp| ; 0 (0) ; 10 (10) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|dffpipe_pe9:ws_bwp ; ; -; |mux_a18:rdemp_eq_comp_lsb_mux| ; 7 (7) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|mux_a18:rdemp_eq_comp_lsb_mux ; ; -; |mux_a18:rdemp_eq_comp_msb_mux| ; 5 (5) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|mux_a18:rdemp_eq_comp_msb_mux ; ; -; |mux_a18:wrfull_eq_comp_lsb_mux| ; 7 (7) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|mux_a18:wrfull_eq_comp_lsb_mux ; ; -; |mux_a18:wrfull_eq_comp_msb_mux| ; 5 (5) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|mux_a18:wrfull_eq_comp_msb_mux ; ; -; |dcfifo1:WRF| ; 96 (0) ; 124 (0) ; 8192 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF ; ; -; |dcfifo_mixed_widths:dcfifo_mixed_widths_component| ; 96 (0) ; 124 (0) ; 8192 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component ; ; -; |dcfifo_3fh1:auto_generated| ; 96 (18) ; 124 (42) ; 8192 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated ; ; -; |a_gray2bin_lfb:rdptr_g_gray2bin| ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_gray2bin_lfb:rdptr_g_gray2bin ; ; -; |a_gray2bin_lfb:rs_dgwp_gray2bin| ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_gray2bin_lfb:rs_dgwp_gray2bin ; ; -; |a_graycounter_gic:wrptr_g1p| ; 16 (16) ; 13 (13) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_graycounter_gic:wrptr_g1p ; ; -; |a_graycounter_j47:rdptr_g1p| ; 16 (16) ; 13 (13) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_graycounter_j47:rdptr_g1p ; ; -; |alt_synch_pipe_kkd:rs_dgwp| ; 0 (0) ; 18 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|alt_synch_pipe_kkd:rs_dgwp ; ; -; |dffpipe_jd9:dffpipe12| ; 0 (0) ; 18 (18) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|alt_synch_pipe_kkd:rs_dgwp|dffpipe_jd9:dffpipe12 ; ; -; |alt_synch_pipe_lkd:ws_dgrp| ; 0 (0) ; 18 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|alt_synch_pipe_lkd:ws_dgrp ; ; -; |dffpipe_kd9:dffpipe15| ; 0 (0) ; 18 (18) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|alt_synch_pipe_lkd:ws_dgrp|dffpipe_kd9:dffpipe15 ; ; -; |altsyncram_ci31:fifo_ram| ; 0 (0) ; 0 (0) ; 8192 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram ; ; -; |cmpr_156:rdempty_eq_comp1_msb| ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|cmpr_156:rdempty_eq_comp1_msb ; ; -; |cntr_t2e:cntr_b| ; 4 (4) ; 2 (2) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|cntr_t2e:cntr_b ; ; -; |dffpipe_gd9:rs_bwp| ; 0 (0) ; 8 (8) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|dffpipe_gd9:rs_bwp ; ; -; |dffpipe_pe9:rs_brp| ; 0 (0) ; 10 (10) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|dffpipe_pe9:rs_brp ; ; -; |mux_a18:rdemp_eq_comp_lsb_mux| ; 7 (7) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|mux_a18:rdemp_eq_comp_lsb_mux ; ; -; |mux_a18:rdemp_eq_comp_msb_mux| ; 5 (5) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|mux_a18:rdemp_eq_comp_msb_mux ; ; -; |mux_a18:wrfull_eq_comp_lsb_mux| ; 7 (7) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|mux_a18:wrfull_eq_comp_lsb_mux ; ; -; |mux_a18:wrfull_eq_comp_msb_mux| ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|mux_a18:wrfull_eq_comp_msb_mux ; ; -; |Video:Fredi_Aschwanden| ; 3109 (10) ; 2172 (4) ; 92816 ; 6 ; 0 ; 3 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden ; ; -; |DDR_CTR:DDR_CTR| ; 348 (314) ; 158 (158) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR ; ; -; |lpm_bustri_BYT:$00002| ; 3 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|lpm_bustri_BYT:$00002 ; ; -; |lpm_bustri:lpm_bustri_component| ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|lpm_bustri_BYT:$00002|lpm_bustri:lpm_bustri_component ; ; -; |lpm_bustri_BYT:$00004| ; 31 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|lpm_bustri_BYT:$00004 ; ; -; |lpm_bustri:lpm_bustri_component| ; 31 (31) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|lpm_bustri_BYT:$00004|lpm_bustri:lpm_bustri_component ; ; -; |VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR| ; 1260 (1013) ; 529 (529) ; 0 ; 6 ; 0 ; 3 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR ; ; -; |lpm_bustri_WORD:$00000| ; 187 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_bustri_WORD:$00000 ; ; -; |lpm_bustri:lpm_bustri_component| ; 187 (187) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_bustri_WORD:$00000|lpm_bustri:lpm_bustri_component ; ; -; |lpm_bustri_WORD:$00002| ; 60 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_bustri_WORD:$00002 ; ; -; |lpm_bustri:lpm_bustri_component| ; 60 (60) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_bustri_WORD:$00002|lpm_bustri:lpm_bustri_component ; ; -; |lpm_mult:op_12| ; 0 (0) ; 0 (0) ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_12 ; ; -; |mult_aat:auto_generated| ; 0 (0) ; 0 (0) ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_12|mult_aat:auto_generated ; ; -; |lpm_mult:op_14| ; 0 (0) ; 0 (0) ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_14 ; ; -; |mult_cat:auto_generated| ; 0 (0) ; 0 (0) ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_14|mult_cat:auto_generated ; ; -; |lpm_mult:op_6| ; 0 (0) ; 0 (0) ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_6 ; ; -; |mult_aat:auto_generated| ; 0 (0) ; 0 (0) ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_6|mult_aat:auto_generated ; ; -; |altddio_bidir0:inst1| ; 0 (0) ; 96 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altddio_bidir0:inst1 ; ; -; |altddio_bidir:altddio_bidir_component| ; 0 (0) ; 96 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component ; ; -; |ddio_bidir_3jl:auto_generated| ; 0 (0) ; 96 (96) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated ; ; -; |altddio_out0:inst2| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altddio_out0:inst2 ; ; -; |altddio_out:altddio_out_component| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altddio_out0:inst2|altddio_out:altddio_out_component ; ; -; |ddio_out_are:auto_generated| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altddio_out0:inst2|altddio_out:altddio_out_component|ddio_out_are:auto_generated ; ; -; |altddio_out2:inst5| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altddio_out2:inst5 ; ; -; |altddio_out:altddio_out_component| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altddio_out2:inst5|altddio_out:altddio_out_component ; ; -; |ddio_out_o2f:auto_generated| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altddio_out2:inst5|altddio_out:altddio_out_component|ddio_out_o2f:auto_generated ; ; -; |altdpram0:ST_CLUT_BLUE| ; 0 (0) ; 0 (0) ; 48 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altdpram0:ST_CLUT_BLUE ; ; -; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 48 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altdpram0:ST_CLUT_BLUE|altsyncram:altsyncram_component ; ; -; |altsyncram_rb92:auto_generated| ; 0 (0) ; 0 (0) ; 48 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altdpram0:ST_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated ; ; -; |altdpram0:ST_CLUT_GREEN| ; 0 (0) ; 0 (0) ; 48 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altdpram0:ST_CLUT_GREEN ; ; -; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 48 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altdpram0:ST_CLUT_GREEN|altsyncram:altsyncram_component ; ; -; |altsyncram_rb92:auto_generated| ; 0 (0) ; 0 (0) ; 48 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altdpram0:ST_CLUT_GREEN|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated ; ; -; |altdpram0:ST_CLUT_RED| ; 0 (0) ; 0 (0) ; 48 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altdpram0:ST_CLUT_RED ; ; -; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 48 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altdpram0:ST_CLUT_RED|altsyncram:altsyncram_component ; ; -; |altsyncram_rb92:auto_generated| ; 0 (0) ; 0 (0) ; 48 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altdpram0:ST_CLUT_RED|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated ; ; -; |altdpram1:FALCON_CLUT_BLUE| ; 0 (0) ; 0 (0) ; 1536 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_BLUE ; ; -; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 1536 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_BLUE|altsyncram:altsyncram_component ; ; -; |altsyncram_lf92:auto_generated| ; 0 (0) ; 0 (0) ; 1536 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated ; ; -; |altdpram1:FALCON_CLUT_GREEN| ; 0 (0) ; 0 (0) ; 1536 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_GREEN ; ; -; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 1536 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_GREEN|altsyncram:altsyncram_component ; ; -; |altsyncram_lf92:auto_generated| ; 0 (0) ; 0 (0) ; 1536 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_GREEN|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated ; ; -; |altdpram1:FALCON_CLUT_RED| ; 0 (0) ; 0 (0) ; 1536 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_RED ; ; -; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 1536 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_RED|altsyncram:altsyncram_component ; ; -; |altsyncram_lf92:auto_generated| ; 0 (0) ; 0 (0) ; 1536 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_RED|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated ; ; -; |altdpram2:ACP_CLUT_RAM54| ; 0 (0) ; 0 (0) ; 2048 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM54 ; ; -; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 2048 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM54|altsyncram:altsyncram_component ; ; -; |altsyncram_pf92:auto_generated| ; 0 (0) ; 0 (0) ; 2048 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM54|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated ; ; -; |altdpram2:ACP_CLUT_RAM55| ; 0 (0) ; 0 (0) ; 2048 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55 ; ; -; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 2048 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55|altsyncram:altsyncram_component ; ; -; |altsyncram_pf92:auto_generated| ; 0 (0) ; 0 (0) ; 2048 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated ; ; -; |altdpram2:ACP_CLUT_RAM| ; 0 (0) ; 0 (0) ; 2048 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM ; ; -; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 2048 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM|altsyncram:altsyncram_component ; ; -; |altsyncram_pf92:auto_generated| ; 0 (0) ; 0 (0) ; 2048 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated ; ; -; |lpm_bustri_LONG:inst119| ; 5 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_bustri_LONG:inst119 ; ; -; |lpm_bustri:lpm_bustri_component| ; 5 (5) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_bustri_LONG:inst119|lpm_bustri:lpm_bustri_component ; ; -; |lpm_ff0:inst13| ; 0 (0) ; 32 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst13 ; ; -; |lpm_ff:lpm_ff_component| ; 0 (0) ; 32 (32) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component ; ; -; |lpm_ff0:inst14| ; 0 (0) ; 32 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst14 ; ; -; |lpm_ff:lpm_ff_component| ; 0 (0) ; 32 (32) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component ; ; -; |lpm_ff0:inst15| ; 0 (0) ; 32 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst15 ; ; -; |lpm_ff:lpm_ff_component| ; 0 (0) ; 32 (32) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component ; ; -; |lpm_ff0:inst16| ; 0 (0) ; 32 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst16 ; ; -; |lpm_ff:lpm_ff_component| ; 0 (0) ; 32 (32) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component ; ; -; |lpm_ff0:inst17| ; 0 (0) ; 32 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst17 ; ; -; |lpm_ff:lpm_ff_component| ; 0 (0) ; 32 (32) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst17|lpm_ff:lpm_ff_component ; ; -; |lpm_ff0:inst18| ; 0 (0) ; 32 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst18 ; ; -; |lpm_ff:lpm_ff_component| ; 0 (0) ; 32 (32) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst18|lpm_ff:lpm_ff_component ; ; -; |lpm_ff0:inst19| ; 0 (0) ; 32 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst19 ; ; -; |lpm_ff:lpm_ff_component| ; 0 (0) ; 32 (32) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst19|lpm_ff:lpm_ff_component ; ; -; |lpm_ff1:inst12| ; 0 (0) ; 32 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff1:inst12 ; ; -; |lpm_ff:lpm_ff_component| ; 0 (0) ; 32 (32) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff1:inst12|lpm_ff:lpm_ff_component ; ; -; |lpm_ff1:inst20| ; 0 (0) ; 32 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff1:inst20 ; ; -; |lpm_ff:lpm_ff_component| ; 0 (0) ; 32 (32) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff1:inst20|lpm_ff:lpm_ff_component ; ; -; |lpm_ff1:inst3| ; 0 (0) ; 32 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff1:inst3 ; ; -; |lpm_ff:lpm_ff_component| ; 0 (0) ; 32 (32) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff1:inst3|lpm_ff:lpm_ff_component ; ; -; |lpm_ff1:inst4| ; 0 (0) ; 32 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff1:inst4 ; ; -; |lpm_ff:lpm_ff_component| ; 0 (0) ; 32 (32) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff1:inst4|lpm_ff:lpm_ff_component ; ; -; |lpm_ff1:inst9| ; 0 (0) ; 24 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff1:inst9 ; ; -; |lpm_ff:lpm_ff_component| ; 0 (0) ; 24 (24) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff1:inst9|lpm_ff:lpm_ff_component ; ; -; |lpm_ff3:inst46| ; 0 (0) ; 18 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff3:inst46 ; ; -; |lpm_ff:lpm_ff_component| ; 0 (0) ; 18 (18) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff3:inst46|lpm_ff:lpm_ff_component ; ; -; |lpm_ff3:inst47| ; 0 (0) ; 18 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff3:inst47 ; ; -; |lpm_ff:lpm_ff_component| ; 0 (0) ; 18 (18) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff3:inst47|lpm_ff:lpm_ff_component ; ; -; |lpm_ff3:inst49| ; 0 (0) ; 9 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff3:inst49 ; ; -; |lpm_ff:lpm_ff_component| ; 0 (0) ; 9 (9) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff3:inst49|lpm_ff:lpm_ff_component ; ; -; |lpm_ff3:inst52| ; 0 (0) ; 9 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff3:inst52 ; ; -; |lpm_ff:lpm_ff_component| ; 0 (0) ; 9 (9) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff3:inst52|lpm_ff:lpm_ff_component ; ; -; |lpm_ff4:inst10| ; 0 (0) ; 16 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff4:inst10 ; ; -; |lpm_ff:lpm_ff_component| ; 0 (0) ; 16 (16) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff4:inst10|lpm_ff:lpm_ff_component ; ; -; |lpm_ff5:inst11| ; 0 (0) ; 8 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff5:inst11 ; ; -; |lpm_ff:lpm_ff_component| ; 0 (0) ; 8 (8) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff5:inst11|lpm_ff:lpm_ff_component ; ; -; |lpm_ff5:inst97| ; 0 (0) ; 5 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff5:inst97 ; ; -; |lpm_ff:lpm_ff_component| ; 0 (0) ; 5 (5) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff5:inst97|lpm_ff:lpm_ff_component ; ; -; |lpm_ff6:inst71| ; 0 (0) ; 128 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff6:inst71 ; ; -; |lpm_ff:lpm_ff_component| ; 0 (0) ; 128 (128) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff6:inst71|lpm_ff:lpm_ff_component ; ; -; |lpm_ff6:inst94| ; 0 (0) ; 128 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff6:inst94 ; ; -; |lpm_ff:lpm_ff_component| ; 0 (0) ; 128 (128) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff6:inst94|lpm_ff:lpm_ff_component ; ; -; |lpm_fifoDZ:inst63| ; 22 (0) ; 21 (0) ; 16384 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_fifoDZ:inst63 ; ; -; |scfifo:scfifo_component| ; 22 (0) ; 21 (0) ; 16384 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component ; ; -; |scfifo_lk21:auto_generated| ; 22 (0) ; 21 (0) ; 16384 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_lk21:auto_generated ; ; -; |a_dpfifo_oq21:dpfifo| ; 22 (9) ; 21 (8) ; 16384 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_lk21:auto_generated|a_dpfifo_oq21:dpfifo ; ; -; |altsyncram_gj81:FIFOram| ; 0 (0) ; 0 (0) ; 16384 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_lk21:auto_generated|a_dpfifo_oq21:dpfifo|altsyncram_gj81:FIFOram ; ; -; |cntr_omb:rd_ptr_msb| ; 6 (6) ; 6 (6) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_lk21:auto_generated|a_dpfifo_oq21:dpfifo|cntr_omb:rd_ptr_msb ; ; -; |cntr_pmb:wr_ptr| ; 7 (7) ; 7 (7) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_lk21:auto_generated|a_dpfifo_oq21:dpfifo|cntr_pmb:wr_ptr ; ; -; |lpm_fifo_dc0:inst| ; 66 (0) ; 98 (0) ; 65536 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst ; ; -; |dcfifo:dcfifo_component| ; 66 (0) ; 98 (0) ; 65536 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component ; ; -; |dcfifo_8fi1:auto_generated| ; 66 (12) ; 98 (20) ; 65536 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated ; ; -; |a_gray2bin_tgb:wrptr_g_gray2bin| ; 9 (9) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_gray2bin_tgb:wrptr_g_gray2bin ; ; -; |a_gray2bin_tgb:ws_dgrp_gray2bin| ; 9 (9) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_gray2bin_tgb:ws_dgrp_gray2bin ; ; -; |a_graycounter_njc:wrptr_gp| ; 17 (17) ; 14 (14) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_njc:wrptr_gp ; ; -; |a_graycounter_s57:rdptr_g1p| ; 19 (19) ; 14 (14) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_s57:rdptr_g1p ; ; -; |alt_synch_pipe_sld:ws_dgrp| ; 0 (0) ; 30 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|alt_synch_pipe_sld:ws_dgrp ; ; -; |dffpipe_re9:dffpipe22| ; 0 (0) ; 30 (30) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|alt_synch_pipe_sld:ws_dgrp|dffpipe_re9:dffpipe22 ; ; -; |altsyncram_tl31:fifo_ram| ; 0 (0) ; 0 (0) ; 65536 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|altsyncram_tl31:fifo_ram ; ; -; |dffpipe_9d9:wraclr| ; 0 (0) ; 2 (2) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|dffpipe_9d9:wraclr ; ; -; |dffpipe_oe9:ws_brp| ; 0 (0) ; 9 (9) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|dffpipe_oe9:ws_brp ; ; -; |dffpipe_oe9:ws_bwp| ; 0 (0) ; 9 (9) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|dffpipe_oe9:ws_bwp ; ; -; |lpm_latch0:inst27| ; 32 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_latch0:inst27 ; ; -; |lpm_latch:lpm_latch_component| ; 32 (32) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component ; ; -; |lpm_mux0:inst21| ; 48 (0) ; 96 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_mux0:inst21 ; ; -; |lpm_mux:lpm_mux_component| ; 48 (0) ; 96 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_mux0:inst21|lpm_mux:lpm_mux_component ; ; -; |mux_gpe:auto_generated| ; 48 (48) ; 96 (96) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_mux0:inst21|lpm_mux:lpm_mux_component|mux_gpe:auto_generated ; ; -; |lpm_mux1:inst24| ; 80 (0) ; 81 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_mux1:inst24 ; ; -; |lpm_mux:lpm_mux_component| ; 80 (0) ; 81 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_mux1:inst24|lpm_mux:lpm_mux_component ; ; -; |mux_npe:auto_generated| ; 80 (80) ; 81 (81) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_mux1:inst24|lpm_mux:lpm_mux_component|mux_npe:auto_generated ; ; -; |lpm_mux2:inst25| ; 80 (0) ; 41 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_mux2:inst25 ; ; -; |lpm_mux:lpm_mux_component| ; 80 (0) ; 41 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_mux2:inst25|lpm_mux:lpm_mux_component ; ; -; |mux_mpe:auto_generated| ; 80 (80) ; 41 (41) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_mux2:inst25|lpm_mux:lpm_mux_component|mux_mpe:auto_generated ; ; -; |lpm_mux3:inst102| ; 1 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_mux3:inst102 ; ; -; |lpm_mux:lpm_mux_component| ; 1 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_mux3:inst102|lpm_mux:lpm_mux_component ; ; -; |mux_96e:auto_generated| ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_mux3:inst102|lpm_mux:lpm_mux_component|mux_96e:auto_generated ; ; -; |lpm_mux4:inst81| ; 7 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_mux4:inst81 ; ; -; |lpm_mux:lpm_mux_component| ; 7 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_mux4:inst81|lpm_mux:lpm_mux_component ; ; -; |mux_f6e:auto_generated| ; 7 (7) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_mux4:inst81|lpm_mux:lpm_mux_component|mux_f6e:auto_generated ; ; -; |lpm_mux5:inst22| ; 64 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_mux5:inst22 ; ; -; |lpm_mux:lpm_mux_component| ; 64 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_mux5:inst22|lpm_mux:lpm_mux_component ; ; -; |mux_58e:auto_generated| ; 64 (64) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_mux5:inst22|lpm_mux:lpm_mux_component|mux_58e:auto_generated ; ; -; |lpm_mux6:inst7| ; 90 (0) ; 67 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_mux6:inst7 ; ; -; |lpm_mux:lpm_mux_component| ; 90 (0) ; 67 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_mux6:inst7|lpm_mux:lpm_mux_component ; ; -; |mux_kpe:auto_generated| ; 90 (90) ; 67 (67) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_mux6:inst7|lpm_mux:lpm_mux_component|mux_kpe:auto_generated ; ; -; |lpm_muxDZ:inst62| ; 128 (0) ; 128 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_muxDZ:inst62 ; ; -; |lpm_mux:lpm_mux_component| ; 128 (0) ; 128 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_muxDZ:inst62|lpm_mux:lpm_mux_component ; ; -; |mux_dcf:auto_generated| ; 128 (128) ; 128 (128) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_muxDZ:inst62|lpm_mux:lpm_mux_component|mux_dcf:auto_generated ; ; -; |lpm_muxVDM:inst100| ; 736 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_muxVDM:inst100 ; ; -; |lpm_mux:lpm_mux_component| ; 736 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_muxVDM:inst100|lpm_mux:lpm_mux_component ; ; -; |mux_bbe:auto_generated| ; 736 (736) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_muxVDM:inst100|lpm_mux:lpm_mux_component|mux_bbe:auto_generated ; ; -; |lpm_shiftreg0:sr0| ; 15 (0) ; 16 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr0 ; ; -; |lpm_shiftreg:lpm_shiftreg_component| ; 15 (15) ; 16 (16) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr0|lpm_shiftreg:lpm_shiftreg_component ; ; -; |lpm_shiftreg0:sr1| ; 15 (0) ; 16 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr1 ; ; -; |lpm_shiftreg:lpm_shiftreg_component| ; 15 (15) ; 16 (16) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr1|lpm_shiftreg:lpm_shiftreg_component ; ; -; |lpm_shiftreg0:sr2| ; 15 (0) ; 16 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr2 ; ; -; |lpm_shiftreg:lpm_shiftreg_component| ; 15 (15) ; 16 (16) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr2|lpm_shiftreg:lpm_shiftreg_component ; ; -; |lpm_shiftreg0:sr3| ; 15 (0) ; 16 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr3 ; ; -; |lpm_shiftreg:lpm_shiftreg_component| ; 15 (15) ; 16 (16) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr3|lpm_shiftreg:lpm_shiftreg_component ; ; -; |lpm_shiftreg0:sr4| ; 15 (0) ; 16 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr4 ; ; -; |lpm_shiftreg:lpm_shiftreg_component| ; 15 (15) ; 16 (16) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr4|lpm_shiftreg:lpm_shiftreg_component ; ; -; |lpm_shiftreg0:sr5| ; 15 (0) ; 16 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr5 ; ; -; |lpm_shiftreg:lpm_shiftreg_component| ; 15 (15) ; 16 (16) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr5|lpm_shiftreg:lpm_shiftreg_component ; ; -; |lpm_shiftreg0:sr6| ; 16 (0) ; 16 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr6 ; ; -; |lpm_shiftreg:lpm_shiftreg_component| ; 16 (16) ; 16 (16) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr6|lpm_shiftreg:lpm_shiftreg_component ; ; -; |lpm_shiftreg0:sr7| ; 16 (0) ; 16 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr7 ; ; -; |lpm_shiftreg:lpm_shiftreg_component| ; 16 (16) ; 16 (16) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr7|lpm_shiftreg:lpm_shiftreg_component ; ; -; |lpm_shiftreg4:inst26| ; 0 (0) ; 5 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg4:inst26 ; ; -; |lpm_shiftreg:lpm_shiftreg_component| ; 0 (0) ; 5 (5) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg4:inst26|lpm_shiftreg:lpm_shiftreg_component ; ; -; |lpm_shiftreg6:inst92| ; 0 (0) ; 5 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg6:inst92 ; ; -; |lpm_shiftreg:lpm_shiftreg_component| ; 0 (0) ; 5 (5) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg6:inst92|lpm_shiftreg:lpm_shiftreg_component ; ; -; |mux41:inst40| ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|mux41:inst40 ; ; -; |mux41:inst41| ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|mux41:inst41 ; ; -; |mux41:inst42| ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|mux41:inst42 ; ; -; |mux41:inst43| ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|mux41:inst43 ; ; -; |mux41:inst44| ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|mux41:inst44 ; ; -; |mux41:inst45| ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|mux41:inst45 ; ; -; |altddio_out3:inst5| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altddio_out3:inst5 ; ; -; |altddio_out:altddio_out_component| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altddio_out3:inst5|altddio_out:altddio_out_component ; ; -; |ddio_out_31f:auto_generated| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altddio_out3:inst5|altddio_out:altddio_out_component|ddio_out_31f:auto_generated ; ; -; |altddio_out3:inst6| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altddio_out3:inst6 ; ; -; |altddio_out:altddio_out_component| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altddio_out3:inst6|altddio_out:altddio_out_component ; ; -; |ddio_out_31f:auto_generated| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altddio_out3:inst6|altddio_out:altddio_out_component|ddio_out_31f:auto_generated ; ; -; |altddio_out3:inst8| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altddio_out3:inst8 ; ; -; |altddio_out:altddio_out_component| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altddio_out3:inst8|altddio_out:altddio_out_component ; ; -; |ddio_out_31f:auto_generated| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altddio_out3:inst8|altddio_out:altddio_out_component|ddio_out_31f:auto_generated ; ; -; |altddio_out3:inst9| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altddio_out3:inst9 ; work ; -; |altddio_out:altddio_out_component| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altddio_out3:inst9|altddio_out:altddio_out_component ; work ; -; |ddio_out_31f:auto_generated| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altddio_out3:inst9|altddio_out:altddio_out_component|ddio_out_31f:auto_generated ; work ; -; |altpll1:inst| ; 1 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll1:inst ; ; -; |altpll:altpll_component| ; 1 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll1:inst|altpll:altpll_component ; ; -; |altpll_pul2:auto_generated| ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll1:inst|altpll:altpll_component|altpll_pul2:auto_generated ; ; -; |altpll2:inst12| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll2:inst12 ; ; -; |altpll:altpll_component| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll2:inst12|altpll:altpll_component ; ; -; |altpll_isv2:auto_generated| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated ; ; -; |altpll3:inst13| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll3:inst13 ; ; -; |altpll:altpll_component| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll3:inst13|altpll:altpll_component ; ; -; |altpll_41p2:auto_generated| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated ; ; -; |altpll4:inst22| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll4:inst22 ; ; -; |altpll:altpll_component| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll4:inst22|altpll:altpll_component ; ; -; |altpll_c6j2:auto_generated| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll4:inst22|altpll:altpll_component|altpll_c6j2:auto_generated ; ; -; |altpll_reconfig1:inst7| ; 309 (0) ; 128 (0) ; 144 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll_reconfig1:inst7 ; ; -; |altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component| ; 309 (211) ; 128 (80) ; 144 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component ; ; -; |altsyncram:altsyncram4| ; 0 (0) ; 0 (0) ; 144 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|altsyncram:altsyncram4 ; ; -; |altsyncram_46r:auto_generated| ; 0 (0) ; 0 (0) ; 144 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|altsyncram:altsyncram4|altsyncram_46r:auto_generated ; ; -; |lpm_compare:cmpr7| ; 3 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_compare:cmpr7 ; ; -; |cmpr_tnd:auto_generated| ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_compare:cmpr7|cmpr_tnd:auto_generated ; ; -; |lpm_counter:cntr12| ; 10 (0) ; 8 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr12 ; ; -; |cntr_30l:auto_generated| ; 10 (10) ; 8 (8) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr12|cntr_30l:auto_generated ; ; -; |lpm_counter:cntr13| ; 7 (0) ; 6 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr13 ; ; -; |cntr_qij:auto_generated| ; 7 (7) ; 6 (6) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr13|cntr_qij:auto_generated ; ; -; |lpm_counter:cntr14| ; 5 (0) ; 5 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr14 ; ; -; |cntr_pij:auto_generated| ; 5 (5) ; 5 (5) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr14|cntr_pij:auto_generated ; ; -; |lpm_counter:cntr15| ; 18 (0) ; 8 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr15 ; ; -; |cntr_30l:auto_generated| ; 18 (18) ; 8 (8) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr15|cntr_30l:auto_generated ; ; -; |lpm_counter:cntr1| ; 41 (0) ; 8 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr1 ; ; -; |cntr_30l:auto_generated| ; 41 (41) ; 8 (8) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr1|cntr_30l:auto_generated ; ; -; |lpm_counter:cntr2| ; 9 (0) ; 8 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr2 ; ; -; |cntr_9cj:auto_generated| ; 9 (9) ; 8 (8) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr2|cntr_9cj:auto_generated ; ; -; |lpm_counter:cntr3| ; 5 (0) ; 5 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr3 ; ; -; |cntr_pij:auto_generated| ; 5 (5) ; 5 (5) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr3|cntr_pij:auto_generated ; ; -; |interrupt_handler:nobody| ; 789 (711) ; 633 (633) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|interrupt_handler:nobody ; ; -; |lpm_bustri_BYT:$00000| ; 16 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|interrupt_handler:nobody|lpm_bustri_BYT:$00000 ; ; -; |lpm_bustri:lpm_bustri_component| ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|interrupt_handler:nobody|lpm_bustri_BYT:$00000|lpm_bustri:lpm_bustri_component ; ; -; |lpm_bustri_BYT:$00002| ; 24 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|interrupt_handler:nobody|lpm_bustri_BYT:$00002 ; ; -; |lpm_bustri:lpm_bustri_component| ; 24 (24) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|interrupt_handler:nobody|lpm_bustri_BYT:$00002|lpm_bustri:lpm_bustri_component ; ; -; |lpm_bustri_BYT:$00004| ; 16 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|interrupt_handler:nobody|lpm_bustri_BYT:$00004 ; ; -; |lpm_bustri:lpm_bustri_component| ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|interrupt_handler:nobody|lpm_bustri_BYT:$00004|lpm_bustri:lpm_bustri_component ; ; -; |lpm_bustri_BYT:$00006| ; 22 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|interrupt_handler:nobody|lpm_bustri_BYT:$00006 ; ; -; |lpm_bustri:lpm_bustri_component| ; 22 (22) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|interrupt_handler:nobody|lpm_bustri_BYT:$00006|lpm_bustri:lpm_bustri_component ; ; -; |lpm_counter0:inst18| ; 18 (0) ; 18 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|lpm_counter0:inst18 ; ; -; |lpm_counter:lpm_counter_component| ; 18 (0) ; 18 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|lpm_counter0:inst18|lpm_counter:lpm_counter_component ; ; -; |cntr_mph:auto_generated| ; 18 (18) ; 18 (18) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|lpm_counter0:inst18|lpm_counter:lpm_counter_component|cntr_mph:auto_generated ; ; -; |lpm_ff0:inst1| ; 0 (0) ; 28 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|lpm_ff0:inst1 ; ; -; |lpm_ff:lpm_ff_component| ; 0 (0) ; 28 (28) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|lpm_ff0:inst1|lpm_ff:lpm_ff_component ; ; -+-----------------------------------------------------------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------+ -Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis RAM Summary ; -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+-------+------+ -; Name ; Type ; Mode ; Port A Depth ; Port A Width ; Port B Depth ; Port B Width ; Size ; MIF ; -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+-------+------+ -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|altsyncram_bi31:fifo_ram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 1024 ; 8 ; 256 ; 32 ; 8192 ; None ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 256 ; 32 ; 1024 ; 8 ; 8192 ; None ; -; Video:Fredi_Aschwanden|altdpram0:ST_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated|ALTSYNCRAM ; AUTO ; True Dual Port ; 16 ; 3 ; 16 ; 3 ; 48 ; None ; -; Video:Fredi_Aschwanden|altdpram0:ST_CLUT_GREEN|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated|ALTSYNCRAM ; AUTO ; True Dual Port ; 16 ; 3 ; 16 ; 3 ; 48 ; None ; -; Video:Fredi_Aschwanden|altdpram0:ST_CLUT_RED|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated|ALTSYNCRAM ; AUTO ; True Dual Port ; 16 ; 3 ; 16 ; 3 ; 48 ; None ; -; Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ALTSYNCRAM ; AUTO ; True Dual Port ; 256 ; 6 ; 256 ; 6 ; 1536 ; None ; -; Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_GREEN|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ALTSYNCRAM ; AUTO ; True Dual Port ; 256 ; 6 ; 256 ; 6 ; 1536 ; None ; -; Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_RED|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ALTSYNCRAM ; AUTO ; True Dual Port ; 256 ; 6 ; 256 ; 6 ; 1536 ; None ; -; Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM54|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ALTSYNCRAM ; AUTO ; True Dual Port ; 256 ; 8 ; 256 ; 8 ; 2048 ; None ; -; Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ALTSYNCRAM ; AUTO ; True Dual Port ; 256 ; 8 ; 256 ; 8 ; 2048 ; None ; -; Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ALTSYNCRAM ; AUTO ; True Dual Port ; 256 ; 8 ; 256 ; 8 ; 2048 ; None ; -; Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_lk21:auto_generated|a_dpfifo_oq21:dpfifo|altsyncram_gj81:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 128 ; 128 ; 128 ; 128 ; 16384 ; None ; -; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|altsyncram_tl31:fifo_ram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 512 ; 128 ; 512 ; 128 ; 65536 ; None ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|altsyncram:altsyncram4|altsyncram_46r:auto_generated|ALTSYNCRAM ; AUTO ; Single Port ; 144 ; 1 ; -- ; -- ; 144 ; None ; -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+-------+------+ - - -+-----------------------------------------------------+ -; Analysis & Synthesis DSP Block Usage Summary ; -+---------------------------------------+-------------+ -; Statistic ; Number Used ; -+---------------------------------------+-------------+ -; Simple Multipliers (9-bit) ; 0 ; -; Simple Multipliers (18-bit) ; 3 ; -; Embedded Multiplier Blocks ; -- ; -; Embedded Multiplier 9-bit elements ; 6 ; -; Signed Embedded Multipliers ; 0 ; -; Unsigned Embedded Multipliers ; 3 ; -; Mixed Sign Embedded Multipliers ; 0 ; -; Variable Sign Embedded Multipliers ; 0 ; -; Dedicated Input Shift Register Chains ; 0 ; -+---------------------------------------+-------------+ -Note: number of Embedded Multiplier Blocks used is only available after a successful fit. - - -Encoding Type: One-Hot -+----------------------------------------------------------------------------+ -; State Machine - |firebee1|Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FB_REGDDR ; -+---------+-------+-------+-------+-------+----------------------------------+ -; Name ; FR_S3 ; FR_S2 ; FR_S1 ; FR_S0 ; FR_WAIT ; -+---------+-------+-------+-------+-------+----------------------------------+ -; FR_WAIT ; 0 ; 0 ; 0 ; 0 ; 0 ; -; FR_S0 ; 0 ; 0 ; 0 ; 1 ; 1 ; -; FR_S1 ; 0 ; 0 ; 1 ; 0 ; 1 ; -; FR_S2 ; 0 ; 1 ; 0 ; 0 ; 1 ; -; FR_S3 ; 1 ; 0 ; 0 ; 0 ; 1 ; -+---------+-------+-------+-------+-------+----------------------------------+ - - -Encoding Type: One-Hot -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; State Machine - |firebee1|Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|DDR_SM ; -+---------+-------+-------+-------+-------+-------+--------+--------+---------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+-------+-------+-------+-------+-------+-------+-------+-------+-------+-------+-------+--------+--------+-------+ -; Name ; DS_R6 ; DS_R5 ; DS_R4 ; DS_R3 ; DS_R2 ; DS_CB8 ; DS_CB6 ; DS_T10F ; DS_T9F ; DS_T8F ; DS_T7F ; DS_T6F ; DS_T5F ; DS_T4F ; DS_T9W ; DS_T8W ; DS_T7W ; DS_T6W ; DS_T5W ; DS_T4W ; DS_T5R ; DS_T4R ; DS_C7 ; DS_C6 ; DS_C5 ; DS_C4 ; DS_C3 ; DS_C2 ; DS_N8 ; DS_N7 ; DS_N6 ; DS_N5 ; DS_T3 ; DS_T2B ; DS_T2A ; DS_T1 ; -+---------+-------+-------+-------+-------+-------+--------+--------+---------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+-------+-------+-------+-------+-------+-------+-------+-------+-------+-------+-------+--------+--------+-------+ -; DS_T1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; DS_T2A ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 1 ; -; DS_T2B ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 1 ; -; DS_T3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 1 ; -; DS_N5 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 1 ; -; DS_N6 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; DS_N7 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; DS_N8 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; DS_C2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; DS_C3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; DS_C4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; DS_C5 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; DS_C6 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; DS_C7 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; DS_T4R ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; DS_T5R ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; DS_T4W ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; DS_T5W ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; DS_T6W ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; DS_T7W ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; DS_T8W ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; DS_T9W ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; DS_T4F ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; DS_T5F ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; DS_T6F ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; DS_T7F ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; DS_T8F ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; DS_T9F ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; DS_T10F ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; DS_CB6 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; DS_CB8 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; DS_R2 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; DS_R3 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; DS_R4 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; DS_R5 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; DS_R6 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -+---------+-------+-------+-------+-------+-------+--------+--------+---------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+-------+-------+-------+-------+-------+-------+-------+-------+-------+-------+-------+--------+--------+-------+ - - -Encoding Type: One-Hot -+-----------------------------------------------------------------------------------------------------------------------------------------------------------+ -; State Machine - |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_STATE ; -+--------------------+------------------+------------------+------------------+------------------+------------------+------------------+--------------------+ -; Name ; FCF_STATE.FCF_T7 ; FCF_STATE.FCF_T6 ; FCF_STATE.FCF_T3 ; FCF_STATE.FCF_T2 ; FCF_STATE.FCF_T1 ; FCF_STATE.FCF_T0 ; FCF_STATE.FCF_IDLE ; -+--------------------+------------------+------------------+------------------+------------------+------------------+------------------+--------------------+ -; FCF_STATE.FCF_IDLE ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; FCF_STATE.FCF_T0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 1 ; -; FCF_STATE.FCF_T1 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 1 ; -; FCF_STATE.FCF_T2 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 1 ; -; FCF_STATE.FCF_T3 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 1 ; -; FCF_STATE.FCF_T6 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; FCF_STATE.FCF_T7 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -+--------------------+------------------+------------------+------------------+------------------+------------------+------------------+--------------------+ - - -Encoding Type: One-Hot -+---------------------------------------------------------------------------------------------------+ -; State Machine - |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|CMD_STATE ; -+----------------+--------------+--------------+--------------+-------------------------------------+ -; Name ; CMD_STATE.T7 ; CMD_STATE.T6 ; CMD_STATE.T1 ; CMD_STATE.IDLE ; -+----------------+--------------+--------------+--------------+-------------------------------------+ -; CMD_STATE.IDLE ; 0 ; 0 ; 0 ; 0 ; -; CMD_STATE.T1 ; 0 ; 0 ; 1 ; 1 ; -; CMD_STATE.T6 ; 0 ; 1 ; 0 ; 1 ; -; CMD_STATE.T7 ; 1 ; 0 ; 0 ; 1 ; -+----------------+--------------+--------------+--------------+-------------------------------------+ - - -Encoding Type: One-Hot -+-------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; State Machine - |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|INT_STATE ; -+----------------------+----------------------+-------------------+-------------------------------------------------------------------------------------------+ -; Name ; INT_STATE.VECTOR_OUT ; INT_STATE.REQUEST ; INT_STATE.SCAN ; -+----------------------+----------------------+-------------------+-------------------------------------------------------------------------------------------+ -; INT_STATE.SCAN ; 0 ; 0 ; 0 ; -; INT_STATE.REQUEST ; 0 ; 1 ; 1 ; -; INT_STATE.VECTOR_OUT ; 1 ; 0 ; 1 ; -+----------------------+----------------------+-------------------+-------------------------------------------------------------------------------------------+ - - -Encoding Type: One-Hot -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; State Machine - |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT|TR_STATE ; -+----------------------+----------------+----------------+-----------------+-------------------+----------------+--------------------+----------------------+------------------------------+ -; Name ; TR_STATE.STOP2 ; TR_STATE.STOP1 ; TR_STATE.PARITY ; TR_STATE.SHIFTOUT ; TR_STATE.START ; TR_STATE.LOAD_SHFT ; TR_STATE.CHECK_BREAK ; TR_STATE.IDLE ; -+----------------------+----------------+----------------+-----------------+-------------------+----------------+--------------------+----------------------+------------------------------+ -; TR_STATE.IDLE ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TR_STATE.CHECK_BREAK ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 1 ; -; TR_STATE.LOAD_SHFT ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 1 ; -; TR_STATE.START ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 1 ; -; TR_STATE.SHIFTOUT ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 1 ; -; TR_STATE.PARITY ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; TR_STATE.STOP1 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; TR_STATE.STOP2 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -+----------------------+----------------+----------------+-----------------+-------------------+----------------+--------------------+----------------------+------------------------------+ - - -Encoding Type: One-Hot -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; State Machine - |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|RCV_STATE ; -+----------------------+----------------+-----------------+-----------------+------------------+------------------+----------------------+-------------------------------------------------+ -; Name ; RCV_STATE.SYNC ; RCV_STATE.STOP2 ; RCV_STATE.STOP1 ; RCV_STATE.PARITY ; RCV_STATE.SAMPLE ; RCV_STATE.WAIT_START ; RCV_STATE.IDLE ; -+----------------------+----------------+-----------------+-----------------+------------------+------------------+----------------------+-------------------------------------------------+ -; RCV_STATE.IDLE ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; RCV_STATE.WAIT_START ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 1 ; -; RCV_STATE.SAMPLE ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 1 ; -; RCV_STATE.PARITY ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 1 ; -; RCV_STATE.STOP1 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 1 ; -; RCV_STATE.STOP2 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; RCV_STATE.SYNC ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -+----------------------+----------------+-----------------+-----------------+------------------+------------------+----------------------+-------------------------------------------------+ - - -Encoding Type: One-Hot -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; State Machine - |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|TR_STATE ; -+--------------------+----------------+----------------+-----------------+-------------------+----------------+--------------------+------------------------------+ -; Name ; TR_STATE.STOP2 ; TR_STATE.STOP1 ; TR_STATE.PARITY ; TR_STATE.SHIFTOUT ; TR_STATE.START ; TR_STATE.LOAD_SHFT ; TR_STATE.IDLE ; -+--------------------+----------------+----------------+-----------------+-------------------+----------------+--------------------+------------------------------+ -; TR_STATE.IDLE ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TR_STATE.LOAD_SHFT ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 1 ; -; TR_STATE.START ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 1 ; -; TR_STATE.SHIFTOUT ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 1 ; -; TR_STATE.PARITY ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 1 ; -; TR_STATE.STOP1 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; TR_STATE.STOP2 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -+--------------------+----------------+----------------+-----------------+-------------------+----------------+--------------------+------------------------------+ - - -Encoding Type: One-Hot -+----------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; State Machine - |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|RCV_STATE ; -+----------------------+----------------+-----------------+-----------------+------------------+------------------+----------------------+-----------------------+ -; Name ; RCV_STATE.SYNC ; RCV_STATE.STOP2 ; RCV_STATE.STOP1 ; RCV_STATE.PARITY ; RCV_STATE.SAMPLE ; RCV_STATE.WAIT_START ; RCV_STATE.IDLE ; -+----------------------+----------------+-----------------+-----------------+------------------+------------------+----------------------+-----------------------+ -; RCV_STATE.IDLE ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; RCV_STATE.WAIT_START ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 1 ; -; RCV_STATE.SAMPLE ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 1 ; -; RCV_STATE.PARITY ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 1 ; -; RCV_STATE.STOP1 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 1 ; -; RCV_STATE.STOP2 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; RCV_STATE.SYNC ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -+----------------------+----------------+-----------------+-----------------+------------------+------------------+----------------------+-----------------------+ - - -Encoding Type: One-Hot -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; State Machine - |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|TR_STATE ; -+--------------------+----------------+----------------+-----------------+-------------------+----------------+--------------------+----------------------------------+ -; Name ; TR_STATE.STOP2 ; TR_STATE.STOP1 ; TR_STATE.PARITY ; TR_STATE.SHIFTOUT ; TR_STATE.START ; TR_STATE.LOAD_SHFT ; TR_STATE.IDLE ; -+--------------------+----------------+----------------+-----------------+-------------------+----------------+--------------------+----------------------------------+ -; TR_STATE.IDLE ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; TR_STATE.LOAD_SHFT ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 1 ; -; TR_STATE.START ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 1 ; -; TR_STATE.SHIFTOUT ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 1 ; -; TR_STATE.PARITY ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 1 ; -; TR_STATE.STOP1 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; TR_STATE.STOP2 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -+--------------------+----------------+----------------+-----------------+-------------------+----------------+--------------------+----------------------------------+ - - -Encoding Type: One-Hot -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; State Machine - |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|RCV_STATE ; -+----------------------+----------------+-----------------+-----------------+------------------+------------------+----------------------+---------------------------+ -; Name ; RCV_STATE.SYNC ; RCV_STATE.STOP2 ; RCV_STATE.STOP1 ; RCV_STATE.PARITY ; RCV_STATE.SAMPLE ; RCV_STATE.WAIT_START ; RCV_STATE.IDLE ; -+----------------------+----------------+-----------------+-----------------+------------------+------------------+----------------------+---------------------------+ -; RCV_STATE.IDLE ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; RCV_STATE.WAIT_START ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 1 ; -; RCV_STATE.SAMPLE ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 1 ; -; RCV_STATE.PARITY ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 1 ; -; RCV_STATE.STOP1 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 1 ; -; RCV_STATE.STOP2 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; RCV_STATE.SYNC ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -+----------------------+----------------+-----------------+-----------------+------------------+------------------+----------------------+---------------------------+ - - -Encoding Type: One-Hot -+--------------------------------------------------------------------------------------------------------------------------------------------------+ -; State Machine - |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|DMA_STATE ; -+----------------------+----------------------+----------------------+----------------------+----------------------+-------------------------------+ -; Name ; DMA_STATE.DMA_STEP_4 ; DMA_STATE.DMA_STEP_3 ; DMA_STATE.DMA_STEP_2 ; DMA_STATE.DMA_STEP_1 ; DMA_STATE.IDLE ; -+----------------------+----------------------+----------------------+----------------------+----------------------+-------------------------------+ -; DMA_STATE.IDLE ; 0 ; 0 ; 0 ; 0 ; 0 ; -; DMA_STATE.DMA_STEP_1 ; 0 ; 0 ; 0 ; 1 ; 1 ; -; DMA_STATE.DMA_STEP_2 ; 0 ; 0 ; 1 ; 0 ; 1 ; -; DMA_STATE.DMA_STEP_3 ; 0 ; 1 ; 0 ; 0 ; 1 ; -; DMA_STATE.DMA_STEP_4 ; 1 ; 0 ; 0 ; 0 ; 1 ; -+----------------------+----------------------+----------------------+----------------------+----------------------+-------------------------------+ - - -Encoding Type: One-Hot -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; State Machine - |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|CTRL_STATE ; -+-------------------------+-------------------------+-------------------------+---------------------+------------------------+-----------------------+-----------------+ -; Name ; CTRL_STATE.DMA_INIT_RCV ; CTRL_STATE.DMA_TARG_RCV ; CTRL_STATE.DMA_SEND ; CTRL_STATE.WAIT_2200ns ; CTRL_STATE.WAIT_800ns ; CTRL_STATE.IDLE ; -+-------------------------+-------------------------+-------------------------+---------------------+------------------------+-----------------------+-----------------+ -; CTRL_STATE.IDLE ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; CTRL_STATE.WAIT_800ns ; 0 ; 0 ; 0 ; 0 ; 1 ; 1 ; -; CTRL_STATE.WAIT_2200ns ; 0 ; 0 ; 0 ; 1 ; 0 ; 1 ; -; CTRL_STATE.DMA_SEND ; 0 ; 0 ; 1 ; 0 ; 0 ; 1 ; -; CTRL_STATE.DMA_TARG_RCV ; 0 ; 1 ; 0 ; 0 ; 0 ; 1 ; -; CTRL_STATE.DMA_INIT_RCV ; 1 ; 0 ; 0 ; 0 ; 0 ; 1 ; -+-------------------------+-------------------------+-------------------------+---------------------+------------------------+-----------------------+-----------------+ - - -Encoding Type: One-Hot -+-----------------------------------------------------------------------------------------------------------------------------------------------------------+ -; State Machine - |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|PRECOMP ; -+-----------------+--------------+---------------+----------------------------------------------------------------------------------------------------------+ -; Name ; PRECOMP.LATE ; PRECOMP.EARLY ; PRECOMP.NOMINAL ; -+-----------------+--------------+---------------+----------------------------------------------------------------------------------------------------------+ -; PRECOMP.NOMINAL ; 0 ; 0 ; 0 ; -; PRECOMP.EARLY ; 0 ; 1 ; 1 ; -; PRECOMP.LATE ; 1 ; 0 ; 1 ; -+-----------------+--------------+---------------+----------------------------------------------------------------------------------------------------------+ - - -Encoding Type: One-Hot -+-------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; State Machine - |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|MFM_STATE ; -+----------------+----------------+----------------+----------------------------------------------------------------------------------------------------------+ -; Name ; MFM_STATE.C_10 ; MFM_STATE.B_01 ; MFM_STATE.A_00 ; -+----------------+----------------+----------------+----------------------------------------------------------------------------------------------------------+ -; MFM_STATE.A_00 ; 0 ; 0 ; 0 ; -; MFM_STATE.B_01 ; 0 ; 1 ; 1 ; -; MFM_STATE.C_10 ; 1 ; 0 ; 1 ; -+----------------+----------------+----------------+----------------------------------------------------------------------------------------------------------+ - - -Encoding Type: One-Hot -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; State Machine - |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE ; -+----------------------------+-------------------------+----------------------+-----------------------+------------------------+--------------------------+------------------------+------------------------+---------------------+------------------------+--------------------------+-----------------------+-------------------------+------------------------+----------------------------+--------------------+-----------------------+-----------------------+----------------------------+----------------------+------------------------+----------------------------+-------------------------+-----------------------+-----------------+--------------------+---------------------+---------------------+-----------------------+---------------------------+----------------------+------------------------+--------------------+------------------------+------------------------+-------------------------+-----------------------+---------------------------+-----------------------+----------------------+-----------------------+------------------------+---------------------------+---------------------+---------------------------+-----------------------+------------------------+------------------------+------------------------+---------------------------+-----------------------+------------------------+-------------------------+-------------------+-------------------------+-------------------------+---------------------------+-----------------------+-------------------------+-----------------------+-------------------------+-------------------+-------------------+------------------------+------------------------+--------------------------+------------------------+-----------------------+---------------------------+------------------+----------------------+------------------+----------------+----------------+ -; Name ; CMD_STATE.T3_VERIFY_CRC ; CMD_STATE.T3_LOAD_SR ; CMD_STATE.T3_CHECK_RD ; CMD_STATE.T3_SET_DRQ_2 ; CMD_STATE.T3_LOAD_DATA_2 ; CMD_STATE.T3_SHIFT_ADR ; CMD_STATE.T3_VERIFY_AM ; CMD_STATE.T3_RD_ADR ; CMD_STATE.T3_SET_DRQ_1 ; CMD_STATE.T3_LOAD_DATA_1 ; CMD_STATE.T3_CHECK_DR ; CMD_STATE.T3_CHECK_BYTE ; CMD_STATE.T3_DETECT_AM ; CMD_STATE.T3_CHECK_INDEX_3 ; CMD_STATE.T3_SHIFT ; CMD_STATE.T3_RD_TRACK ; CMD_STATE.T3_DATALOST ; CMD_STATE.T3_CHECK_INDEX_2 ; CMD_STATE.T3_WR_DATA ; CMD_STATE.T3_LOAD_SHFT ; CMD_STATE.T3_CHECK_INDEX_1 ; CMD_STATE.T3_VERIFY_DRQ ; CMD_STATE.T3_DELAY_B3 ; CMD_STATE.T3_WR ; CMD_STATE.T2_WR_FF ; CMD_STATE.T2_WR_CRC ; CMD_STATE.T2_WRSTAT ; CMD_STATE.T2_DATALOST ; CMD_STATE.T2_VERIFY_DRQ_3 ; CMD_STATE.T2_WR_BYTE ; CMD_STATE.T2_LOAD_SHFT ; CMD_STATE.T2_WR_AM ; CMD_STATE.T2_WR_LEADIN ; CMD_STATE.T2_DELAY_B11 ; CMD_STATE.T2_CHECK_MODE ; CMD_STATE.T2_DELAY_B1 ; CMD_STATE.T2_VERIFY_DRQ_2 ; CMD_STATE.T2_DELAY_B8 ; CMD_STATE.T2_SET_DRQ ; CMD_STATE.T2_DELAY_B2 ; CMD_STATE.T2_MULTISECT ; CMD_STATE.T2_VERIFY_CRC_2 ; CMD_STATE.T2_RDSTAT ; CMD_STATE.T2_VERIFY_DRQ_1 ; CMD_STATE.T2_NEXTBYTE ; CMD_STATE.T2_LOAD_DATA ; CMD_STATE.T2_FIRSTBYTE ; CMD_STATE.T2_VERIFY_AM ; CMD_STATE.T2_VERIFY_CRC_1 ; CMD_STATE.T2_SCAN_LEN ; CMD_STATE.T2_SCAN_SECT ; CMD_STATE.T2_SCAN_TRACK ; CMD_STATE.T2_INIT ; CMD_STATE.T2_RD_WR_SECT ; CMD_STATE.T1_VERIFY_CRC ; CMD_STATE.T1_VERIFY_DELAY ; CMD_STATE.T1_SCAN_CRC ; CMD_STATE.T1_SCAN_TRACK ; CMD_STATE.T1_SPINDOWN ; CMD_STATE.T1_STEP_DELAY ; CMD_STATE.T1_TRAP ; CMD_STATE.T1_STEP ; CMD_STATE.T1_HEAD_CTRL ; CMD_STATE.T1_CHECK_DIR ; CMD_STATE.T1_COMP_TR_DSR ; CMD_STATE.T1_LOAD_SHFT ; CMD_STATE.T1_STEPPING ; CMD_STATE.T1_SEEK_RESTORE ; CMD_STATE.DECODE ; CMD_STATE.DELAY_15MS ; CMD_STATE.SPINUP ; CMD_STATE.INIT ; CMD_STATE.IDLE ; -+----------------------------+-------------------------+----------------------+-----------------------+------------------------+--------------------------+------------------------+------------------------+---------------------+------------------------+--------------------------+-----------------------+-------------------------+------------------------+----------------------------+--------------------+-----------------------+-----------------------+----------------------------+----------------------+------------------------+----------------------------+-------------------------+-----------------------+-----------------+--------------------+---------------------+---------------------+-----------------------+---------------------------+----------------------+------------------------+--------------------+------------------------+------------------------+-------------------------+-----------------------+---------------------------+-----------------------+----------------------+-----------------------+------------------------+---------------------------+---------------------+---------------------------+-----------------------+------------------------+------------------------+------------------------+---------------------------+-----------------------+------------------------+-------------------------+-------------------+-------------------------+-------------------------+---------------------------+-----------------------+-------------------------+-----------------------+-------------------------+-------------------+-------------------+------------------------+------------------------+--------------------------+------------------------+-----------------------+---------------------------+------------------+----------------------+------------------+----------------+----------------+ -; CMD_STATE.IDLE ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; CMD_STATE.INIT ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 1 ; -; CMD_STATE.SPINUP ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 1 ; -; CMD_STATE.DELAY_15MS ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 1 ; -; CMD_STATE.DECODE ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T1_SEEK_RESTORE ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T1_STEPPING ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T1_LOAD_SHFT ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T1_COMP_TR_DSR ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T1_CHECK_DIR ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T1_HEAD_CTRL ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T1_STEP ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T1_TRAP ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T1_STEP_DELAY ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T1_SPINDOWN ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T1_SCAN_TRACK ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T1_SCAN_CRC ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T1_VERIFY_DELAY ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T1_VERIFY_CRC ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T2_RD_WR_SECT ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T2_INIT ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T2_SCAN_TRACK ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T2_SCAN_SECT ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T2_SCAN_LEN ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T2_VERIFY_CRC_1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T2_VERIFY_AM ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T2_FIRSTBYTE ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T2_LOAD_DATA ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T2_NEXTBYTE ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T2_VERIFY_DRQ_1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T2_RDSTAT ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T2_VERIFY_CRC_2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T2_MULTISECT ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T2_DELAY_B2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T2_SET_DRQ ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T2_DELAY_B8 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T2_VERIFY_DRQ_2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T2_DELAY_B1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T2_CHECK_MODE ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T2_DELAY_B11 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T2_WR_LEADIN ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T2_WR_AM ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T2_LOAD_SHFT ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T2_WR_BYTE ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T2_VERIFY_DRQ_3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T2_DATALOST ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T2_WRSTAT ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T2_WR_CRC ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T2_WR_FF ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T3_WR ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T3_DELAY_B3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T3_VERIFY_DRQ ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T3_CHECK_INDEX_1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T3_LOAD_SHFT ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T3_WR_DATA ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T3_CHECK_INDEX_2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T3_DATALOST ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T3_RD_TRACK ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T3_SHIFT ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T3_CHECK_INDEX_3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T3_DETECT_AM ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T3_CHECK_BYTE ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T3_CHECK_DR ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T3_LOAD_DATA_1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T3_SET_DRQ_1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T3_RD_ADR ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T3_VERIFY_AM ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T3_SHIFT_ADR ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T3_LOAD_DATA_2 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T3_SET_DRQ_2 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T3_CHECK_RD ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T3_LOAD_SR ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -; CMD_STATE.T3_VERIFY_CRC ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; -+----------------------------+-------------------------+----------------------+-----------------------+------------------------+--------------------------+------------------------+------------------------+---------------------+------------------------+--------------------------+-----------------------+-------------------------+------------------------+----------------------------+--------------------+-----------------------+-----------------------+----------------------------+----------------------+------------------------+----------------------------+-------------------------+-----------------------+-----------------+--------------------+---------------------+---------------------+-----------------------+---------------------------+----------------------+------------------------+--------------------+------------------------+------------------------+-------------------------+-----------------------+---------------------------+-----------------------+----------------------+-----------------------+------------------------+---------------------------+---------------------+---------------------------+-----------------------+------------------------+------------------------+------------------------+---------------------------+-----------------------+------------------------+-------------------------+-------------------+-------------------------+-------------------------+---------------------------+-----------------------+-------------------------+-----------------------+-------------------------+-------------------+-------------------+------------------------+------------------------+--------------------------+------------------------+-----------------------+---------------------------+------------------+----------------------+------------------+----------------+----------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Registers Protected by Synthesis ; -+----------------------------------------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------+--------------------------------------------+ -; Register Name ; Protected by Synthesis Attribute or Preserve Register Assignment ; Not to be Touched by Netlist Optimizations ; -+----------------------------------------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------+--------------------------------------------+ -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|areset_state ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|idle_state ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[16] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[16] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[1] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[10] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[0] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|tmp_nominal_data_out_state ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[31] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[31] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|areset_init_state_1 ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr3|cntr_pij:auto_generated|counter_reg_bit[4] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr3|cntr_pij:auto_generated|counter_reg_bit[3] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr3|cntr_pij:auto_generated|counter_reg_bit[2] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr3|cntr_pij:auto_generated|counter_reg_bit[1] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr3|cntr_pij:auto_generated|counter_reg_bit[0] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|write_data_state ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|write_nominal_state ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|reconfig_wait_state ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|read_last_nominal_state ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|read_last_state ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|reset_state ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[30] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[30] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[13] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[13] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[12] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[12] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[17] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[17] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[2] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[11] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[18] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[18] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[3] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[12] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|C0_data_state ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|C1_data_state ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|C2_data_state ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|C3_data_state ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|C4_data_state ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|reconfig_post_state ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|reconfig_seq_data_state ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr14|cntr_pij:auto_generated|counter_reg_bit[4] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr14|cntr_pij:auto_generated|counter_reg_bit[3] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr14|cntr_pij:auto_generated|counter_reg_bit[2] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr14|cntr_pij:auto_generated|counter_reg_bit[1] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr14|cntr_pij:auto_generated|counter_reg_bit[0] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|configupdate3_state ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|configupdate_state ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[26] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[26] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[25] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[25] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[24] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[24] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[8] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[17] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[7] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[16] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[6] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[15] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[5] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[14] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[4] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[13] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[23] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[23] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[22] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[22] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[21] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[21] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[20] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[20] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[19] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[19] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[15] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[15] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[14] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[14] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[29] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[29] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[28] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[28] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[27] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[27] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[11] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[11] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[10] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[10] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[9] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[9] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[8] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[8] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[7] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[7] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[6] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[6] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[5] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[5] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[4] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[4] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[3] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[3] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[2] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[2] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[1] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[1] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[0] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[0] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[16] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[16] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|read_data_state ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|read_data_nominal_state ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|read_init_nominal_state ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|read_init_state ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[7] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[9] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[17] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[31] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|counter_param_latch_reg[2] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|counter_param_latch_reg[1] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|counter_param_latch_reg[0] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|counter_type_latch_reg[3] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|counter_type_latch_reg[2] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|counter_type_latch_reg[1] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|write_init_nominal_state ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|write_init_state ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|read_first_state ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|counter_type_latch_reg[0] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|read_first_nominal_state ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|reconfig_counter_state ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|reconfig_init_state ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|reconfig_seq_ena_state ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[30] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[13] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[12] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[17] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[15] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[6] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[18] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[14] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[5] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|C0_ena_state ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|C1_ena_state ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|C2_ena_state ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|C3_ena_state ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|C4_ena_state ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr13|cntr_qij:auto_generated|counter_reg_bit[5] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr13|cntr_qij:auto_generated|counter_reg_bit[4] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr13|cntr_qij:auto_generated|counter_reg_bit[3] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr13|cntr_qij:auto_generated|counter_reg_bit[2] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr13|cntr_qij:auto_generated|counter_reg_bit[1] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr13|cntr_qij:auto_generated|counter_reg_bit[0] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr1|cntr_30l:auto_generated|counter_reg_bit[0] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr2|cntr_9cj:auto_generated|counter_reg_bit[0] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr15|cntr_30l:auto_generated|counter_reg_bit[0] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr12|cntr_30l:auto_generated|counter_reg_bit[0] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr1|cntr_30l:auto_generated|counter_reg_bit[1] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr2|cntr_9cj:auto_generated|counter_reg_bit[1] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr15|cntr_30l:auto_generated|counter_reg_bit[1] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr12|cntr_30l:auto_generated|counter_reg_bit[1] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr1|cntr_30l:auto_generated|counter_reg_bit[2] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr2|cntr_9cj:auto_generated|counter_reg_bit[2] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr15|cntr_30l:auto_generated|counter_reg_bit[2] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr12|cntr_30l:auto_generated|counter_reg_bit[2] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr1|cntr_30l:auto_generated|counter_reg_bit[3] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr2|cntr_9cj:auto_generated|counter_reg_bit[3] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr15|cntr_30l:auto_generated|counter_reg_bit[3] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr12|cntr_30l:auto_generated|counter_reg_bit[3] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr1|cntr_30l:auto_generated|counter_reg_bit[4] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr2|cntr_9cj:auto_generated|counter_reg_bit[4] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr15|cntr_30l:auto_generated|counter_reg_bit[4] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr12|cntr_30l:auto_generated|counter_reg_bit[4] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr1|cntr_30l:auto_generated|counter_reg_bit[5] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr2|cntr_9cj:auto_generated|counter_reg_bit[5] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr15|cntr_30l:auto_generated|counter_reg_bit[5] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr12|cntr_30l:auto_generated|counter_reg_bit[5] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr1|cntr_30l:auto_generated|counter_reg_bit[6] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr2|cntr_9cj:auto_generated|counter_reg_bit[6] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr15|cntr_30l:auto_generated|counter_reg_bit[6] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr12|cntr_30l:auto_generated|counter_reg_bit[6] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr1|cntr_30l:auto_generated|counter_reg_bit[7] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr2|cntr_9cj:auto_generated|counter_reg_bit[7] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr15|cntr_30l:auto_generated|counter_reg_bit[7] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr12|cntr_30l:auto_generated|counter_reg_bit[7] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|configupdate2_state ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[26] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[25] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[24] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[9] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[0] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[10] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[1] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[11] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[2] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[12] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[3] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[13] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[4] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[23] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[22] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[21] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[20] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[19] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[15] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[14] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[29] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[28] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[27] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[11] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[10] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[9] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[8] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[7] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[6] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[5] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[4] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[3] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[2] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[1] ; no ; yes ; -; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[0] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[8] ; no ; yes ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|tmp_seq_ena_state ; no ; yes ; -+----------------------------------------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------+--------------------------------------------+ - - -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; User-Specified and Inferred Latches ; -+------------------------------------------------------------------------------------+--------------------------------------------------------------------------+------------------------+ -; Latch Name ; Latch Enable Signal ; Free of Timing Hazards ; -+------------------------------------------------------------------------------------+--------------------------------------------------------------------------+------------------------+ -; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[16] ; altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[4] ; yes ; -; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[31] ; altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[4] ; yes ; -; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[30] ; altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[4] ; yes ; -; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[13] ; altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[4] ; yes ; -; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[12] ; altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[4] ; yes ; -; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[17] ; altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[4] ; yes ; -; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[18] ; altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[4] ; yes ; -; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[26] ; altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[4] ; yes ; -; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[25] ; altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[4] ; yes ; -; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[24] ; altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[4] ; yes ; -; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[23] ; altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[4] ; yes ; -; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[22] ; altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[4] ; yes ; -; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[21] ; altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[4] ; yes ; -; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[20] ; altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[4] ; yes ; -; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[19] ; altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[4] ; yes ; -; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[15] ; altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[4] ; yes ; -; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[14] ; altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[4] ; yes ; -; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[29] ; altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[4] ; yes ; -; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[28] ; altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[4] ; yes ; -; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[27] ; altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[4] ; yes ; -; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[11] ; altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[4] ; yes ; -; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[10] ; altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[4] ; yes ; -; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[9] ; altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[4] ; yes ; -; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[8] ; altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[4] ; yes ; -; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[7] ; altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[4] ; yes ; -; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[6] ; altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[4] ; yes ; -; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[5] ; altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[4] ; yes ; -; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[4] ; altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[4] ; yes ; -; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[3] ; altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[4] ; yes ; -; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[2] ; altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[4] ; yes ; -; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[1] ; altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[4] ; yes ; -; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[0] ; altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[4] ; yes ; -; Number of user-specified and inferred latches = 32 ; ; ; -+------------------------------------------------------------------------------------+--------------------------------------------------------------------------+------------------------+ -Note: All latches listed above may not be present at the end of synthesis due to various synthesis optimizations. - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Registers Removed During Synthesis ; -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Register name ; Reason for Removal ; -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; interrupt_handler:nobody|INT_LATCH[31] ; Stuck at GND due to stuck port clock ; -; interrupt_handler:nobody|INT_CLEAR[31] ; Lost fanout ; -; interrupt_handler:nobody|INT_LATCH[30] ; Stuck at GND due to stuck port clock ; -; interrupt_handler:nobody|INT_CLEAR[30] ; Lost fanout ; -; interrupt_handler:nobody|INT_LATCH[29] ; Stuck at GND due to stuck port clock ; -; interrupt_handler:nobody|INT_CLEAR[29] ; Lost fanout ; -; interrupt_handler:nobody|INT_LATCH[28] ; Stuck at GND due to stuck port clock ; -; interrupt_handler:nobody|INT_CLEAR[28] ; Lost fanout ; -; interrupt_handler:nobody|INT_LATCH[27] ; Stuck at GND due to stuck port clock ; -; interrupt_handler:nobody|INT_CLEAR[27] ; Lost fanout ; -; interrupt_handler:nobody|INT_LATCH[26] ; Stuck at GND due to stuck port clock ; -; interrupt_handler:nobody|INT_CLEAR[26] ; Lost fanout ; -; interrupt_handler:nobody|INT_LATCH[25] ; Stuck at GND due to stuck port clock ; -; interrupt_handler:nobody|INT_CLEAR[25] ; Lost fanout ; -; interrupt_handler:nobody|INT_LATCH[24] ; Stuck at GND due to stuck port clock ; -; interrupt_handler:nobody|INT_CLEAR[24] ; Lost fanout ; -; interrupt_handler:nobody|INT_LATCH[23] ; Stuck at GND due to stuck port clock ; -; interrupt_handler:nobody|INT_CLEAR[23] ; Lost fanout ; -; interrupt_handler:nobody|INT_LATCH[22] ; Stuck at GND due to stuck port clock ; -; interrupt_handler:nobody|INT_CLEAR[22] ; Lost fanout ; -; interrupt_handler:nobody|INT_LATCH[21] ; Stuck at GND due to stuck port clock ; -; interrupt_handler:nobody|INT_CLEAR[21] ; Lost fanout ; -; interrupt_handler:nobody|INT_LATCH[20] ; Stuck at GND due to stuck port clock ; -; interrupt_handler:nobody|INT_CLEAR[20] ; Lost fanout ; -; interrupt_handler:nobody|INT_LATCH[19] ; Stuck at GND due to stuck port clock ; -; interrupt_handler:nobody|INT_CLEAR[19] ; Lost fanout ; -; interrupt_handler:nobody|INT_LATCH[18] ; Stuck at GND due to stuck port clock ; -; interrupt_handler:nobody|INT_CLEAR[18] ; Lost fanout ; -; interrupt_handler:nobody|INT_LATCH[17] ; Stuck at GND due to stuck port clock ; -; interrupt_handler:nobody|INT_CLEAR[17] ; Lost fanout ; -; interrupt_handler:nobody|INT_LATCH[16] ; Stuck at GND due to stuck port clock ; -; interrupt_handler:nobody|INT_CLEAR[16] ; Lost fanout ; -; interrupt_handler:nobody|INT_LATCH[15] ; Stuck at GND due to stuck port clock ; -; interrupt_handler:nobody|INT_CLEAR[15] ; Lost fanout ; -; interrupt_handler:nobody|INT_LATCH[14] ; Stuck at GND due to stuck port clock ; -; interrupt_handler:nobody|INT_CLEAR[14] ; Lost fanout ; -; interrupt_handler:nobody|INT_LATCH[13] ; Stuck at GND due to stuck port clock ; -; interrupt_handler:nobody|INT_CLEAR[13] ; Lost fanout ; -; interrupt_handler:nobody|INT_LATCH[12] ; Stuck at GND due to stuck port clock ; -; interrupt_handler:nobody|INT_CLEAR[12] ; Lost fanout ; -; interrupt_handler:nobody|INT_LATCH[11] ; Stuck at GND due to stuck port clock ; -; interrupt_handler:nobody|INT_CLEAR[11] ; Lost fanout ; -; interrupt_handler:nobody|INT_LATCH[10] ; Stuck at GND due to stuck port clock ; -; interrupt_handler:nobody|INT_CLEAR[10] ; Lost fanout ; -; interrupt_handler:nobody|INT_LATCH[7] ; Stuck at GND due to stuck port clock ; -; interrupt_handler:nobody|INT_CLEAR[7] ; Lost fanout ; -; interrupt_handler:nobody|WERTE[7][13] ; Stuck at VCC due to stuck port data_in ; -; interrupt_handler:nobody|WERTE[6][10] ; Stuck at GND due to stuck port clear ; -; interrupt_handler:nobody|WERTE[2][11] ; Stuck at VCC due to stuck port data_in ; -; interrupt_handler:nobody|WERTE[1][11] ; Stuck at VCC due to stuck port data_in ; -; interrupt_handler:nobody|WERTE[0][11] ; Stuck at VCC due to stuck port data_in ; -; Video:Fredi_Aschwanden|lpm_ff3:inst47|lpm_ff:lpm_ff_component|dffs[0..1,8..9,16..17] ; Stuck at GND due to stuck port data_in ; -; Video:Fredi_Aschwanden|lpm_ff3:inst46|lpm_ff:lpm_ff_component|dffs[0..1,8..9,16..17] ; Stuck at GND due to stuck port data_in ; -; Video:Fredi_Aschwanden|lpm_ff3:inst52|lpm_ff:lpm_ff_component|dffs[0..4,8..12,16..20] ; Stuck at GND due to stuck port data_in ; -; Video:Fredi_Aschwanden|lpm_ff3:inst49|lpm_ff:lpm_ff_component|dffs[0..4,8..12,16..20] ; Stuck at GND due to stuck port data_in ; -; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|BLITTER_REQ ; Stuck at GND due to stuck port data_in ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|DCD_In ; Stuck at GND due to stuck port data_in ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTS_In ; Stuck at GND due to stuck port data_in ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|DCD_In ; Stuck at GND due to stuck port data_in ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTS_In ; Stuck at GND due to stuck port data_in ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|FM_In ; Lost fanout ; -; Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_lk21:auto_generated|a_dpfifo_oq21:dpfifo|cntr_5n7:usedw_counter|counter_reg_bit[0..6] ; Lost fanout ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|\P_WAVSTRB:TMP ; Lost fanout ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|\P_IRQ:DCD_TRANS ; Lost fanout ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|\P_IRQ:DCD_TRANS ; Lost fanout ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|AIP ; Lost fanout ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|LA ; Lost fanout ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|BSY_ERR ; Lost fanout ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|TCR[3] ; Lost fanout ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|IDR[0..5] ; Lost fanout ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|\PARITY:LOCK ; Lost fanout ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|\FM_ENCODER:CNT[0..7] ; Lost fanout ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|ICR[6] ; Stuck at GND due to stuck port data_in ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|MR2[0,2..5,7] ; Stuck at GND due to stuck port data_in ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|TCR[0..2] ; Stuck at GND due to stuck port data_in ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|SER[0..7] ; Stuck at GND due to stuck port data_in ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|SPER ; Stuck at GND due to stuck port data_in ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|BUS_FREE ; Lost fanout ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|\REGISTERS:BSY_LOCK ; Lost fanout ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|\P_BUSFREE:TMP[0..2] ; Lost fanout ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|IDR[6..7] ; Lost fanout ; -; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|dffpipe_oe9:ws_bwp|dffe21a[9] ; Lost fanout ; -; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|dffpipe_oe9:ws_brp|dffe21a[9] ; Lost fanout ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|dffpipe_gd9:rs_bwp|dffe15a[8] ; Lost fanout ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|dffpipe_pe9:rs_brp|dffe16a[10] ; Lost fanout ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|dffpipe_pe9:ws_bwp|dffe16a[10] ; Lost fanout ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|dffpipe_gd9:ws_brp|dffe15a[8] ; Lost fanout ; -; Video:Fredi_Aschwanden|lpm_mux2:inst25|lpm_mux:lpm_mux_component|mux_mpe:auto_generated|dffe1a[2] ; Merged with Video:Fredi_Aschwanden|lpm_mux1:inst24|lpm_mux:lpm_mux_component|mux_npe:auto_generated|dffe1a[2] ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|NOISE_OUT ; Merged with FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|\NOISEGENERATOR:N_SHFT[16] ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|OE ; Merged with FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|\OVERRUN:FIRST_READ ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|OVR ; Merged with FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\OVERRUN:FIRST_READ ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|OVR ; Merged with FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\OVERRUN:FIRST_READ ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|MR2[6] ; Merged with FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|ICR[7] ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|ICR[0..3] ; Merged with FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|ICR[4] ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|DCD_FLAGn ; Stuck at GND due to stuck port data_in ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|DCD_FLAGn ; Stuck at GND due to stuck port data_in ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|\P_DRQ:LOCK ; Stuck at GND due to stuck port data_in ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|DMA_ACTIVE_I ; Stuck at GND due to stuck port data_in ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|ICR[4,7] ; Stuck at GND due to stuck port data_in ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|MR2[1] ; Stuck at GND due to stuck port data_in ; -; Video:Fredi_Aschwanden|lpm_mux6:inst7|lpm_mux:lpm_mux_component|mux_kpe:auto_generated|dffe18 ; Stuck at GND due to stuck port data_in ; -; Video:Fredi_Aschwanden|lpm_mux6:inst7|lpm_mux:lpm_mux_component|mux_kpe:auto_generated|dffe2 ; Stuck at GND due to stuck port data_in ; -; Video:Fredi_Aschwanden|lpm_mux6:inst7|lpm_mux:lpm_mux_component|mux_kpe:auto_generated|dffe20 ; Stuck at GND due to stuck port data_in ; -; Video:Fredi_Aschwanden|lpm_mux6:inst7|lpm_mux:lpm_mux_component|mux_kpe:auto_generated|dffe34 ; Stuck at GND due to stuck port data_in ; -; Video:Fredi_Aschwanden|lpm_mux6:inst7|lpm_mux:lpm_mux_component|mux_kpe:auto_generated|dffe36 ; Stuck at GND due to stuck port data_in ; -; Video:Fredi_Aschwanden|lpm_mux6:inst7|lpm_mux:lpm_mux_component|mux_kpe:auto_generated|dffe4 ; Stuck at GND due to stuck port data_in ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|INT ; Stuck at GND due to stuck port data_in ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|DRQ ; Stuck at GND due to stuck port data_in ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|ODR[0..7] ; Stuck at GND due to stuck port data_in ; -; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|SR_DDRWR_D_SEL ; Merged with Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|SR_DDR_WR ; -; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|SR_VDMP[0..2] ; Merged with Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|SR_VDMP[3] ; -; Video:Fredi_Aschwanden|inst88 ; Merged with Video:Fredi_Aschwanden|inst90 ; -; Video:Fredi_Aschwanden|lpm_ff5:inst97|lpm_ff:lpm_ff_component|dffs[0..2] ; Merged with Video:Fredi_Aschwanden|lpm_ff5:inst97|lpm_ff:lpm_ff_component|dffs[3] ; -; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|BLITTER_AC ; Stuck at GND due to stuck port data_in ; -; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VSYNC_I[2] ; Stuck at GND due to stuck port data_in ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|DMA_STATE.IDLE ; Lost fanout ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|DMA_STATE.DMA_STEP_1 ; Lost fanout ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|DMA_STATE.DMA_STEP_2 ; Lost fanout ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|DMA_STATE.DMA_STEP_3 ; Lost fanout ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|DMA_STATE.DMA_STEP_4 ; Lost fanout ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|CTRL_STATE.IDLE ; Lost fanout ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|CTRL_STATE.DMA_SEND ; Lost fanout ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|CTRL_STATE.DMA_TARG_RCV ; Lost fanout ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|CTRL_STATE.DMA_INIT_RCV ; Lost fanout ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|CTRL_STATE.WAIT_2200ns ; Lost fanout ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|MFM_STATE.A_00 ; Lost fanout ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|CTRL_STATE.WAIT_800ns ; Stuck at GND due to stuck port data_in ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|DATA_EN ; Stuck at GND due to stuck port data_in ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|DELAY_800ns ; Stuck at GND due to stuck port data_in ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|\DELAY_800:TMP[0..3] ; Stuck at GND due to stuck port data_in ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|\MFM_PRECOMPENSATION:WRITEPATTERN[0] ; Merged with FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|MFM_STATE.B_01 ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL|\ADDER:ADDER_DATA[12] ; Lost fanout ; -; Total Number of Removed Registers = 223 ; ; -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Removed Registers Triggering Further Register Optimizations ; -+-----------------------------------------------------------------------------------------------------------------------------------------------+---------------------------+---------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Register name ; Reason for Removal ; Registers Removed due to This Register ; -+-----------------------------------------------------------------------------------------------------------------------------------------------+---------------------------+---------------------------------------------------------------------------------------------------------------------------------------------------------+ -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|CTRL_STATE.WAIT_800ns ; Stuck at GND ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|DATA_EN, ; -; ; due to stuck port data_in ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|\DELAY_800:TMP[2] ; -; interrupt_handler:nobody|INT_LATCH[30] ; Stuck at GND ; interrupt_handler:nobody|INT_CLEAR[30] ; -; ; due to stuck port clock ; ; -; interrupt_handler:nobody|INT_LATCH[29] ; Stuck at GND ; interrupt_handler:nobody|INT_CLEAR[29] ; -; ; due to stuck port clock ; ; -; interrupt_handler:nobody|INT_LATCH[28] ; Stuck at GND ; interrupt_handler:nobody|INT_CLEAR[28] ; -; ; due to stuck port clock ; ; -; interrupt_handler:nobody|INT_LATCH[27] ; Stuck at GND ; interrupt_handler:nobody|INT_CLEAR[27] ; -; ; due to stuck port clock ; ; -; interrupt_handler:nobody|INT_LATCH[26] ; Stuck at GND ; interrupt_handler:nobody|INT_CLEAR[26] ; -; ; due to stuck port clock ; ; -; interrupt_handler:nobody|INT_LATCH[25] ; Stuck at GND ; interrupt_handler:nobody|INT_CLEAR[25] ; -; ; due to stuck port clock ; ; -; interrupt_handler:nobody|INT_LATCH[24] ; Stuck at GND ; interrupt_handler:nobody|INT_CLEAR[24] ; -; ; due to stuck port clock ; ; -; interrupt_handler:nobody|INT_LATCH[23] ; Stuck at GND ; interrupt_handler:nobody|INT_CLEAR[23] ; -; ; due to stuck port clock ; ; -; interrupt_handler:nobody|INT_LATCH[22] ; Stuck at GND ; interrupt_handler:nobody|INT_CLEAR[22] ; -; ; due to stuck port clock ; ; -; interrupt_handler:nobody|INT_LATCH[21] ; Stuck at GND ; interrupt_handler:nobody|INT_CLEAR[21] ; -; ; due to stuck port clock ; ; -; interrupt_handler:nobody|INT_LATCH[20] ; Stuck at GND ; interrupt_handler:nobody|INT_CLEAR[20] ; -; ; due to stuck port clock ; ; -; interrupt_handler:nobody|INT_LATCH[19] ; Stuck at GND ; interrupt_handler:nobody|INT_CLEAR[19] ; -; ; due to stuck port clock ; ; -; interrupt_handler:nobody|INT_LATCH[18] ; Stuck at GND ; interrupt_handler:nobody|INT_CLEAR[18] ; -; ; due to stuck port clock ; ; -; interrupt_handler:nobody|INT_LATCH[17] ; Stuck at GND ; interrupt_handler:nobody|INT_CLEAR[17] ; -; ; due to stuck port clock ; ; -; interrupt_handler:nobody|INT_LATCH[16] ; Stuck at GND ; interrupt_handler:nobody|INT_CLEAR[16] ; -; ; due to stuck port clock ; ; -; interrupt_handler:nobody|INT_LATCH[15] ; Stuck at GND ; interrupt_handler:nobody|INT_CLEAR[15] ; -; ; due to stuck port clock ; ; -; interrupt_handler:nobody|INT_LATCH[14] ; Stuck at GND ; interrupt_handler:nobody|INT_CLEAR[14] ; -; ; due to stuck port clock ; ; -; interrupt_handler:nobody|INT_LATCH[13] ; Stuck at GND ; interrupt_handler:nobody|INT_CLEAR[13] ; -; ; due to stuck port clock ; ; -; interrupt_handler:nobody|INT_LATCH[12] ; Stuck at GND ; interrupt_handler:nobody|INT_CLEAR[12] ; -; ; due to stuck port clock ; ; -; interrupt_handler:nobody|INT_LATCH[11] ; Stuck at GND ; interrupt_handler:nobody|INT_CLEAR[11] ; -; ; due to stuck port clock ; ; -; interrupt_handler:nobody|INT_LATCH[10] ; Stuck at GND ; interrupt_handler:nobody|INT_CLEAR[10] ; -; ; due to stuck port clock ; ; -; interrupt_handler:nobody|INT_LATCH[7] ; Stuck at GND ; interrupt_handler:nobody|INT_CLEAR[7] ; -; ; due to stuck port clock ; ; -; Video:Fredi_Aschwanden|lpm_ff3:inst47|lpm_ff:lpm_ff_component|dffs[17] ; Stuck at GND ; Video:Fredi_Aschwanden|lpm_ff3:inst46|lpm_ff:lpm_ff_component|dffs[17] ; -; ; due to stuck port data_in ; ; -; Video:Fredi_Aschwanden|lpm_ff3:inst47|lpm_ff:lpm_ff_component|dffs[16] ; Stuck at GND ; Video:Fredi_Aschwanden|lpm_ff3:inst46|lpm_ff:lpm_ff_component|dffs[16] ; -; ; due to stuck port data_in ; ; -; Video:Fredi_Aschwanden|lpm_ff3:inst47|lpm_ff:lpm_ff_component|dffs[9] ; Stuck at GND ; Video:Fredi_Aschwanden|lpm_ff3:inst46|lpm_ff:lpm_ff_component|dffs[9] ; -; ; due to stuck port data_in ; ; -; Video:Fredi_Aschwanden|lpm_ff3:inst47|lpm_ff:lpm_ff_component|dffs[8] ; Stuck at GND ; Video:Fredi_Aschwanden|lpm_ff3:inst46|lpm_ff:lpm_ff_component|dffs[8] ; -; ; due to stuck port data_in ; ; -; Video:Fredi_Aschwanden|lpm_ff3:inst47|lpm_ff:lpm_ff_component|dffs[1] ; Stuck at GND ; Video:Fredi_Aschwanden|lpm_ff3:inst46|lpm_ff:lpm_ff_component|dffs[1] ; -; ; due to stuck port data_in ; ; -; Video:Fredi_Aschwanden|lpm_ff3:inst47|lpm_ff:lpm_ff_component|dffs[0] ; Stuck at GND ; Video:Fredi_Aschwanden|lpm_ff3:inst46|lpm_ff:lpm_ff_component|dffs[0] ; -; ; due to stuck port data_in ; ; -; Video:Fredi_Aschwanden|lpm_ff3:inst52|lpm_ff:lpm_ff_component|dffs[20] ; Stuck at GND ; Video:Fredi_Aschwanden|lpm_ff3:inst49|lpm_ff:lpm_ff_component|dffs[20] ; -; ; due to stuck port data_in ; ; -; Video:Fredi_Aschwanden|lpm_ff3:inst52|lpm_ff:lpm_ff_component|dffs[19] ; Stuck at GND ; Video:Fredi_Aschwanden|lpm_ff3:inst49|lpm_ff:lpm_ff_component|dffs[19] ; -; ; due to stuck port data_in ; ; -; Video:Fredi_Aschwanden|lpm_ff3:inst52|lpm_ff:lpm_ff_component|dffs[18] ; Stuck at GND ; Video:Fredi_Aschwanden|lpm_ff3:inst49|lpm_ff:lpm_ff_component|dffs[18] ; -; ; due to stuck port data_in ; ; -; Video:Fredi_Aschwanden|lpm_ff3:inst52|lpm_ff:lpm_ff_component|dffs[17] ; Stuck at GND ; Video:Fredi_Aschwanden|lpm_ff3:inst49|lpm_ff:lpm_ff_component|dffs[17] ; -; ; due to stuck port data_in ; ; -; Video:Fredi_Aschwanden|lpm_ff3:inst52|lpm_ff:lpm_ff_component|dffs[16] ; Stuck at GND ; Video:Fredi_Aschwanden|lpm_ff3:inst49|lpm_ff:lpm_ff_component|dffs[16] ; -; ; due to stuck port data_in ; ; -; Video:Fredi_Aschwanden|lpm_ff3:inst52|lpm_ff:lpm_ff_component|dffs[12] ; Stuck at GND ; Video:Fredi_Aschwanden|lpm_ff3:inst49|lpm_ff:lpm_ff_component|dffs[12] ; -; ; due to stuck port data_in ; ; -; Video:Fredi_Aschwanden|lpm_ff3:inst52|lpm_ff:lpm_ff_component|dffs[11] ; Stuck at GND ; Video:Fredi_Aschwanden|lpm_ff3:inst49|lpm_ff:lpm_ff_component|dffs[11] ; -; ; due to stuck port data_in ; ; -; Video:Fredi_Aschwanden|lpm_ff3:inst52|lpm_ff:lpm_ff_component|dffs[10] ; Stuck at GND ; Video:Fredi_Aschwanden|lpm_ff3:inst49|lpm_ff:lpm_ff_component|dffs[10] ; -; ; due to stuck port data_in ; ; -; Video:Fredi_Aschwanden|lpm_ff3:inst52|lpm_ff:lpm_ff_component|dffs[9] ; Stuck at GND ; Video:Fredi_Aschwanden|lpm_ff3:inst49|lpm_ff:lpm_ff_component|dffs[9] ; -; ; due to stuck port data_in ; ; -; interrupt_handler:nobody|INT_LATCH[31] ; Stuck at GND ; interrupt_handler:nobody|INT_CLEAR[31] ; -; ; due to stuck port clock ; ; -; Video:Fredi_Aschwanden|lpm_ff3:inst52|lpm_ff:lpm_ff_component|dffs[4] ; Stuck at GND ; Video:Fredi_Aschwanden|lpm_ff3:inst49|lpm_ff:lpm_ff_component|dffs[4] ; -; ; due to stuck port data_in ; ; -; Video:Fredi_Aschwanden|lpm_ff3:inst52|lpm_ff:lpm_ff_component|dffs[3] ; Stuck at GND ; Video:Fredi_Aschwanden|lpm_ff3:inst49|lpm_ff:lpm_ff_component|dffs[3] ; -; ; due to stuck port data_in ; ; -; Video:Fredi_Aschwanden|lpm_ff3:inst52|lpm_ff:lpm_ff_component|dffs[2] ; Stuck at GND ; Video:Fredi_Aschwanden|lpm_ff3:inst49|lpm_ff:lpm_ff_component|dffs[2] ; -; ; due to stuck port data_in ; ; -; Video:Fredi_Aschwanden|lpm_ff3:inst52|lpm_ff:lpm_ff_component|dffs[1] ; Stuck at GND ; Video:Fredi_Aschwanden|lpm_ff3:inst49|lpm_ff:lpm_ff_component|dffs[1] ; -; ; due to stuck port data_in ; ; -; Video:Fredi_Aschwanden|lpm_ff3:inst52|lpm_ff:lpm_ff_component|dffs[0] ; Stuck at GND ; Video:Fredi_Aschwanden|lpm_ff3:inst49|lpm_ff:lpm_ff_component|dffs[0] ; -; ; due to stuck port data_in ; ; -; Video:Fredi_Aschwanden|lpm_ff3:inst52|lpm_ff:lpm_ff_component|dffs[8] ; Stuck at GND ; Video:Fredi_Aschwanden|lpm_ff3:inst49|lpm_ff:lpm_ff_component|dffs[8] ; -; ; due to stuck port data_in ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTS_In ; Stuck at GND ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|TCR[3] ; -; ; due to stuck port data_in ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|DCD_In ; Stuck at GND ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|\P_IRQ:DCD_TRANS ; -; ; due to stuck port data_in ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|MR2[2] ; Stuck at GND ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|ICR[4] ; -; ; due to stuck port data_in ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|MR2[0] ; Stuck at GND ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|BUS_FREE ; -; ; due to stuck port data_in ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|DCD_FLAGn ; Stuck at GND ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|ODR[2] ; -; ; due to stuck port data_in ; ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|DCD_In ; Stuck at GND ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|\P_IRQ:DCD_TRANS ; -; ; due to stuck port data_in ; ; -+-----------------------------------------------------------------------------------------------------------------------------------------------+---------------------------+---------------------------------------------------------------------------------------------------------------------------------------------------------+ - - -+------------------------------------------------------+ -; General Register Statistics ; -+----------------------------------------------+-------+ -; Statistic ; Value ; -+----------------------------------------------+-------+ -; Total registers ; 4612 ; -; Number of registers using Synchronous Clear ; 156 ; -; Number of registers using Synchronous Load ; 204 ; -; Number of registers using Asynchronous Clear ; 1431 ; -; Number of registers using Asynchronous Load ; 0 ; -; Number of registers using Clock Enable ; 2735 ; -; Number of registers using Preset ; 0 ; -+----------------------------------------------+-------+ - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Inverted Register Statistics ; -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+---------+ -; Inverted Register ; Fan out ; -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+---------+ -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|WR_CNT[3] ; 4 ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|WR_CNT[2] ; 5 ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|WR_CNT[1] ; 5 ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|WR_CNT[0] ; 4 ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL|PER_CNT[7] ; 7 ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|TDRE ; 7 ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|TDRE ; 7 ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|IRQ_ACIAn ; 2 ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|rdemp_eq_comp_lsb_aeb ; 1 ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|rdemp_eq_comp_msb_aeb ; 1 ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_graycounter_k47:rdptr_g1p|counter5a0 ; 8 ; -; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|reset_state ; 2 ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|rdemp_eq_comp_lsb_aeb ; 1 ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|rdemp_eq_comp_msb_aeb ; 1 ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_graycounter_k47:rdptr_g1p|parity6 ; 4 ; -; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_njc:wrptr_gp|sub_parity12a0 ; 1 ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_graycounter_gic:wrptr_g1p|counter8a0 ; 8 ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_graycounter_gic:wrptr_g1p|parity9 ; 4 ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_graycounter_j47:rdptr_g1p|sub_parity6a0 ; 1 ; -; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_graycounter_fic:wrptr_g1p|sub_parity9a0 ; 1 ; -; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_s57:rdptr_g1p|counter5a0 ; 7 ; -; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_s57:rdptr_g1p|parity6 ; 3 ; -; Total number of inverted registers = 22 ; ; -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+---------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Multiplexer Restructuring Statistics (Restructuring Performed) ; -+--------------------+-----------+---------------+----------------------+------------------------+------------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Multiplexer Inputs ; Bus Width ; Baseline Area ; Area if Restructured ; Saving if Restructured ; Registered ; Example Multiplexer Output ; -+--------------------+-----------+---------------+----------------------+------------------------+------------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; 3:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|SECTOR_REG[7] ; -; 3:1 ; 4 bits ; 8 LEs ; 4 LEs ; 4 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|\NOISEGENERATOR:CLK_DIV[0] ; -; 3:1 ; 2 bits ; 4 LEs ; 4 LEs ; 0 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|\P_SAMPLE:HI_FLT[0] ; -; 3:1 ; 8 bits ; 16 LEs ; 8 LEs ; 8 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|SHIFT_REG[6] ; -; 3:1 ; 8 bits ; 16 LEs ; 8 LEs ; 8 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG[2] ; -; 3:1 ; 2 bits ; 4 LEs ; 0 LEs ; 4 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\P_SAMPLE:FLT_TMP[0] ; -; 3:1 ; 8 bits ; 16 LEs ; 8 LEs ; 8 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|SHIFT_REG[0] ; -; 3:1 ; 8 bits ; 16 LEs ; 8 LEs ; 8 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG[7] ; -; 3:1 ; 2 bits ; 4 LEs ; 0 LEs ; 4 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\P_SAMPLE:FLT_TMP[0] ; -; 3:1 ; 8 bits ; 16 LEs ; 8 LEs ; 8 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|SHIFT_REG[4] ; -; 3:1 ; 8 bits ; 16 LEs ; 0 LEs ; 16 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|COMMAND_REG[7] ; -; 3:1 ; 16 bits ; 32 LEs ; 16 LEs ; 16 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_AM_DETECTOR:I_AM_DETECTOR|SHIFT[4] ; -; 3:1 ; 5 bits ; 10 LEs ; 5 LEs ; 5 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_AM_DETECTOR:I_AM_DETECTOR|\MFM_SYNCLOCK:TMP[4] ; -; 3:1 ; 4 bits ; 8 LEs ; 4 LEs ; 4 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|\PRESCALE_D:PRESCALE[4] ; -; 3:1 ; 4 bits ; 8 LEs ; 4 LEs ; 4 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|\PRESCALE_C:PRESCALE[4] ; -; 3:1 ; 4 bits ; 8 LEs ; 4 LEs ; 4 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|\PRESCALE_B:PRESCALE[7] ; -; 3:1 ; 4 bits ; 8 LEs ; 4 LEs ; 4 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|\PRESCALE_A:PRESCALE[6] ; -; 3:1 ; 2 bits ; 4 LEs ; 0 LEs ; 4 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UCR[3] ; -; 3:1 ; 8 bits ; 16 LEs ; 8 LEs ; 8 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|\RESTORE_TRAP:STEP_CNT[2] ; -; 4:1 ; 4 bits ; 8 LEs ; 4 LEs ; 4 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|SHIFT_REG[3] ; -; 4:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|SHIFT_REG[6] ; -; 4:1 ; 7 bits ; 14 LEs ; 14 LEs ; 0 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|SHIFT_REG[4] ; -; 3:1 ; 3 bits ; 6 LEs ; 3 LEs ; 3 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|BITCNT[2] ; -; 3:1 ; 3 bits ; 6 LEs ; 3 LEs ; 3 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT|BITCNT[0] ; -; 4:1 ; 7 bits ; 14 LEs ; 14 LEs ; 0 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|SHIFT_REG[6] ; -; 3:1 ; 3 bits ; 6 LEs ; 3 LEs ; 3 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|BITCNT[0] ; -; 4:1 ; 5 bits ; 10 LEs ; 5 LEs ; 5 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT|\CLKDIV:CLK_DIVCNT[0] ; -; 4:1 ; 3 bits ; 6 LEs ; 3 LEs ; 3 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|\P_START_BIT:TMP[0] ; -; 4:1 ; 2 bits ; 4 LEs ; 2 LEs ; 2 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|\P_SAMPLE:LOW_FLT[0] ; -; 4:1 ; 5 bits ; 10 LEs ; 10 LEs ; 0 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|\CLKDIV:CLK_DIVCNT[3] ; -; 3:1 ; 3 bits ; 6 LEs ; 3 LEs ; 3 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|BITCNT[0] ; -; 3:1 ; 3 bits ; 6 LEs ; 3 LEs ; 3 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|BITCNT[1] ; -; 4:1 ; 7 bits ; 14 LEs ; 7 LEs ; 7 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG[0] ; -; 4:1 ; 7 bits ; 14 LEs ; 7 LEs ; 7 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG[2] ; -; 4:1 ; 7 bits ; 14 LEs ; 14 LEs ; 0 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|DATA_REG[0] ; -; 4:1 ; 7 bits ; 14 LEs ; 14 LEs ; 0 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|DATA_REG[2] ; -; 4:1 ; 5 bits ; 10 LEs ; 10 LEs ; 0 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|AMKB_REG[3] ; -; 4:1 ; 3 bits ; 6 LEs ; 3 LEs ; 3 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|BITCNT[0] ; -; 3:1 ; 4 bits ; 8 LEs ; 4 LEs ; 4 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|SECT_LEN[7] ; -; 5:1 ; 21 bits ; 63 LEs ; 42 LEs ; 21 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|\CLK_MASK:MASK_SHFT[7] ; -; 5:1 ; 2 bits ; 6 LEs ; 4 LEs ; 2 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|\CLK_MASK:MASK_SHFT[19] ; -; 5:1 ; 5 bits ; 15 LEs ; 10 LEs ; 5 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT|SHIFT_REG[1] ; -; 5:1 ; 5 bits ; 15 LEs ; 10 LEs ; 5 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|\NOISEGENERATOR:CNT_NOISE[0] ; -; 4:1 ; 31 bits ; 62 LEs ; 62 LEs ; 0 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|AM_SHFT[26] ; -; 5:1 ; 3 bits ; 9 LEs ; 6 LEs ; 3 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|\P_SAMPLE:TIMER[1] ; -; 5:1 ; 8 bits ; 24 LEs ; 16 LEs ; 8 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|DATA_REG[4] ; -; 10:1 ; 4 bits ; 24 LEs ; 24 LEs ; 0 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|\PRESCALE_A:PRESCALE[5] ; -; 10:1 ; 4 bits ; 24 LEs ; 24 LEs ; 0 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|\PRESCALE_D:PRESCALE[2] ; -; 10:1 ; 4 bits ; 24 LEs ; 24 LEs ; 0 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|\PRESCALE_B:PRESCALE[1] ; -; 10:1 ; 4 bits ; 24 LEs ; 24 LEs ; 0 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|\PRESCALE_C:PRESCALE[5] ; -; 5:1 ; 8 bits ; 24 LEs ; 16 LEs ; 8 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_D[3] ; -; 5:1 ; 8 bits ; 24 LEs ; 16 LEs ; 8 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_C[0] ; -; 7:1 ; 7 bits ; 28 LEs ; 14 LEs ; 14 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|\CLKDIV:CLK_DIVCNT[2] ; -; 7:1 ; 7 bits ; 28 LEs ; 21 LEs ; 7 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[4] ; -; 7:1 ; 7 bits ; 28 LEs ; 14 LEs ; 14 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|\CLKDIV:CLK_DIVCNT[4] ; -; 7:1 ; 7 bits ; 28 LEs ; 21 LEs ; 7 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[5] ; -; 6:1 ; 8 bits ; 32 LEs ; 16 LEs ; 16 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|TRACK_REG[6] ; -; 7:1 ; 2 bits ; 8 LEs ; 4 LEs ; 4 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|nIDE_RD~reg0 ; -; 7:1 ; 13 bits ; 52 LEs ; 52 LEs ; 0 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CRC_LOGIC:I_CRC_LOGIC|CRC_SHIFT[10] ; -; 6:1 ; 20 bits ; 80 LEs ; 20 LEs ; 60 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|\P_DELAY:DELCNT[6] ; -; 11:1 ; 2 bits ; 14 LEs ; 10 LEs ; 4 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL|\PHASE_DECODER:PHASE_AMOUNT[1] ; -; 8:1 ; 5 bits ; 25 LEs ; 20 LEs ; 5 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UDR[0] ; -; 9:1 ; 2 bits ; 12 LEs ; 8 LEs ; 4 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CRC_LOGIC:I_CRC_LOGIC|CRC_SHIFT[5] ; -; 14:1 ; 5 bits ; 45 LEs ; 10 LEs ; 35 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|VOL_ENV[3] ; -; 11:1 ; 8 bits ; 56 LEs ; 16 LEs ; 40 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_B[1] ; -; 11:1 ; 8 bits ; 56 LEs ; 16 LEs ; 40 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_A[1] ; -; 17:1 ; 4 bits ; 44 LEs ; 40 LEs ; 4 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|VECT_NUMBER[2] ; -; 17:1 ; 4 bits ; 44 LEs ; 0 LEs ; 44 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|VECT_NUMBER[7] ; -; 3:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MID[1] ; -; 3:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW[5] ; -; 3:1 ; 24 bits ; 48 LEs ; 48 LEs ; 0 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[1] ; -; 3:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[16] ; -; 3:1 ; 4 bits ; 8 LEs ; 4 LEs ; 4 LEs ; Yes ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|WR_CNT[0] ; -; 3:1 ; 2 bits ; 4 LEs ; 2 LEs ; 2 LEs ; No ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE ; -; 3:1 ; 2 bits ; 4 LEs ; 4 LEs ; 0 LEs ; No ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|RCV_NEXT_STATE ; -; 3:1 ; 5 bits ; 10 LEs ; 10 LEs ; 0 LEs ; No ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE ; -; 3:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; No ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|INDEXCNT ; -; 3:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; No ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[21] ; -; 3:1 ; 6 bits ; 12 LEs ; 12 LEs ; 0 LEs ; No ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|DATA_OUT[4] ; -; 3:1 ; 6 bits ; 12 LEs ; 12 LEs ; 0 LEs ; No ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|DATA_OUT[1] ; -; 3:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; No ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CNT ; -; 3:1 ; 2 bits ; 4 LEs ; 4 LEs ; 0 LEs ; No ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|RCV_NEXT_STATE ; -; 3:1 ; 2 bits ; 4 LEs ; 4 LEs ; 0 LEs ; No ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|RCV_NEXT_STATE ; -; 3:1 ; 2 bits ; 4 LEs ; 2 LEs ; 2 LEs ; No ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|NEXT_CMD_STATE ; -; 3:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; No ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|AMPLITUDE_A[1] ; -; 3:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; No ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|AMPLITUDE_B[2] ; -; 3:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; No ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|AMPLITUDE_C[1] ; -; 16:1 ; 8 bits ; 80 LEs ; 24 LEs ; 56 LEs ; No ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|DATA_OUT[2] ; -; 4:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; No ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL|ADDER_IN[1] ; -; 64:1 ; 3 bits ; 126 LEs ; 126 LEs ; 0 LEs ; No ; |firebee1|interrupt_handler:nobody|_ ; -; 17:1 ; 3 bits ; 33 LEs ; 18 LEs ; 15 LEs ; No ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|DA_OUT[7] ; -; 18:1 ; 4 bits ; 48 LEs ; 44 LEs ; 4 LEs ; No ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|DA_OUT[2] ; -+--------------------+-----------+---------------+----------------------+------------------------+------------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated ; -+---------------------------------------+-------------------------------------------------------------------------------------+-----------------+-------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------------------+-------------------------------------------------------------------------------------+-----------------+-------------------------------+ -; AUTO_SHIFT_REGISTER_RECOGNITION ; OFF ; - ; - ; -; REMOVE_DUPLICATE_REGISTERS ; OFF ; - ; - ; -; SUPPRESS_DA_RULE_INTERNAL ; d101 ; - ; - ; -; SUPPRESS_DA_RULE_INTERNAL ; d102 ; - ; - ; -; SYNCHRONIZER_IDENTIFICATION ; OFF ; - ; - ; -; SYNCHRONIZATION_REGISTER_CHAIN_LENGTH ; 3 ; - ; - ; -; SYNCHRONIZER_IDENTIFICATION ; FORCED_IF_ASYNCHRONOUS ; - ; rdemp_eq_comp_lsb_aeb ; -; POWER_UP_LEVEL ; HIGH ; - ; rdemp_eq_comp_lsb_aeb ; -; SYNCHRONIZER_IDENTIFICATION ; FORCED_IF_ASYNCHRONOUS ; - ; rdemp_eq_comp_msb_aeb ; -; POWER_UP_LEVEL ; HIGH ; - ; rdemp_eq_comp_msb_aeb ; -; SYNCHRONIZER_IDENTIFICATION ; FORCED_IF_ASYNCHRONOUS ; - ; rs_dgwp_reg ; -; SYNCHRONIZER_IDENTIFICATION ; FORCED_IF_ASYNCHRONOUS ; - ; wrfull_eq_comp_lsb_mux_reg ; -; SYNCHRONIZER_IDENTIFICATION ; FORCED_IF_ASYNCHRONOUS ; - ; wrfull_eq_comp_msb_mux_reg ; -; SUPPRESS_DA_RULE_INTERNAL ; S102 ; - ; wrptr_g ; -; SYNCHRONIZER_IDENTIFICATION ; FORCED_IF_ASYNCHRONOUS ; - ; ws_dgrp_reg ; -; CUT ; ON ; rdptr_g ; ws_dgrp|dffpipe17|dffe18a ; -; SDC_STATEMENT ; set_false_path -from *rdptr_g* -to *ws_dgrp|dffpipe_id9:dffpipe17|dffe18a* ; - ; - ; -; CUT ; ON ; delayed_wrptr_g ; rs_dgwp|dffpipe12|dffe13a ; -; SDC_STATEMENT ; set_false_path -from *delayed_wrptr_g* -to *rs_dgwp|dffpipe_hd9:dffpipe12|dffe13a* ; - ; - ; -+---------------------------------------+-------------------------------------------------------------------------------------+-----------------+-------------------------------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_graycounter_k47:rdptr_g1p ; -+----------------+-------+------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+----------------+-------+------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; POWER_UP_LEVEL ; HIGH ; - ; counter5a0 ; -; POWER_UP_LEVEL ; HIGH ; - ; parity6 ; -+----------------+-------+------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_graycounter_fic:wrptr_g1p ; -+---------------------------+-------+------+----------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------+-------+------+----------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; SUPPRESS_DA_RULE_INTERNAL ; S102 ; - ; - ; -; POWER_UP_LEVEL ; HIGH ; - ; sub_parity9a0 ; -; POWER_UP_LEVEL ; LOW ; - ; parity8 ; -+---------------------------+-------+------+----------------------------------------------------------------------------------------------------------------------------------------------------------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|altsyncram_bi31:fifo_ram ; -+---------------------------------+--------------------+------+------------------------------------------------------------------------------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------------+--------------------+------+------------------------------------------------------------------------------------------------------------------------------------------+ -; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; - ; - ; -+---------------------------------+--------------------+------+------------------------------------------------------------------------------------------------------------------------------------------+ - - -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|alt_synch_pipe_ikd:rs_dgwp ; -+-----------------------------+------------------------+------+--------------------------------------------------------------------------------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+-----------------------------+------------------------+------+--------------------------------------------------------------------------------------------------------------------------------------------+ -; X_ON_VIOLATION_OPTION ; OFF ; - ; - ; -; SYNCHRONIZER_IDENTIFICATION ; FORCED_IF_ASYNCHRONOUS ; - ; - ; -+-----------------------------+------------------------+------+--------------------------------------------------------------------------------------------------------------------------------------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|alt_synch_pipe_ikd:rs_dgwp|dffpipe_hd9:dffpipe12 ; -+---------------------------------+-------+------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------------+-------+------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; AUTO_SHIFT_REGISTER_RECOGNITION ; OFF ; - ; - ; -+---------------------------------+-------+------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|dffpipe_gd9:ws_brp ; -+---------------------------------+-------+------+-------------------------------------------------------------------------------------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------------+-------+------+-------------------------------------------------------------------------------------------------------------------------------------------------+ -; AUTO_SHIFT_REGISTER_RECOGNITION ; OFF ; - ; - ; -+---------------------------------+-------+------+-------------------------------------------------------------------------------------------------------------------------------------------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|dffpipe_pe9:ws_bwp ; -+---------------------------------+-------+------+-------------------------------------------------------------------------------------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------------+-------+------+-------------------------------------------------------------------------------------------------------------------------------------------------+ -; AUTO_SHIFT_REGISTER_RECOGNITION ; OFF ; - ; - ; -+---------------------------------+-------+------+-------------------------------------------------------------------------------------------------------------------------------------------------+ - - -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|alt_synch_pipe_jkd:ws_dgrp ; -+-----------------------------+------------------------+------+--------------------------------------------------------------------------------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+-----------------------------+------------------------+------+--------------------------------------------------------------------------------------------------------------------------------------------+ -; X_ON_VIOLATION_OPTION ; OFF ; - ; - ; -; SYNCHRONIZER_IDENTIFICATION ; FORCED_IF_ASYNCHRONOUS ; - ; - ; -+-----------------------------+------------------------+------+--------------------------------------------------------------------------------------------------------------------------------------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|alt_synch_pipe_jkd:ws_dgrp|dffpipe_id9:dffpipe17 ; -+---------------------------------+-------+------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------------+-------+------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; AUTO_SHIFT_REGISTER_RECOGNITION ; OFF ; - ; - ; -+---------------------------------+-------+------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated ; -+---------------------------------------+-------------------------------------------------------------------------------------+-----------------+-------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------------------+-------------------------------------------------------------------------------------+-----------------+-------------------------------+ -; AUTO_SHIFT_REGISTER_RECOGNITION ; OFF ; - ; - ; -; REMOVE_DUPLICATE_REGISTERS ; OFF ; - ; - ; -; SUPPRESS_DA_RULE_INTERNAL ; d101 ; - ; - ; -; SUPPRESS_DA_RULE_INTERNAL ; d102 ; - ; - ; -; SYNCHRONIZER_IDENTIFICATION ; OFF ; - ; - ; -; SYNCHRONIZATION_REGISTER_CHAIN_LENGTH ; 3 ; - ; - ; -; SYNCHRONIZER_IDENTIFICATION ; FORCED_IF_ASYNCHRONOUS ; - ; rdemp_eq_comp_lsb_aeb ; -; POWER_UP_LEVEL ; HIGH ; - ; rdemp_eq_comp_lsb_aeb ; -; SYNCHRONIZER_IDENTIFICATION ; FORCED_IF_ASYNCHRONOUS ; - ; rdemp_eq_comp_msb_aeb ; -; POWER_UP_LEVEL ; HIGH ; - ; rdemp_eq_comp_msb_aeb ; -; SYNCHRONIZER_IDENTIFICATION ; FORCED_IF_ASYNCHRONOUS ; - ; rs_dgwp_reg ; -; SYNCHRONIZER_IDENTIFICATION ; FORCED_IF_ASYNCHRONOUS ; - ; wrfull_eq_comp_lsb_mux_reg ; -; SYNCHRONIZER_IDENTIFICATION ; FORCED_IF_ASYNCHRONOUS ; - ; wrfull_eq_comp_msb_mux_reg ; -; SUPPRESS_DA_RULE_INTERNAL ; S102 ; - ; wrptr_g ; -; SYNCHRONIZER_IDENTIFICATION ; FORCED_IF_ASYNCHRONOUS ; - ; ws_dgrp_reg ; -; CUT ; ON ; rdptr_g ; ws_dgrp|dffpipe15|dffe16a ; -; SDC_STATEMENT ; set_false_path -from *rdptr_g* -to *ws_dgrp|dffpipe_kd9:dffpipe15|dffe16a* ; - ; - ; -; CUT ; ON ; delayed_wrptr_g ; rs_dgwp|dffpipe12|dffe13a ; -; SDC_STATEMENT ; set_false_path -from *delayed_wrptr_g* -to *rs_dgwp|dffpipe_jd9:dffpipe12|dffe13a* ; - ; - ; -+---------------------------------------+-------------------------------------------------------------------------------------+-----------------+-------------------------------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_graycounter_j47:rdptr_g1p ; -+----------------+-------+------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+----------------+-------+------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; POWER_UP_LEVEL ; HIGH ; - ; sub_parity6a0 ; -; POWER_UP_LEVEL ; LOW ; - ; parity5 ; -+----------------+-------+------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_graycounter_gic:wrptr_g1p ; -+---------------------------+-------+------+----------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------+-------+------+----------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; SUPPRESS_DA_RULE_INTERNAL ; S102 ; - ; - ; -; POWER_UP_LEVEL ; HIGH ; - ; counter8a0 ; -; POWER_UP_LEVEL ; HIGH ; - ; parity9 ; -+---------------------------+-------+------+----------------------------------------------------------------------------------------------------------------------------------------------------------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram ; -+---------------------------------+--------------------+------+------------------------------------------------------------------------------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------------+--------------------+------+------------------------------------------------------------------------------------------------------------------------------------------+ -; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; - ; - ; -+---------------------------------+--------------------+------+------------------------------------------------------------------------------------------------------------------------------------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|dffpipe_pe9:rs_brp ; -+---------------------------------+-------+------+-------------------------------------------------------------------------------------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------------+-------+------+-------------------------------------------------------------------------------------------------------------------------------------------------+ -; AUTO_SHIFT_REGISTER_RECOGNITION ; OFF ; - ; - ; -+---------------------------------+-------+------+-------------------------------------------------------------------------------------------------------------------------------------------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|dffpipe_gd9:rs_bwp ; -+---------------------------------+-------+------+-------------------------------------------------------------------------------------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------------+-------+------+-------------------------------------------------------------------------------------------------------------------------------------------------+ -; AUTO_SHIFT_REGISTER_RECOGNITION ; OFF ; - ; - ; -+---------------------------------+-------+------+-------------------------------------------------------------------------------------------------------------------------------------------------+ - - -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|alt_synch_pipe_kkd:rs_dgwp ; -+-----------------------------+------------------------+------+--------------------------------------------------------------------------------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+-----------------------------+------------------------+------+--------------------------------------------------------------------------------------------------------------------------------------------+ -; X_ON_VIOLATION_OPTION ; OFF ; - ; - ; -; SYNCHRONIZER_IDENTIFICATION ; FORCED_IF_ASYNCHRONOUS ; - ; - ; -+-----------------------------+------------------------+------+--------------------------------------------------------------------------------------------------------------------------------------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|alt_synch_pipe_kkd:rs_dgwp|dffpipe_jd9:dffpipe12 ; -+---------------------------------+-------+------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------------+-------+------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; AUTO_SHIFT_REGISTER_RECOGNITION ; OFF ; - ; - ; -+---------------------------------+-------+------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ - - -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|alt_synch_pipe_lkd:ws_dgrp ; -+-----------------------------+------------------------+------+--------------------------------------------------------------------------------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+-----------------------------+------------------------+------+--------------------------------------------------------------------------------------------------------------------------------------------+ -; X_ON_VIOLATION_OPTION ; OFF ; - ; - ; -; SYNCHRONIZER_IDENTIFICATION ; FORCED_IF_ASYNCHRONOUS ; - ; - ; -+-----------------------------+------------------------+------+--------------------------------------------------------------------------------------------------------------------------------------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|alt_synch_pipe_lkd:ws_dgrp|dffpipe_kd9:dffpipe15 ; -+---------------------------------+-------+------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------------+-------+------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; AUTO_SHIFT_REGISTER_RECOGNITION ; OFF ; - ; - ; -+---------------------------------+-------+------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ - - -+-----------------------------------------------------------------------------------------+ -; Source assignments for Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component ; -+---------------------------------+-------+------+----------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------------+-------+------+----------------------------------------+ -; AUTO_SHIFT_REGISTER_RECOGNITION ; OFF ; - ; - ; -+---------------------------------+-------+------+----------------------------------------+ - - -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated ; -+---------------------------------------+-------------------------------------------------------------------------------------+-----------------+----------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------------------+-------------------------------------------------------------------------------------+-----------------+----------------------------+ -; AUTO_SHIFT_REGISTER_RECOGNITION ; OFF ; - ; - ; -; REMOVE_DUPLICATE_REGISTERS ; OFF ; - ; - ; -; SYNCHRONIZER_IDENTIFICATION ; OFF ; - ; - ; -; SYNCHRONIZATION_REGISTER_CHAIN_LENGTH ; 4 ; - ; - ; -; SUPPRESS_DA_RULE_INTERNAL ; d101 ; - ; - ; -; SUPPRESS_DA_RULE_INTERNAL ; d102 ; - ; - ; -; SUPPRESS_DA_RULE_INTERNAL ; R105 ; - ; - ; -; SYNCHRONIZER_IDENTIFICATION ; FORCED_IF_ASYNCHRONOUS ; - ; rdemp_eq_comp_lsb_aeb ; -; POWER_UP_LEVEL ; HIGH ; - ; rdemp_eq_comp_lsb_aeb ; -; SYNCHRONIZER_IDENTIFICATION ; FORCED_IF_ASYNCHRONOUS ; - ; rdemp_eq_comp_msb_aeb ; -; POWER_UP_LEVEL ; HIGH ; - ; rdemp_eq_comp_msb_aeb ; -; SYNCHRONIZER_IDENTIFICATION ; FORCED_IF_ASYNCHRONOUS ; - ; rs_dgwp_reg ; -; SYNCHRONIZER_IDENTIFICATION ; FORCED_IF_ASYNCHRONOUS ; - ; wrfull_eq_comp_lsb_mux_reg ; -; SYNCHRONIZER_IDENTIFICATION ; FORCED_IF_ASYNCHRONOUS ; - ; wrfull_eq_comp_msb_mux_reg ; -; SYNCHRONIZER_IDENTIFICATION ; FORCED_IF_ASYNCHRONOUS ; - ; ws_dgrp_reg ; -; CUT ; ON ; rdptr_g ; ws_dgrp|dffpipe22|dffe23a ; -; SDC_STATEMENT ; set_false_path -from *rdptr_g* -to *ws_dgrp|dffpipe_re9:dffpipe22|dffe23a* ; - ; - ; -; CUT ; ON ; delayed_wrptr_g ; rs_dgwp|dffpipe15|dffe16a ; -; SDC_STATEMENT ; set_false_path -from *delayed_wrptr_g* -to *rs_dgwp|dffpipe_qe9:dffpipe15|dffe16a* ; - ; - ; -+---------------------------------------+-------------------------------------------------------------------------------------+-----------------+----------------------------+ - - -+------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_s57:rdptr_g1p ; -+----------------+-------+------+----------------------------------------------------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+----------------+-------+------+----------------------------------------------------------------------------------------------------------------+ -; POWER_UP_LEVEL ; HIGH ; - ; counter5a0 ; -; POWER_UP_LEVEL ; HIGH ; - ; parity6 ; -+----------------+-------+------+----------------------------------------------------------------------------------------------------------------+ - - -+------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_ojc:wrptr_g1p ; -+---------------------------+-------+------+-----------------------------------------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------+-------+------+-----------------------------------------------------------------------------------------------------+ -; SUPPRESS_DA_RULE_INTERNAL ; S102 ; - ; - ; -; POWER_UP_LEVEL ; HIGH ; - ; counter8a0 ; -; POWER_UP_LEVEL ; HIGH ; - ; parity9 ; -+---------------------------+-------+------+-----------------------------------------------------------------------------------------------------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_njc:wrptr_gp ; -+---------------------------+-------+------+----------------------------------------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------+-------+------+----------------------------------------------------------------------------------------------------+ -; SUPPRESS_DA_RULE_INTERNAL ; S102 ; - ; - ; -; POWER_UP_LEVEL ; HIGH ; - ; sub_parity12a0 ; -; POWER_UP_LEVEL ; LOW ; - ; parity11 ; -+---------------------------+-------+------+----------------------------------------------------------------------------------------------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|altsyncram_tl31:fifo_ram ; -+---------------------------------+--------------------+------+-------------------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------------+--------------------+------+-------------------------------------------------------------------------------+ -; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; - ; - ; -+---------------------------------+--------------------+------+-------------------------------------------------------------------------------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|alt_synch_pipe_rld:rs_dgwp ; -+-----------------------------+------------------------+------+---------------------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+-----------------------------+------------------------+------+---------------------------------------------------------------------------------+ -; X_ON_VIOLATION_OPTION ; OFF ; - ; - ; -; SYNCHRONIZER_IDENTIFICATION ; FORCED_IF_ASYNCHRONOUS ; - ; - ; -+-----------------------------+------------------------+------+---------------------------------------------------------------------------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|alt_synch_pipe_rld:rs_dgwp|dffpipe_qe9:dffpipe15 ; -+---------------------------------+-------+------+--------------------------------------------------------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------------+-------+------+--------------------------------------------------------------------------------------------------------------------+ -; AUTO_SHIFT_REGISTER_RECOGNITION ; OFF ; - ; - ; -+---------------------------------+-------+------+--------------------------------------------------------------------------------------------------------------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|dffpipe_9d9:wraclr ; -+---------------------------------+-------+------+--------------------------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------------+-------+------+--------------------------------------------------------------------------------------+ -; AUTO_SHIFT_REGISTER_RECOGNITION ; OFF ; - ; - ; -+---------------------------------+-------+------+--------------------------------------------------------------------------------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|dffpipe_oe9:ws_brp ; -+---------------------------------+-------+------+--------------------------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------------+-------+------+--------------------------------------------------------------------------------------+ -; AUTO_SHIFT_REGISTER_RECOGNITION ; OFF ; - ; - ; -+---------------------------------+-------+------+--------------------------------------------------------------------------------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|dffpipe_oe9:ws_bwp ; -+---------------------------------+-------+------+--------------------------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------------+-------+------+--------------------------------------------------------------------------------------+ -; AUTO_SHIFT_REGISTER_RECOGNITION ; OFF ; - ; - ; -+---------------------------------+-------+------+--------------------------------------------------------------------------------------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|alt_synch_pipe_sld:ws_dgrp ; -+-----------------------------+------------------------+------+---------------------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+-----------------------------+------------------------+------+---------------------------------------------------------------------------------+ -; X_ON_VIOLATION_OPTION ; OFF ; - ; - ; -; SYNCHRONIZER_IDENTIFICATION ; FORCED_IF_ASYNCHRONOUS ; - ; - ; -+-----------------------------+------------------------+------+---------------------------------------------------------------------------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|alt_synch_pipe_sld:ws_dgrp|dffpipe_re9:dffpipe22 ; -+---------------------------------+-------+------+--------------------------------------------------------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------------+-------+------+--------------------------------------------------------------------------------------------------------------------+ -; AUTO_SHIFT_REGISTER_RECOGNITION ; OFF ; - ; - ; -+---------------------------------+-------+------+--------------------------------------------------------------------------------------------------------------------+ - - -+----------------------------------------------------------------------------------------------------------+ -; Source assignments for Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component ; -+---------------------------+-------------+------+---------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------+-------------+------+---------------------------------------------------------+ -; ADV_NETLIST_OPT_ALLOWED ; NEVER_ALLOW ; - ; - ; -; PRESERVE_REGISTER ; ON ; - ; output_cell_L ; -; DDIO_OUTPUT_REGISTER ; LOW ; - ; output_cell_L ; -; DDIO_OUTPUT_REGISTER ; HIGH ; - ; mux ; -; DDIO_INPUT_REGISTER ; LOW ; - ; input_cell_L ; -; DDIO_INPUT_REGISTER ; HIGH ; - ; input_cell_H ; -; SUPPRESS_DA_RULE_INTERNAL ; D101 ; - ; - ; -; SUPPRESS_DA_RULE_INTERNAL ; D103 ; - ; - ; -; SUPPRESS_DA_RULE_INTERNAL ; C104 ; - ; - ; -; SUPPRESS_DA_RULE_INTERNAL ; C106 ; - ; - ; -; SUPPRESS_DA_RULE_INTERNAL ; D102 ; - ; - ; -+---------------------------+-------------+------+---------------------------------------------------------+ - - -+----------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated ; -+-----------------------------+-------+------+-------------------------------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+-----------------------------+-------+------+-------------------------------------------------------------------------------------------+ -; SYNCHRONIZER_IDENTIFICATION ; OFF ; - ; - ; -; SUPPRESS_DA_RULE_INTERNAL ; C106 ; - ; - ; -; DDIO_INPUT_REGISTER ; HIGH ; - ; input_cell_h ; -; DDIO_INPUT_REGISTER ; LOW ; - ; input_cell_l ; -; MEGAFUNCTION_GENERATED_TRI ; ON ; - ; tri_buf1a ; -+-----------------------------+-------+------+-------------------------------------------------------------------------------------------+ - - -+----------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_RED|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated ; -+---------------------------------+--------------------+------+--------------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------------+--------------------+------+--------------------------------------------------------------------------+ -; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; - ; - ; -+---------------------------------+--------------------+------+--------------------------------------------------------------------------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_lk21:auto_generated|a_dpfifo_oq21:dpfifo|altsyncram_gj81:FIFOram ; -+---------------------------------+--------------------+------+---------------------------------------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------------+--------------------+------+---------------------------------------------------------------------------------------------------+ -; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; - ; - ; -+---------------------------------+--------------------+------+---------------------------------------------------------------------------------------------------+ - - -+------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_GREEN|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated ; -+---------------------------------+--------------------+------+----------------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------------+--------------------+------+----------------------------------------------------------------------------+ -; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; - ; - ; -+---------------------------------+--------------------+------+----------------------------------------------------------------------------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated ; -+---------------------------------+--------------------+------+---------------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------------+--------------------+------+---------------------------------------------------------------------------+ -; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; - ; - ; -+---------------------------------+--------------------+------+---------------------------------------------------------------------------+ - - -+------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for Video:Fredi_Aschwanden|altdpram0:ST_CLUT_RED|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated ; -+---------------------------------+--------------------+------+----------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------------+--------------------+------+----------------------------------------------------------------------+ -; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; - ; - ; -+---------------------------------+--------------------+------+----------------------------------------------------------------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for Video:Fredi_Aschwanden|altdpram0:ST_CLUT_GREEN|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated ; -+---------------------------------+--------------------+------+------------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------------+--------------------+------+------------------------------------------------------------------------+ -; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; - ; - ; -+---------------------------------+--------------------+------+------------------------------------------------------------------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for Video:Fredi_Aschwanden|altdpram0:ST_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated ; -+---------------------------------+--------------------+------+-----------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------------+--------------------+------+-----------------------------------------------------------------------+ -; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; - ; - ; -+---------------------------------+--------------------+------+-----------------------------------------------------------------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated ; -+---------------------------------+--------------------+------+-------------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------------+--------------------+------+-------------------------------------------------------------------------+ -; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; - ; - ; -+---------------------------------+--------------------+------+-------------------------------------------------------------------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM54|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated ; -+---------------------------------+--------------------+------+-------------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------------+--------------------+------+-------------------------------------------------------------------------+ -; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; - ; - ; -+---------------------------------+--------------------+------+-------------------------------------------------------------------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated ; -+---------------------------------+--------------------+------+-----------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------------+--------------------+------+-----------------------------------------------------------------------+ -; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; - ; - ; -+---------------------------------+--------------------+------+-----------------------------------------------------------------------+ - - -+----------------------------------------------------------------------------------------------------+ -; Source assignments for Video:Fredi_Aschwanden|altddio_out2:inst5|altddio_out:altddio_out_component ; -+---------------------------+-------------+------+---------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------+-------------+------+---------------------------------------------------+ -; ADV_NETLIST_OPT_ALLOWED ; NEVER_ALLOW ; - ; - ; -; PRESERVE_REGISTER ; ON ; - ; output_cell_L ; -; DDIO_OUTPUT_REGISTER ; LOW ; - ; output_cell_L ; -; DDIO_OUTPUT_REGISTER ; HIGH ; - ; mux ; -; SUPPRESS_DA_RULE_INTERNAL ; C104 ; - ; - ; -; SUPPRESS_DA_RULE_INTERNAL ; C106 ; - ; - ; -+---------------------------+-------------+------+---------------------------------------------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for Video:Fredi_Aschwanden|altddio_out2:inst5|altddio_out:altddio_out_component|ddio_out_o2f:auto_generated ; -+-----------------------------+-------+------+-----------------------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+-----------------------------+-------+------+-----------------------------------------------------------------------------------+ -; SYNCHRONIZER_IDENTIFICATION ; OFF ; - ; - ; -+-----------------------------+-------+------+-----------------------------------------------------------------------------------+ - - -+----------------------------------------------------------------------------------------------------+ -; Source assignments for Video:Fredi_Aschwanden|altddio_out0:inst2|altddio_out:altddio_out_component ; -+---------------------------+-------------+------+---------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------+-------------+------+---------------------------------------------------+ -; ADV_NETLIST_OPT_ALLOWED ; NEVER_ALLOW ; - ; - ; -; PRESERVE_REGISTER ; ON ; - ; output_cell_L ; -; DDIO_OUTPUT_REGISTER ; LOW ; - ; output_cell_L ; -; DDIO_OUTPUT_REGISTER ; HIGH ; - ; mux ; -; SUPPRESS_DA_RULE_INTERNAL ; C104 ; - ; - ; -; SUPPRESS_DA_RULE_INTERNAL ; C106 ; - ; - ; -+---------------------------+-------------+------+---------------------------------------------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for Video:Fredi_Aschwanden|altddio_out0:inst2|altddio_out:altddio_out_component|ddio_out_are:auto_generated ; -+-----------------------------+-------+------+-----------------------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+-----------------------------+-------+------+-----------------------------------------------------------------------------------+ -; SYNCHRONIZER_IDENTIFICATION ; OFF ; - ; - ; -+-----------------------------+-------+------+-----------------------------------------------------------------------------------+ - - -+------------------------------------------------------------------------------------------+ -; Source assignments for altpll4:inst22|altpll:altpll_component|altpll_c6j2:auto_generated ; -+---------------------------+-------+------+-----------------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------+-------+------+-----------------------------------------------+ -; SUPPRESS_DA_RULE_INTERNAL ; C104 ; - ; - ; -+---------------------------+-------+------+-----------------------------------------------+ - - -+-------------------------------------------------------------------------------------------------------------------+ -; Source assignments for altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component ; -+---------------------------------------+-------------+------+------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------------------+-------------+------+------------------------------------------------------+ -; ADV_NETLIST_OPT_ALLOWED ; NEVER_ALLOW ; - ; - ; -; SUPPRESS_DA_RULE_INTERNAL ; C106 ; - ; - ; -; PLL_SCAN_RECONFIG_COUNTER_REMAP_LCELL ; 2 ; - ; le_comb10 ; -; PLL_SCAN_RECONFIG_COUNTER_REMAP_LCELL ; 0 ; - ; le_comb8 ; -; PLL_SCAN_RECONFIG_COUNTER_REMAP_LCELL ; 1 ; - ; le_comb9 ; -; POWER_UP_LEVEL ; LOW ; - ; idle_state ; -; POWER_UP_LEVEL ; LOW ; - ; read_data_nominal_state ; -; POWER_UP_LEVEL ; LOW ; - ; read_data_state ; -; POWER_UP_LEVEL ; LOW ; - ; read_first_nominal_state ; -; POWER_UP_LEVEL ; LOW ; - ; read_first_state ; -; POWER_UP_LEVEL ; LOW ; - ; read_init_nominal_state ; -; POWER_UP_LEVEL ; LOW ; - ; read_init_state ; -; POWER_UP_LEVEL ; LOW ; - ; read_last_nominal_state ; -; POWER_UP_LEVEL ; LOW ; - ; read_last_state ; -; POWER_UP_LEVEL ; LOW ; - ; reconfig_counter_state ; -; POWER_UP_LEVEL ; LOW ; - ; reconfig_init_state ; -; POWER_UP_LEVEL ; LOW ; - ; reconfig_post_state ; -; POWER_UP_LEVEL ; LOW ; - ; reconfig_seq_data_state ; -; POWER_UP_LEVEL ; LOW ; - ; reconfig_seq_ena_state ; -; POWER_UP_LEVEL ; LOW ; - ; reconfig_wait_state ; -; POWER_UP_LEVEL ; HIGH ; - ; reset_state ; -; POWER_UP_LEVEL ; LOW ; - ; write_data_state ; -; POWER_UP_LEVEL ; LOW ; - ; write_init_nominal_state ; -; POWER_UP_LEVEL ; LOW ; - ; write_init_state ; -; POWER_UP_LEVEL ; LOW ; - ; write_nominal_state ; -+---------------------------------------+-------------+------+------------------------------------------------------+ - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|altsyncram:altsyncram4|altsyncram_46r:auto_generated ; -+---------------------------------+--------------------+------+----------------------------------------------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------------+--------------------+------+----------------------------------------------------------------------------------------------------------+ -; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; - ; - ; -+---------------------------------+--------------------+------+----------------------------------------------------------------------------------------------------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr1 ; -+---------------------------+-------+------+------------------------------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------+-------+------+------------------------------------------------------------------------------------------+ -; SUPPRESS_DA_RULE_INTERNAL ; a101 ; - ; - ; -; SUPPRESS_DA_RULE_INTERNAL ; s102 ; - ; - ; -; SUPPRESS_DA_RULE_INTERNAL ; s103 ; - ; - ; -+---------------------------+-------+------+------------------------------------------------------------------------------------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr12 ; -+---------------------------+-------+------+-------------------------------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------+-------+------+-------------------------------------------------------------------------------------------+ -; SUPPRESS_DA_RULE_INTERNAL ; a101 ; - ; - ; -; SUPPRESS_DA_RULE_INTERNAL ; s102 ; - ; - ; -; SUPPRESS_DA_RULE_INTERNAL ; s103 ; - ; - ; -+---------------------------+-------+------+-------------------------------------------------------------------------------------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr13 ; -+---------------------------+-------+------+-------------------------------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------+-------+------+-------------------------------------------------------------------------------------------+ -; SUPPRESS_DA_RULE_INTERNAL ; a101 ; - ; - ; -; SUPPRESS_DA_RULE_INTERNAL ; s102 ; - ; - ; -; SUPPRESS_DA_RULE_INTERNAL ; s103 ; - ; - ; -+---------------------------+-------+------+-------------------------------------------------------------------------------------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr14 ; -+---------------------------+-------+------+-------------------------------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------+-------+------+-------------------------------------------------------------------------------------------+ -; SUPPRESS_DA_RULE_INTERNAL ; a101 ; - ; - ; -; SUPPRESS_DA_RULE_INTERNAL ; s102 ; - ; - ; -; SUPPRESS_DA_RULE_INTERNAL ; s103 ; - ; - ; -+---------------------------+-------+------+-------------------------------------------------------------------------------------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr15 ; -+---------------------------+-------+------+-------------------------------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------+-------+------+-------------------------------------------------------------------------------------------+ -; SUPPRESS_DA_RULE_INTERNAL ; a101 ; - ; - ; -; SUPPRESS_DA_RULE_INTERNAL ; s102 ; - ; - ; -; SUPPRESS_DA_RULE_INTERNAL ; s103 ; - ; - ; -+---------------------------+-------+------+-------------------------------------------------------------------------------------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr2 ; -+---------------------------+-------+------+------------------------------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------+-------+------+------------------------------------------------------------------------------------------+ -; SUPPRESS_DA_RULE_INTERNAL ; a101 ; - ; - ; -; SUPPRESS_DA_RULE_INTERNAL ; s102 ; - ; - ; -; SUPPRESS_DA_RULE_INTERNAL ; s103 ; - ; - ; -+---------------------------+-------+------+------------------------------------------------------------------------------------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr3 ; -+---------------------------+-------+------+------------------------------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------+-------+------+------------------------------------------------------------------------------------------+ -; SUPPRESS_DA_RULE_INTERNAL ; a101 ; - ; - ; -; SUPPRESS_DA_RULE_INTERNAL ; s102 ; - ; - ; -; SUPPRESS_DA_RULE_INTERNAL ; s103 ; - ; - ; -+---------------------------+-------+------+------------------------------------------------------------------------------------------+ - - -+------------------------------------------------------------------------------+ -; Source assignments for lpm_counter0:inst18|lpm_counter:lpm_counter_component ; -+---------------------------+-------+------+-----------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------+-------+------+-----------------------------------+ -; SUPPRESS_DA_RULE_INTERNAL ; a101 ; - ; - ; -; SUPPRESS_DA_RULE_INTERNAL ; s102 ; - ; - ; -; SUPPRESS_DA_RULE_INTERNAL ; s103 ; - ; - ; -+---------------------------+-------+------+-----------------------------------+ - - -+-----------------------------------------------------------------------------+ -; Source assignments for altddio_out3:inst5|altddio_out:altddio_out_component ; -+---------------------------+-------------+------+----------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------+-------------+------+----------------------------+ -; ADV_NETLIST_OPT_ALLOWED ; NEVER_ALLOW ; - ; - ; -; PRESERVE_REGISTER ; ON ; - ; output_cell_L ; -; DDIO_OUTPUT_REGISTER ; LOW ; - ; output_cell_L ; -; DDIO_OUTPUT_REGISTER ; HIGH ; - ; mux ; -; SUPPRESS_DA_RULE_INTERNAL ; C104 ; - ; - ; -; SUPPRESS_DA_RULE_INTERNAL ; C106 ; - ; - ; -+---------------------------+-------------+------+----------------------------+ - - -+---------------------------------------------------------------------------------------------------------+ -; Source assignments for altddio_out3:inst5|altddio_out:altddio_out_component|ddio_out_31f:auto_generated ; -+-----------------------------+-------+------+------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+-----------------------------+-------+------+------------------------------------------------------------+ -; SYNCHRONIZER_IDENTIFICATION ; OFF ; - ; - ; -+-----------------------------+-------+------+------------------------------------------------------------+ - - -+-----------------------------------------------------------------------------+ -; Source assignments for altddio_out3:inst6|altddio_out:altddio_out_component ; -+---------------------------+-------------+------+----------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------+-------------+------+----------------------------+ -; ADV_NETLIST_OPT_ALLOWED ; NEVER_ALLOW ; - ; - ; -; PRESERVE_REGISTER ; ON ; - ; output_cell_L ; -; DDIO_OUTPUT_REGISTER ; LOW ; - ; output_cell_L ; -; DDIO_OUTPUT_REGISTER ; HIGH ; - ; mux ; -; SUPPRESS_DA_RULE_INTERNAL ; C104 ; - ; - ; -; SUPPRESS_DA_RULE_INTERNAL ; C106 ; - ; - ; -+---------------------------+-------------+------+----------------------------+ - - -+---------------------------------------------------------------------------------------------------------+ -; Source assignments for altddio_out3:inst6|altddio_out:altddio_out_component|ddio_out_31f:auto_generated ; -+-----------------------------+-------+------+------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+-----------------------------+-------+------+------------------------------------------------------------+ -; SYNCHRONIZER_IDENTIFICATION ; OFF ; - ; - ; -+-----------------------------+-------+------+------------------------------------------------------------+ - - -+-----------------------------------------------------------------------------+ -; Source assignments for altddio_out3:inst8|altddio_out:altddio_out_component ; -+---------------------------+-------------+------+----------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------+-------------+------+----------------------------+ -; ADV_NETLIST_OPT_ALLOWED ; NEVER_ALLOW ; - ; - ; -; PRESERVE_REGISTER ; ON ; - ; output_cell_L ; -; DDIO_OUTPUT_REGISTER ; LOW ; - ; output_cell_L ; -; DDIO_OUTPUT_REGISTER ; HIGH ; - ; mux ; -; SUPPRESS_DA_RULE_INTERNAL ; C104 ; - ; - ; -; SUPPRESS_DA_RULE_INTERNAL ; C106 ; - ; - ; -+---------------------------+-------------+------+----------------------------+ - - -+---------------------------------------------------------------------------------------------------------+ -; Source assignments for altddio_out3:inst8|altddio_out:altddio_out_component|ddio_out_31f:auto_generated ; -+-----------------------------+-------+------+------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+-----------------------------+-------+------+------------------------------------------------------------+ -; SYNCHRONIZER_IDENTIFICATION ; OFF ; - ; - ; -+-----------------------------+-------+------+------------------------------------------------------------+ - - -+-----------------------------------------------------------------------------+ -; Source assignments for altddio_out3:inst9|altddio_out:altddio_out_component ; -+---------------------------+-------------+------+----------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------+-------------+------+----------------------------+ -; ADV_NETLIST_OPT_ALLOWED ; NEVER_ALLOW ; - ; - ; -; PRESERVE_REGISTER ; ON ; - ; output_cell_L ; -; DDIO_OUTPUT_REGISTER ; LOW ; - ; output_cell_L ; -; DDIO_OUTPUT_REGISTER ; HIGH ; - ; mux ; -; SUPPRESS_DA_RULE_INTERNAL ; C104 ; - ; - ; -; SUPPRESS_DA_RULE_INTERNAL ; C106 ; - ; - ; -+---------------------------+-------------+------+----------------------------+ - - -+---------------------------------------------------------------------------------------------------------+ -; Source assignments for altddio_out3:inst9|altddio_out:altddio_out_component|ddio_out_31f:auto_generated ; -+-----------------------------+-------+------+------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+-----------------------------+-------+------+------------------------------------------------------------+ -; SYNCHRONIZER_IDENTIFICATION ; OFF ; - ; - ; -+-----------------------------+-------+------+------------------------------------------------------------+ - - -+-----------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: altpll1:inst|altpll:altpll_component ; -+-------------------------------+--------------------+------------------------------+ -; Parameter Name ; Value ; Type ; -+-------------------------------+--------------------+------------------------------+ -; OPERATION_MODE ; SOURCE_SYNCHRONOUS ; Untyped ; -; PLL_TYPE ; AUTO ; Untyped ; -; QUALIFY_CONF_DONE ; OFF ; Untyped ; -; COMPENSATE_CLOCK ; CLK0 ; Untyped ; -; SCAN_CHAIN ; LONG ; Untyped ; -; PRIMARY_CLOCK ; INCLK0 ; Untyped ; -; INCLK0_INPUT_FREQUENCY ; 30303 ; Signed Integer ; -; INCLK1_INPUT_FREQUENCY ; 0 ; Untyped ; -; GATE_LOCK_SIGNAL ; NO ; Untyped ; -; GATE_LOCK_COUNTER ; 0 ; Untyped ; -; LOCK_HIGH ; 1 ; Untyped ; -; LOCK_LOW ; 1 ; Untyped ; -; VALID_LOCK_MULTIPLIER ; 1 ; Untyped ; -; INVALID_LOCK_MULTIPLIER ; 5 ; Untyped ; -; SWITCH_OVER_ON_LOSSCLK ; OFF ; Untyped ; -; SWITCH_OVER_ON_GATED_LOCK ; OFF ; Untyped ; -; ENABLE_SWITCH_OVER_COUNTER ; OFF ; Untyped ; -; SKIP_VCO ; OFF ; Untyped ; -; SWITCH_OVER_COUNTER ; 0 ; Untyped ; -; SWITCH_OVER_TYPE ; AUTO ; Untyped ; -; FEEDBACK_SOURCE ; EXTCLK0 ; Untyped ; -; BANDWIDTH ; 0 ; Untyped ; -; BANDWIDTH_TYPE ; AUTO ; Untyped ; -; SPREAD_FREQUENCY ; 0 ; Untyped ; -; DOWN_SPREAD ; 0 ; Untyped ; -; SELF_RESET_ON_GATED_LOSS_LOCK ; OFF ; Untyped ; -; SELF_RESET_ON_LOSS_LOCK ; OFF ; Untyped ; -; CLK9_MULTIPLY_BY ; 0 ; Untyped ; -; CLK8_MULTIPLY_BY ; 0 ; Untyped ; -; CLK7_MULTIPLY_BY ; 0 ; Untyped ; -; CLK6_MULTIPLY_BY ; 0 ; Untyped ; -; CLK5_MULTIPLY_BY ; 1 ; Untyped ; -; CLK4_MULTIPLY_BY ; 1 ; Untyped ; -; CLK3_MULTIPLY_BY ; 1 ; Untyped ; -; CLK2_MULTIPLY_BY ; 67 ; Signed Integer ; -; CLK1_MULTIPLY_BY ; 67 ; Signed Integer ; -; CLK0_MULTIPLY_BY ; 1 ; Signed Integer ; -; CLK9_DIVIDE_BY ; 0 ; Untyped ; -; CLK8_DIVIDE_BY ; 0 ; Untyped ; -; CLK7_DIVIDE_BY ; 0 ; Untyped ; -; CLK6_DIVIDE_BY ; 0 ; Untyped ; -; CLK5_DIVIDE_BY ; 1 ; Untyped ; -; CLK4_DIVIDE_BY ; 1 ; Untyped ; -; CLK3_DIVIDE_BY ; 1 ; Untyped ; -; CLK2_DIVIDE_BY ; 90 ; Signed Integer ; -; CLK1_DIVIDE_BY ; 900 ; Signed Integer ; -; CLK0_DIVIDE_BY ; 66 ; Signed Integer ; -; CLK9_PHASE_SHIFT ; 0 ; Untyped ; -; CLK8_PHASE_SHIFT ; 0 ; Untyped ; -; CLK7_PHASE_SHIFT ; 0 ; Untyped ; -; CLK6_PHASE_SHIFT ; 0 ; Untyped ; -; CLK5_PHASE_SHIFT ; 0 ; Untyped ; -; CLK4_PHASE_SHIFT ; 0 ; Untyped ; -; CLK3_PHASE_SHIFT ; 0 ; Untyped ; -; CLK2_PHASE_SHIFT ; 0 ; Untyped ; -; CLK1_PHASE_SHIFT ; 0 ; Untyped ; -; CLK0_PHASE_SHIFT ; 0 ; Untyped ; -; CLK5_TIME_DELAY ; 0 ; Untyped ; -; CLK4_TIME_DELAY ; 0 ; Untyped ; -; CLK3_TIME_DELAY ; 0 ; Untyped ; -; CLK2_TIME_DELAY ; 0 ; Untyped ; -; CLK1_TIME_DELAY ; 0 ; Untyped ; -; CLK0_TIME_DELAY ; 0 ; Untyped ; -; CLK9_DUTY_CYCLE ; 50 ; Untyped ; -; CLK8_DUTY_CYCLE ; 50 ; Untyped ; -; CLK7_DUTY_CYCLE ; 50 ; Untyped ; -; CLK6_DUTY_CYCLE ; 50 ; Untyped ; -; CLK5_DUTY_CYCLE ; 50 ; Untyped ; -; CLK4_DUTY_CYCLE ; 50 ; Untyped ; -; CLK3_DUTY_CYCLE ; 50 ; Untyped ; -; CLK2_DUTY_CYCLE ; 50 ; Signed Integer ; -; CLK1_DUTY_CYCLE ; 50 ; Signed Integer ; -; CLK0_DUTY_CYCLE ; 50 ; Signed Integer ; -; CLK9_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK8_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK7_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK6_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK5_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK4_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK3_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK2_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK1_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK0_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK9_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK8_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK7_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK6_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK5_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK4_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK3_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK2_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK1_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK0_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; LOCK_WINDOW_UI ; 0.05 ; Untyped ; -; LOCK_WINDOW_UI_BITS ; UNUSED ; Untyped ; -; VCO_RANGE_DETECTOR_LOW_BITS ; UNUSED ; Untyped ; -; VCO_RANGE_DETECTOR_HIGH_BITS ; UNUSED ; Untyped ; -; DPA_MULTIPLY_BY ; 0 ; Untyped ; -; DPA_DIVIDE_BY ; 1 ; Untyped ; -; DPA_DIVIDER ; 0 ; Untyped ; -; EXTCLK3_MULTIPLY_BY ; 1 ; Untyped ; -; EXTCLK2_MULTIPLY_BY ; 1 ; Untyped ; -; EXTCLK1_MULTIPLY_BY ; 1 ; Untyped ; -; EXTCLK0_MULTIPLY_BY ; 1 ; Untyped ; -; EXTCLK3_DIVIDE_BY ; 1 ; Untyped ; -; EXTCLK2_DIVIDE_BY ; 1 ; Untyped ; -; EXTCLK1_DIVIDE_BY ; 1 ; Untyped ; -; EXTCLK0_DIVIDE_BY ; 1 ; Untyped ; -; EXTCLK3_PHASE_SHIFT ; 0 ; Untyped ; -; EXTCLK2_PHASE_SHIFT ; 0 ; Untyped ; -; EXTCLK1_PHASE_SHIFT ; 0 ; Untyped ; -; EXTCLK0_PHASE_SHIFT ; 0 ; Untyped ; -; EXTCLK3_TIME_DELAY ; 0 ; Untyped ; -; EXTCLK2_TIME_DELAY ; 0 ; Untyped ; -; EXTCLK1_TIME_DELAY ; 0 ; Untyped ; -; EXTCLK0_TIME_DELAY ; 0 ; Untyped ; -; EXTCLK3_DUTY_CYCLE ; 50 ; Untyped ; -; EXTCLK2_DUTY_CYCLE ; 50 ; Untyped ; -; EXTCLK1_DUTY_CYCLE ; 50 ; Untyped ; -; EXTCLK0_DUTY_CYCLE ; 50 ; Untyped ; -; VCO_MULTIPLY_BY ; 0 ; Untyped ; -; VCO_DIVIDE_BY ; 0 ; Untyped ; -; SCLKOUT0_PHASE_SHIFT ; 0 ; Untyped ; -; SCLKOUT1_PHASE_SHIFT ; 0 ; Untyped ; -; VCO_MIN ; 0 ; Untyped ; -; VCO_MAX ; 0 ; Untyped ; -; VCO_CENTER ; 0 ; Untyped ; -; PFD_MIN ; 0 ; Untyped ; -; PFD_MAX ; 0 ; Untyped ; -; M_INITIAL ; 0 ; Untyped ; -; M ; 0 ; Untyped ; -; N ; 1 ; Untyped ; -; M2 ; 1 ; Untyped ; -; N2 ; 1 ; Untyped ; -; SS ; 1 ; Untyped ; -; C0_HIGH ; 0 ; Untyped ; -; C1_HIGH ; 0 ; Untyped ; -; C2_HIGH ; 0 ; Untyped ; -; C3_HIGH ; 0 ; Untyped ; -; C4_HIGH ; 0 ; Untyped ; -; C5_HIGH ; 0 ; Untyped ; -; C6_HIGH ; 0 ; Untyped ; -; C7_HIGH ; 0 ; Untyped ; -; C8_HIGH ; 0 ; Untyped ; -; C9_HIGH ; 0 ; Untyped ; -; C0_LOW ; 0 ; Untyped ; -; C1_LOW ; 0 ; Untyped ; -; C2_LOW ; 0 ; Untyped ; -; C3_LOW ; 0 ; Untyped ; -; C4_LOW ; 0 ; Untyped ; -; C5_LOW ; 0 ; Untyped ; -; C6_LOW ; 0 ; Untyped ; -; C7_LOW ; 0 ; Untyped ; -; C8_LOW ; 0 ; Untyped ; -; C9_LOW ; 0 ; Untyped ; -; C0_INITIAL ; 0 ; Untyped ; -; C1_INITIAL ; 0 ; Untyped ; -; C2_INITIAL ; 0 ; Untyped ; -; C3_INITIAL ; 0 ; Untyped ; -; C4_INITIAL ; 0 ; Untyped ; -; C5_INITIAL ; 0 ; Untyped ; -; C6_INITIAL ; 0 ; Untyped ; -; C7_INITIAL ; 0 ; Untyped ; -; C8_INITIAL ; 0 ; Untyped ; -; C9_INITIAL ; 0 ; Untyped ; -; C0_MODE ; BYPASS ; Untyped ; -; C1_MODE ; BYPASS ; Untyped ; -; C2_MODE ; BYPASS ; Untyped ; -; C3_MODE ; BYPASS ; Untyped ; -; C4_MODE ; BYPASS ; Untyped ; -; C5_MODE ; BYPASS ; Untyped ; -; C6_MODE ; BYPASS ; Untyped ; -; C7_MODE ; BYPASS ; Untyped ; -; C8_MODE ; BYPASS ; Untyped ; -; C9_MODE ; BYPASS ; Untyped ; -; C0_PH ; 0 ; Untyped ; -; C1_PH ; 0 ; Untyped ; -; C2_PH ; 0 ; Untyped ; -; C3_PH ; 0 ; Untyped ; -; C4_PH ; 0 ; Untyped ; -; C5_PH ; 0 ; Untyped ; -; C6_PH ; 0 ; Untyped ; -; C7_PH ; 0 ; Untyped ; -; C8_PH ; 0 ; Untyped ; -; C9_PH ; 0 ; Untyped ; -; L0_HIGH ; 1 ; Untyped ; -; L1_HIGH ; 1 ; Untyped ; -; G0_HIGH ; 1 ; Untyped ; -; G1_HIGH ; 1 ; Untyped ; -; G2_HIGH ; 1 ; Untyped ; -; G3_HIGH ; 1 ; Untyped ; -; E0_HIGH ; 1 ; Untyped ; -; E1_HIGH ; 1 ; Untyped ; -; E2_HIGH ; 1 ; Untyped ; -; E3_HIGH ; 1 ; Untyped ; -; L0_LOW ; 1 ; Untyped ; -; L1_LOW ; 1 ; Untyped ; -; G0_LOW ; 1 ; Untyped ; -; G1_LOW ; 1 ; Untyped ; -; G2_LOW ; 1 ; Untyped ; -; G3_LOW ; 1 ; Untyped ; -; E0_LOW ; 1 ; Untyped ; -; E1_LOW ; 1 ; Untyped ; -; E2_LOW ; 1 ; Untyped ; -; E3_LOW ; 1 ; Untyped ; -; L0_INITIAL ; 1 ; Untyped ; -; L1_INITIAL ; 1 ; Untyped ; -; G0_INITIAL ; 1 ; Untyped ; -; G1_INITIAL ; 1 ; Untyped ; -; G2_INITIAL ; 1 ; Untyped ; -; G3_INITIAL ; 1 ; Untyped ; -; E0_INITIAL ; 1 ; Untyped ; -; E1_INITIAL ; 1 ; Untyped ; -; E2_INITIAL ; 1 ; Untyped ; -; E3_INITIAL ; 1 ; Untyped ; -; L0_MODE ; BYPASS ; Untyped ; -; L1_MODE ; BYPASS ; Untyped ; -; G0_MODE ; BYPASS ; Untyped ; -; G1_MODE ; BYPASS ; Untyped ; -; G2_MODE ; BYPASS ; Untyped ; -; G3_MODE ; BYPASS ; Untyped ; -; E0_MODE ; BYPASS ; Untyped ; -; E1_MODE ; BYPASS ; Untyped ; -; E2_MODE ; BYPASS ; Untyped ; -; E3_MODE ; BYPASS ; Untyped ; -; L0_PH ; 0 ; Untyped ; -; L1_PH ; 0 ; Untyped ; -; G0_PH ; 0 ; Untyped ; -; G1_PH ; 0 ; Untyped ; -; G2_PH ; 0 ; Untyped ; -; G3_PH ; 0 ; Untyped ; -; E0_PH ; 0 ; Untyped ; -; E1_PH ; 0 ; Untyped ; -; E2_PH ; 0 ; Untyped ; -; E3_PH ; 0 ; Untyped ; -; M_PH ; 0 ; Untyped ; -; C1_USE_CASC_IN ; OFF ; Untyped ; -; C2_USE_CASC_IN ; OFF ; Untyped ; -; C3_USE_CASC_IN ; OFF ; Untyped ; -; C4_USE_CASC_IN ; OFF ; Untyped ; -; C5_USE_CASC_IN ; OFF ; Untyped ; -; C6_USE_CASC_IN ; OFF ; Untyped ; -; C7_USE_CASC_IN ; OFF ; Untyped ; -; C8_USE_CASC_IN ; OFF ; Untyped ; -; C9_USE_CASC_IN ; OFF ; Untyped ; -; CLK0_COUNTER ; G0 ; Untyped ; -; CLK1_COUNTER ; G0 ; Untyped ; -; CLK2_COUNTER ; G0 ; Untyped ; -; CLK3_COUNTER ; G0 ; Untyped ; -; CLK4_COUNTER ; G0 ; Untyped ; -; CLK5_COUNTER ; G0 ; Untyped ; -; CLK6_COUNTER ; E0 ; Untyped ; -; CLK7_COUNTER ; E1 ; Untyped ; -; CLK8_COUNTER ; E2 ; Untyped ; -; CLK9_COUNTER ; E3 ; Untyped ; -; L0_TIME_DELAY ; 0 ; Untyped ; -; L1_TIME_DELAY ; 0 ; Untyped ; -; G0_TIME_DELAY ; 0 ; Untyped ; -; G1_TIME_DELAY ; 0 ; Untyped ; -; G2_TIME_DELAY ; 0 ; Untyped ; -; G3_TIME_DELAY ; 0 ; Untyped ; -; E0_TIME_DELAY ; 0 ; Untyped ; -; E1_TIME_DELAY ; 0 ; Untyped ; -; E2_TIME_DELAY ; 0 ; Untyped ; -; E3_TIME_DELAY ; 0 ; Untyped ; -; M_TIME_DELAY ; 0 ; Untyped ; -; N_TIME_DELAY ; 0 ; Untyped ; -; EXTCLK3_COUNTER ; E3 ; Untyped ; -; EXTCLK2_COUNTER ; E2 ; Untyped ; -; EXTCLK1_COUNTER ; E1 ; Untyped ; -; EXTCLK0_COUNTER ; E0 ; Untyped ; -; ENABLE0_COUNTER ; L0 ; Untyped ; -; ENABLE1_COUNTER ; L0 ; Untyped ; -; CHARGE_PUMP_CURRENT ; 2 ; Untyped ; -; LOOP_FILTER_R ; 1.000000 ; Untyped ; -; LOOP_FILTER_C ; 5 ; Untyped ; -; CHARGE_PUMP_CURRENT_BITS ; 9999 ; Untyped ; -; LOOP_FILTER_R_BITS ; 9999 ; Untyped ; -; LOOP_FILTER_C_BITS ; 9999 ; Untyped ; -; VCO_POST_SCALE ; 0 ; Untyped ; -; CLK2_OUTPUT_FREQUENCY ; 0 ; Untyped ; -; CLK1_OUTPUT_FREQUENCY ; 0 ; Untyped ; -; CLK0_OUTPUT_FREQUENCY ; 0 ; Untyped ; -; INTENDED_DEVICE_FAMILY ; Cyclone III ; Untyped ; -; PORT_CLKENA0 ; PORT_UNUSED ; Untyped ; -; PORT_CLKENA1 ; PORT_UNUSED ; Untyped ; -; PORT_CLKENA2 ; PORT_UNUSED ; Untyped ; -; PORT_CLKENA3 ; PORT_UNUSED ; Untyped ; -; PORT_CLKENA4 ; PORT_UNUSED ; Untyped ; -; PORT_CLKENA5 ; PORT_UNUSED ; Untyped ; -; PORT_EXTCLKENA0 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_EXTCLKENA1 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_EXTCLKENA2 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_EXTCLKENA3 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_EXTCLK0 ; PORT_UNUSED ; Untyped ; -; PORT_EXTCLK1 ; PORT_UNUSED ; Untyped ; -; PORT_EXTCLK2 ; PORT_UNUSED ; Untyped ; -; PORT_EXTCLK3 ; PORT_UNUSED ; Untyped ; -; PORT_CLKBAD0 ; PORT_UNUSED ; Untyped ; -; PORT_CLKBAD1 ; PORT_UNUSED ; Untyped ; -; PORT_CLK0 ; PORT_USED ; Untyped ; -; PORT_CLK1 ; PORT_USED ; Untyped ; -; PORT_CLK2 ; PORT_USED ; Untyped ; -; PORT_CLK3 ; PORT_UNUSED ; Untyped ; -; PORT_CLK4 ; PORT_UNUSED ; Untyped ; -; PORT_CLK5 ; PORT_UNUSED ; Untyped ; -; PORT_CLK6 ; PORT_UNUSED ; Untyped ; -; PORT_CLK7 ; PORT_UNUSED ; Untyped ; -; PORT_CLK8 ; PORT_UNUSED ; Untyped ; -; PORT_CLK9 ; PORT_UNUSED ; Untyped ; -; PORT_SCANDATA ; PORT_UNUSED ; Untyped ; -; PORT_SCANDATAOUT ; PORT_UNUSED ; Untyped ; -; PORT_SCANDONE ; PORT_UNUSED ; Untyped ; -; PORT_SCLKOUT1 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_SCLKOUT0 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_ACTIVECLOCK ; PORT_UNUSED ; Untyped ; -; PORT_CLKLOSS ; PORT_UNUSED ; Untyped ; -; PORT_INCLK1 ; PORT_UNUSED ; Untyped ; -; PORT_INCLK0 ; PORT_USED ; Untyped ; -; PORT_FBIN ; PORT_UNUSED ; Untyped ; -; PORT_PLLENA ; PORT_UNUSED ; Untyped ; -; PORT_CLKSWITCH ; PORT_UNUSED ; Untyped ; -; PORT_ARESET ; PORT_UNUSED ; Untyped ; -; PORT_PFDENA ; PORT_UNUSED ; Untyped ; -; PORT_SCANCLK ; PORT_UNUSED ; Untyped ; -; PORT_SCANACLR ; PORT_UNUSED ; Untyped ; -; PORT_SCANREAD ; PORT_UNUSED ; Untyped ; -; PORT_SCANWRITE ; PORT_UNUSED ; Untyped ; -; PORT_ENABLE0 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_ENABLE1 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_LOCKED ; PORT_USED ; Untyped ; -; PORT_CONFIGUPDATE ; PORT_UNUSED ; Untyped ; -; PORT_FBOUT ; PORT_CONNECTIVITY ; Untyped ; -; PORT_PHASEDONE ; PORT_UNUSED ; Untyped ; -; PORT_PHASESTEP ; PORT_UNUSED ; Untyped ; -; PORT_PHASEUPDOWN ; PORT_UNUSED ; Untyped ; -; PORT_SCANCLKENA ; PORT_UNUSED ; Untyped ; -; PORT_PHASECOUNTERSELECT ; PORT_UNUSED ; Untyped ; -; PORT_VCOOVERRANGE ; PORT_CONNECTIVITY ; Untyped ; -; PORT_VCOUNDERRANGE ; PORT_CONNECTIVITY ; Untyped ; -; M_TEST_SOURCE ; 5 ; Untyped ; -; C0_TEST_SOURCE ; 5 ; Untyped ; -; C1_TEST_SOURCE ; 5 ; Untyped ; -; C2_TEST_SOURCE ; 5 ; Untyped ; -; C3_TEST_SOURCE ; 5 ; Untyped ; -; C4_TEST_SOURCE ; 5 ; Untyped ; -; C5_TEST_SOURCE ; 5 ; Untyped ; -; C6_TEST_SOURCE ; 5 ; Untyped ; -; C7_TEST_SOURCE ; 5 ; Untyped ; -; C8_TEST_SOURCE ; 5 ; Untyped ; -; C9_TEST_SOURCE ; 5 ; Untyped ; -; CBXI_PARAMETER ; altpll_pul2 ; Untyped ; -; VCO_FREQUENCY_CONTROL ; AUTO ; Untyped ; -; VCO_PHASE_SHIFT_STEP ; 0 ; Untyped ; -; WIDTH_CLOCK ; 5 ; Signed Integer ; -; WIDTH_PHASECOUNTERSELECT ; 4 ; Untyped ; -; USING_FBMIMICBIDIR_PORT ; OFF ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; SCAN_CHAIN_MIF_FILE ; UNUSED ; Untyped ; -; SIM_GATE_LOCK_DEVICE_BEHAVIOR ; OFF ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -+-------------------------------+--------------------+------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component ; -+--------------------------+-------------+---------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+--------------------------+-------------+---------------------------------------------------------------------------------------------------------------------------------+ -; ACF_DISABLE_MLAB_RAM_USE ; FALSE ; Untyped ; -; ADD_RAM_OUTPUT_REGISTER ; OFF ; Untyped ; -; ADD_USEDW_MSB_BIT ; OFF ; Untyped ; -; CLOCKS_ARE_SYNCHRONIZED ; FALSE ; Untyped ; -; DELAY_RDUSEDW ; 1 ; Untyped ; -; DELAY_WRUSEDW ; 1 ; Untyped ; -; LPM_NUMWORDS ; 1024 ; Signed Integer ; -; LPM_SHOWAHEAD ; OFF ; Untyped ; -; LPM_WIDTH ; 8 ; Signed Integer ; -; LPM_WIDTH_R ; 32 ; Signed Integer ; -; LPM_WIDTHU ; 10 ; Signed Integer ; -; LPM_WIDTHU_R ; 8 ; Signed Integer ; -; MAXIMIZE_SPEED ; 5 ; Untyped ; -; OVERFLOW_CHECKING ; ON ; Untyped ; -; RAM_BLOCK_TYPE ; AUTO ; Untyped ; -; RDSYNC_DELAYPIPE ; 5 ; Signed Integer ; -; UNDERFLOW_CHECKING ; ON ; Untyped ; -; USE_EAB ; ON ; Untyped ; -; WRITE_ACLR_SYNCH ; OFF ; Untyped ; -; WRSYNC_DELAYPIPE ; 5 ; Signed Integer ; -; CBXI_PARAMETER ; dcfifo_0hh1 ; Untyped ; -+--------------------------+-------------+---------------------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component ; -+--------------------------+-------------+---------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+--------------------------+-------------+---------------------------------------------------------------------------------------------------------------------------------+ -; ACF_DISABLE_MLAB_RAM_USE ; FALSE ; Untyped ; -; ADD_RAM_OUTPUT_REGISTER ; OFF ; Untyped ; -; ADD_USEDW_MSB_BIT ; OFF ; Untyped ; -; CLOCKS_ARE_SYNCHRONIZED ; FALSE ; Untyped ; -; DELAY_RDUSEDW ; 1 ; Untyped ; -; DELAY_WRUSEDW ; 1 ; Untyped ; -; LPM_NUMWORDS ; 256 ; Signed Integer ; -; LPM_SHOWAHEAD ; OFF ; Untyped ; -; LPM_WIDTH ; 32 ; Signed Integer ; -; LPM_WIDTH_R ; 8 ; Signed Integer ; -; LPM_WIDTHU ; 8 ; Signed Integer ; -; LPM_WIDTHU_R ; 10 ; Signed Integer ; -; MAXIMIZE_SPEED ; 5 ; Untyped ; -; OVERFLOW_CHECKING ; ON ; Untyped ; -; RAM_BLOCK_TYPE ; AUTO ; Untyped ; -; RDSYNC_DELAYPIPE ; 5 ; Signed Integer ; -; UNDERFLOW_CHECKING ; ON ; Untyped ; -; USE_EAB ; ON ; Untyped ; -; WRITE_ACLR_SYNCH ; OFF ; Untyped ; -; WRSYNC_DELAYPIPE ; 5 ; Signed Integer ; -; CBXI_PARAMETER ; dcfifo_3fh1 ; Untyped ; -+--------------------------+-------------+---------------------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL ; -+----------------+-------+---------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+---------------------------------------------------------------------------------------------------------------------------------------------+ -; TOP ; 152 ; Signed Integer ; -; BOTTOM ; 104 ; Signed Integer ; -; PHASE_CORR ; 75 ; Signed Integer ; -+----------------+-------+---------------------------------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+-------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: altpll3:inst13|altpll:altpll_component ; -+-------------------------------+--------------------+--------------------------------+ -; Parameter Name ; Value ; Type ; -+-------------------------------+--------------------+--------------------------------+ -; OPERATION_MODE ; SOURCE_SYNCHRONOUS ; Untyped ; -; PLL_TYPE ; AUTO ; Untyped ; -; QUALIFY_CONF_DONE ; OFF ; Untyped ; -; COMPENSATE_CLOCK ; CLK1 ; Untyped ; -; SCAN_CHAIN ; LONG ; Untyped ; -; PRIMARY_CLOCK ; INCLK0 ; Untyped ; -; INCLK0_INPUT_FREQUENCY ; 30303 ; Signed Integer ; -; INCLK1_INPUT_FREQUENCY ; 0 ; Untyped ; -; GATE_LOCK_SIGNAL ; NO ; Untyped ; -; GATE_LOCK_COUNTER ; 0 ; Untyped ; -; LOCK_HIGH ; 1 ; Untyped ; -; LOCK_LOW ; 1 ; Untyped ; -; VALID_LOCK_MULTIPLIER ; 1 ; Untyped ; -; INVALID_LOCK_MULTIPLIER ; 5 ; Untyped ; -; SWITCH_OVER_ON_LOSSCLK ; OFF ; Untyped ; -; SWITCH_OVER_ON_GATED_LOCK ; OFF ; Untyped ; -; ENABLE_SWITCH_OVER_COUNTER ; OFF ; Untyped ; -; SKIP_VCO ; OFF ; Untyped ; -; SWITCH_OVER_COUNTER ; 0 ; Untyped ; -; SWITCH_OVER_TYPE ; AUTO ; Untyped ; -; FEEDBACK_SOURCE ; EXTCLK0 ; Untyped ; -; BANDWIDTH ; 0 ; Untyped ; -; BANDWIDTH_TYPE ; AUTO ; Untyped ; -; SPREAD_FREQUENCY ; 0 ; Untyped ; -; DOWN_SPREAD ; 0 ; Untyped ; -; SELF_RESET_ON_GATED_LOSS_LOCK ; OFF ; Untyped ; -; SELF_RESET_ON_LOSS_LOCK ; OFF ; Untyped ; -; CLK9_MULTIPLY_BY ; 0 ; Untyped ; -; CLK8_MULTIPLY_BY ; 0 ; Untyped ; -; CLK7_MULTIPLY_BY ; 0 ; Untyped ; -; CLK6_MULTIPLY_BY ; 0 ; Untyped ; -; CLK5_MULTIPLY_BY ; 1 ; Untyped ; -; CLK4_MULTIPLY_BY ; 1 ; Untyped ; -; CLK3_MULTIPLY_BY ; 16 ; Signed Integer ; -; CLK2_MULTIPLY_BY ; 25 ; Signed Integer ; -; CLK1_MULTIPLY_BY ; 16 ; Signed Integer ; -; CLK0_MULTIPLY_BY ; 2 ; Signed Integer ; -; CLK9_DIVIDE_BY ; 0 ; Untyped ; -; CLK8_DIVIDE_BY ; 0 ; Untyped ; -; CLK7_DIVIDE_BY ; 0 ; Untyped ; -; CLK6_DIVIDE_BY ; 0 ; Untyped ; -; CLK5_DIVIDE_BY ; 1 ; Untyped ; -; CLK4_DIVIDE_BY ; 1 ; Untyped ; -; CLK3_DIVIDE_BY ; 11 ; Signed Integer ; -; CLK2_DIVIDE_BY ; 33 ; Signed Integer ; -; CLK1_DIVIDE_BY ; 33 ; Signed Integer ; -; CLK0_DIVIDE_BY ; 33 ; Signed Integer ; -; CLK9_PHASE_SHIFT ; 0 ; Untyped ; -; CLK8_PHASE_SHIFT ; 0 ; Untyped ; -; CLK7_PHASE_SHIFT ; 0 ; Untyped ; -; CLK6_PHASE_SHIFT ; 0 ; Untyped ; -; CLK5_PHASE_SHIFT ; 0 ; Untyped ; -; CLK4_PHASE_SHIFT ; 0 ; Untyped ; -; CLK3_PHASE_SHIFT ; 0 ; Untyped ; -; CLK2_PHASE_SHIFT ; 0 ; Untyped ; -; CLK1_PHASE_SHIFT ; 0 ; Untyped ; -; CLK0_PHASE_SHIFT ; 0 ; Untyped ; -; CLK5_TIME_DELAY ; 0 ; Untyped ; -; CLK4_TIME_DELAY ; 0 ; Untyped ; -; CLK3_TIME_DELAY ; 0 ; Untyped ; -; CLK2_TIME_DELAY ; 0 ; Untyped ; -; CLK1_TIME_DELAY ; 0 ; Untyped ; -; CLK0_TIME_DELAY ; 0 ; Untyped ; -; CLK9_DUTY_CYCLE ; 50 ; Untyped ; -; CLK8_DUTY_CYCLE ; 50 ; Untyped ; -; CLK7_DUTY_CYCLE ; 50 ; Untyped ; -; CLK6_DUTY_CYCLE ; 50 ; Untyped ; -; CLK5_DUTY_CYCLE ; 50 ; Untyped ; -; CLK4_DUTY_CYCLE ; 50 ; Untyped ; -; CLK3_DUTY_CYCLE ; 50 ; Signed Integer ; -; CLK2_DUTY_CYCLE ; 50 ; Signed Integer ; -; CLK1_DUTY_CYCLE ; 50 ; Signed Integer ; -; CLK0_DUTY_CYCLE ; 50 ; Signed Integer ; -; CLK9_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK8_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK7_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK6_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK5_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK4_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK3_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK2_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK1_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK0_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK9_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK8_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK7_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK6_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK5_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK4_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK3_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK2_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK1_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK0_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; LOCK_WINDOW_UI ; 0.05 ; Untyped ; -; LOCK_WINDOW_UI_BITS ; UNUSED ; Untyped ; -; VCO_RANGE_DETECTOR_LOW_BITS ; UNUSED ; Untyped ; -; VCO_RANGE_DETECTOR_HIGH_BITS ; UNUSED ; Untyped ; -; DPA_MULTIPLY_BY ; 0 ; Untyped ; -; DPA_DIVIDE_BY ; 1 ; Untyped ; -; DPA_DIVIDER ; 0 ; Untyped ; -; EXTCLK3_MULTIPLY_BY ; 1 ; Untyped ; -; EXTCLK2_MULTIPLY_BY ; 1 ; Untyped ; -; EXTCLK1_MULTIPLY_BY ; 1 ; Untyped ; -; EXTCLK0_MULTIPLY_BY ; 1 ; Untyped ; -; EXTCLK3_DIVIDE_BY ; 1 ; Untyped ; -; EXTCLK2_DIVIDE_BY ; 1 ; Untyped ; -; EXTCLK1_DIVIDE_BY ; 1 ; Untyped ; -; EXTCLK0_DIVIDE_BY ; 1 ; Untyped ; -; EXTCLK3_PHASE_SHIFT ; 0 ; Untyped ; -; EXTCLK2_PHASE_SHIFT ; 0 ; Untyped ; -; EXTCLK1_PHASE_SHIFT ; 0 ; Untyped ; -; EXTCLK0_PHASE_SHIFT ; 0 ; Untyped ; -; EXTCLK3_TIME_DELAY ; 0 ; Untyped ; -; EXTCLK2_TIME_DELAY ; 0 ; Untyped ; -; EXTCLK1_TIME_DELAY ; 0 ; Untyped ; -; EXTCLK0_TIME_DELAY ; 0 ; Untyped ; -; EXTCLK3_DUTY_CYCLE ; 50 ; Untyped ; -; EXTCLK2_DUTY_CYCLE ; 50 ; Untyped ; -; EXTCLK1_DUTY_CYCLE ; 50 ; Untyped ; -; EXTCLK0_DUTY_CYCLE ; 50 ; Untyped ; -; VCO_MULTIPLY_BY ; 0 ; Untyped ; -; VCO_DIVIDE_BY ; 0 ; Untyped ; -; SCLKOUT0_PHASE_SHIFT ; 0 ; Untyped ; -; SCLKOUT1_PHASE_SHIFT ; 0 ; Untyped ; -; VCO_MIN ; 0 ; Untyped ; -; VCO_MAX ; 0 ; Untyped ; -; VCO_CENTER ; 0 ; Untyped ; -; PFD_MIN ; 0 ; Untyped ; -; PFD_MAX ; 0 ; Untyped ; -; M_INITIAL ; 0 ; Untyped ; -; M ; 0 ; Untyped ; -; N ; 1 ; Untyped ; -; M2 ; 1 ; Untyped ; -; N2 ; 1 ; Untyped ; -; SS ; 1 ; Untyped ; -; C0_HIGH ; 0 ; Untyped ; -; C1_HIGH ; 0 ; Untyped ; -; C2_HIGH ; 0 ; Untyped ; -; C3_HIGH ; 0 ; Untyped ; -; C4_HIGH ; 0 ; Untyped ; -; C5_HIGH ; 0 ; Untyped ; -; C6_HIGH ; 0 ; Untyped ; -; C7_HIGH ; 0 ; Untyped ; -; C8_HIGH ; 0 ; Untyped ; -; C9_HIGH ; 0 ; Untyped ; -; C0_LOW ; 0 ; Untyped ; -; C1_LOW ; 0 ; Untyped ; -; C2_LOW ; 0 ; Untyped ; -; C3_LOW ; 0 ; Untyped ; -; C4_LOW ; 0 ; Untyped ; -; C5_LOW ; 0 ; Untyped ; -; C6_LOW ; 0 ; Untyped ; -; C7_LOW ; 0 ; Untyped ; -; C8_LOW ; 0 ; Untyped ; -; C9_LOW ; 0 ; Untyped ; -; C0_INITIAL ; 0 ; Untyped ; -; C1_INITIAL ; 0 ; Untyped ; -; C2_INITIAL ; 0 ; Untyped ; -; C3_INITIAL ; 0 ; Untyped ; -; C4_INITIAL ; 0 ; Untyped ; -; C5_INITIAL ; 0 ; Untyped ; -; C6_INITIAL ; 0 ; Untyped ; -; C7_INITIAL ; 0 ; Untyped ; -; C8_INITIAL ; 0 ; Untyped ; -; C9_INITIAL ; 0 ; Untyped ; -; C0_MODE ; BYPASS ; Untyped ; -; C1_MODE ; BYPASS ; Untyped ; -; C2_MODE ; BYPASS ; Untyped ; -; C3_MODE ; BYPASS ; Untyped ; -; C4_MODE ; BYPASS ; Untyped ; -; C5_MODE ; BYPASS ; Untyped ; -; C6_MODE ; BYPASS ; Untyped ; -; C7_MODE ; BYPASS ; Untyped ; -; C8_MODE ; BYPASS ; Untyped ; -; C9_MODE ; BYPASS ; Untyped ; -; C0_PH ; 0 ; Untyped ; -; C1_PH ; 0 ; Untyped ; -; C2_PH ; 0 ; Untyped ; -; C3_PH ; 0 ; Untyped ; -; C4_PH ; 0 ; Untyped ; -; C5_PH ; 0 ; Untyped ; -; C6_PH ; 0 ; Untyped ; -; C7_PH ; 0 ; Untyped ; -; C8_PH ; 0 ; Untyped ; -; C9_PH ; 0 ; Untyped ; -; L0_HIGH ; 1 ; Untyped ; -; L1_HIGH ; 1 ; Untyped ; -; G0_HIGH ; 1 ; Untyped ; -; G1_HIGH ; 1 ; Untyped ; -; G2_HIGH ; 1 ; Untyped ; -; G3_HIGH ; 1 ; Untyped ; -; E0_HIGH ; 1 ; Untyped ; -; E1_HIGH ; 1 ; Untyped ; -; E2_HIGH ; 1 ; Untyped ; -; E3_HIGH ; 1 ; Untyped ; -; L0_LOW ; 1 ; Untyped ; -; L1_LOW ; 1 ; Untyped ; -; G0_LOW ; 1 ; Untyped ; -; G1_LOW ; 1 ; Untyped ; -; G2_LOW ; 1 ; Untyped ; -; G3_LOW ; 1 ; Untyped ; -; E0_LOW ; 1 ; Untyped ; -; E1_LOW ; 1 ; Untyped ; -; E2_LOW ; 1 ; Untyped ; -; E3_LOW ; 1 ; Untyped ; -; L0_INITIAL ; 1 ; Untyped ; -; L1_INITIAL ; 1 ; Untyped ; -; G0_INITIAL ; 1 ; Untyped ; -; G1_INITIAL ; 1 ; Untyped ; -; G2_INITIAL ; 1 ; Untyped ; -; G3_INITIAL ; 1 ; Untyped ; -; E0_INITIAL ; 1 ; Untyped ; -; E1_INITIAL ; 1 ; Untyped ; -; E2_INITIAL ; 1 ; Untyped ; -; E3_INITIAL ; 1 ; Untyped ; -; L0_MODE ; BYPASS ; Untyped ; -; L1_MODE ; BYPASS ; Untyped ; -; G0_MODE ; BYPASS ; Untyped ; -; G1_MODE ; BYPASS ; Untyped ; -; G2_MODE ; BYPASS ; Untyped ; -; G3_MODE ; BYPASS ; Untyped ; -; E0_MODE ; BYPASS ; Untyped ; -; E1_MODE ; BYPASS ; Untyped ; -; E2_MODE ; BYPASS ; Untyped ; -; E3_MODE ; BYPASS ; Untyped ; -; L0_PH ; 0 ; Untyped ; -; L1_PH ; 0 ; Untyped ; -; G0_PH ; 0 ; Untyped ; -; G1_PH ; 0 ; Untyped ; -; G2_PH ; 0 ; Untyped ; -; G3_PH ; 0 ; Untyped ; -; E0_PH ; 0 ; Untyped ; -; E1_PH ; 0 ; Untyped ; -; E2_PH ; 0 ; Untyped ; -; E3_PH ; 0 ; Untyped ; -; M_PH ; 0 ; Untyped ; -; C1_USE_CASC_IN ; OFF ; Untyped ; -; C2_USE_CASC_IN ; OFF ; Untyped ; -; C3_USE_CASC_IN ; OFF ; Untyped ; -; C4_USE_CASC_IN ; OFF ; Untyped ; -; C5_USE_CASC_IN ; OFF ; Untyped ; -; C6_USE_CASC_IN ; OFF ; Untyped ; -; C7_USE_CASC_IN ; OFF ; Untyped ; -; C8_USE_CASC_IN ; OFF ; Untyped ; -; C9_USE_CASC_IN ; OFF ; Untyped ; -; CLK0_COUNTER ; G0 ; Untyped ; -; CLK1_COUNTER ; G0 ; Untyped ; -; CLK2_COUNTER ; G0 ; Untyped ; -; CLK3_COUNTER ; G0 ; Untyped ; -; CLK4_COUNTER ; G0 ; Untyped ; -; CLK5_COUNTER ; G0 ; Untyped ; -; CLK6_COUNTER ; E0 ; Untyped ; -; CLK7_COUNTER ; E1 ; Untyped ; -; CLK8_COUNTER ; E2 ; Untyped ; -; CLK9_COUNTER ; E3 ; Untyped ; -; L0_TIME_DELAY ; 0 ; Untyped ; -; L1_TIME_DELAY ; 0 ; Untyped ; -; G0_TIME_DELAY ; 0 ; Untyped ; -; G1_TIME_DELAY ; 0 ; Untyped ; -; G2_TIME_DELAY ; 0 ; Untyped ; -; G3_TIME_DELAY ; 0 ; Untyped ; -; E0_TIME_DELAY ; 0 ; Untyped ; -; E1_TIME_DELAY ; 0 ; Untyped ; -; E2_TIME_DELAY ; 0 ; Untyped ; -; E3_TIME_DELAY ; 0 ; Untyped ; -; M_TIME_DELAY ; 0 ; Untyped ; -; N_TIME_DELAY ; 0 ; Untyped ; -; EXTCLK3_COUNTER ; E3 ; Untyped ; -; EXTCLK2_COUNTER ; E2 ; Untyped ; -; EXTCLK1_COUNTER ; E1 ; Untyped ; -; EXTCLK0_COUNTER ; E0 ; Untyped ; -; ENABLE0_COUNTER ; L0 ; Untyped ; -; ENABLE1_COUNTER ; L0 ; Untyped ; -; CHARGE_PUMP_CURRENT ; 2 ; Untyped ; -; LOOP_FILTER_R ; 1.000000 ; Untyped ; -; LOOP_FILTER_C ; 5 ; Untyped ; -; CHARGE_PUMP_CURRENT_BITS ; 9999 ; Untyped ; -; LOOP_FILTER_R_BITS ; 9999 ; Untyped ; -; LOOP_FILTER_C_BITS ; 9999 ; Untyped ; -; VCO_POST_SCALE ; 0 ; Untyped ; -; CLK2_OUTPUT_FREQUENCY ; 0 ; Untyped ; -; CLK1_OUTPUT_FREQUENCY ; 0 ; Untyped ; -; CLK0_OUTPUT_FREQUENCY ; 0 ; Untyped ; -; INTENDED_DEVICE_FAMILY ; Cyclone III ; Untyped ; -; PORT_CLKENA0 ; PORT_UNUSED ; Untyped ; -; PORT_CLKENA1 ; PORT_UNUSED ; Untyped ; -; PORT_CLKENA2 ; PORT_UNUSED ; Untyped ; -; PORT_CLKENA3 ; PORT_UNUSED ; Untyped ; -; PORT_CLKENA4 ; PORT_UNUSED ; Untyped ; -; PORT_CLKENA5 ; PORT_UNUSED ; Untyped ; -; PORT_EXTCLKENA0 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_EXTCLKENA1 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_EXTCLKENA2 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_EXTCLKENA3 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_EXTCLK0 ; PORT_UNUSED ; Untyped ; -; PORT_EXTCLK1 ; PORT_UNUSED ; Untyped ; -; PORT_EXTCLK2 ; PORT_UNUSED ; Untyped ; -; PORT_EXTCLK3 ; PORT_UNUSED ; Untyped ; -; PORT_CLKBAD0 ; PORT_UNUSED ; Untyped ; -; PORT_CLKBAD1 ; PORT_UNUSED ; Untyped ; -; PORT_CLK0 ; PORT_USED ; Untyped ; -; PORT_CLK1 ; PORT_USED ; Untyped ; -; PORT_CLK2 ; PORT_USED ; Untyped ; -; PORT_CLK3 ; PORT_USED ; Untyped ; -; PORT_CLK4 ; PORT_UNUSED ; Untyped ; -; PORT_CLK5 ; PORT_UNUSED ; Untyped ; -; PORT_CLK6 ; PORT_UNUSED ; Untyped ; -; PORT_CLK7 ; PORT_UNUSED ; Untyped ; -; PORT_CLK8 ; PORT_UNUSED ; Untyped ; -; PORT_CLK9 ; PORT_UNUSED ; Untyped ; -; PORT_SCANDATA ; PORT_UNUSED ; Untyped ; -; PORT_SCANDATAOUT ; PORT_UNUSED ; Untyped ; -; PORT_SCANDONE ; PORT_UNUSED ; Untyped ; -; PORT_SCLKOUT1 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_SCLKOUT0 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_ACTIVECLOCK ; PORT_UNUSED ; Untyped ; -; PORT_CLKLOSS ; PORT_UNUSED ; Untyped ; -; PORT_INCLK1 ; PORT_UNUSED ; Untyped ; -; PORT_INCLK0 ; PORT_USED ; Untyped ; -; PORT_FBIN ; PORT_UNUSED ; Untyped ; -; PORT_PLLENA ; PORT_UNUSED ; Untyped ; -; PORT_CLKSWITCH ; PORT_UNUSED ; Untyped ; -; PORT_ARESET ; PORT_UNUSED ; Untyped ; -; PORT_PFDENA ; PORT_UNUSED ; Untyped ; -; PORT_SCANCLK ; PORT_UNUSED ; Untyped ; -; PORT_SCANACLR ; PORT_UNUSED ; Untyped ; -; PORT_SCANREAD ; PORT_UNUSED ; Untyped ; -; PORT_SCANWRITE ; PORT_UNUSED ; Untyped ; -; PORT_ENABLE0 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_ENABLE1 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_LOCKED ; PORT_UNUSED ; Untyped ; -; PORT_CONFIGUPDATE ; PORT_UNUSED ; Untyped ; -; PORT_FBOUT ; PORT_CONNECTIVITY ; Untyped ; -; PORT_PHASEDONE ; PORT_UNUSED ; Untyped ; -; PORT_PHASESTEP ; PORT_UNUSED ; Untyped ; -; PORT_PHASEUPDOWN ; PORT_UNUSED ; Untyped ; -; PORT_SCANCLKENA ; PORT_UNUSED ; Untyped ; -; PORT_PHASECOUNTERSELECT ; PORT_UNUSED ; Untyped ; -; PORT_VCOOVERRANGE ; PORT_CONNECTIVITY ; Untyped ; -; PORT_VCOUNDERRANGE ; PORT_CONNECTIVITY ; Untyped ; -; M_TEST_SOURCE ; 5 ; Untyped ; -; C0_TEST_SOURCE ; 5 ; Untyped ; -; C1_TEST_SOURCE ; 5 ; Untyped ; -; C2_TEST_SOURCE ; 5 ; Untyped ; -; C3_TEST_SOURCE ; 5 ; Untyped ; -; C4_TEST_SOURCE ; 5 ; Untyped ; -; C5_TEST_SOURCE ; 5 ; Untyped ; -; C6_TEST_SOURCE ; 5 ; Untyped ; -; C7_TEST_SOURCE ; 5 ; Untyped ; -; C8_TEST_SOURCE ; 5 ; Untyped ; -; C9_TEST_SOURCE ; 5 ; Untyped ; -; CBXI_PARAMETER ; altpll_41p2 ; Untyped ; -; VCO_FREQUENCY_CONTROL ; AUTO ; Untyped ; -; VCO_PHASE_SHIFT_STEP ; 0 ; Untyped ; -; WIDTH_CLOCK ; 5 ; Signed Integer ; -; WIDTH_PHASECOUNTERSELECT ; 4 ; Untyped ; -; USING_FBMIMICBIDIR_PORT ; OFF ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; SCAN_CHAIN_MIF_FILE ; UNUSED ; Untyped ; -; SIM_GATE_LOCK_DEVICE_BEHAVIOR ; OFF ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -+-------------------------------+--------------------+--------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_bustri_WORD:$00000|lpm_bustri:lpm_bustri_component ; -+----------------+-------+-----------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+-----------------------------------------------------------------------------------------------------------------------------------------------+ -; LPM_WIDTH ; 16 ; Signed Integer ; -+----------------+-------+-----------------------------------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_bustri_WORD:$00002|lpm_bustri:lpm_bustri_component ; -+----------------+-------+-----------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+-----------------------------------------------------------------------------------------------------------------------------------------------+ -; LPM_WIDTH ; 16 ; Signed Integer ; -+----------------+-------+-----------------------------------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_shiftreg6:inst89|lpm_shiftreg:lpm_shiftreg_component ; -+------------------------+-------------+---------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+-------------+---------------------------------------------------------------------------------------+ -; LPM_WIDTH ; 5 ; Signed Integer ; -; LPM_DIRECTION ; RIGHT ; Untyped ; -; LPM_AVALUE ; UNUSED ; Untyped ; -; LPM_SVALUE ; UNUSED ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -+------------------------+-------------+---------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+-------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|lpm_bustri_BYT:$00002|lpm_bustri:lpm_bustri_component ; -+----------------+-------+------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+------------------------------------------------------------------------------------------------------------------+ -; LPM_WIDTH ; 8 ; Signed Integer ; -+----------------+-------+------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+-------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|lpm_bustri_BYT:$00004|lpm_bustri:lpm_bustri_component ; -+----------------+-------+------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+------------------------------------------------------------------------------------------------------------------+ -; LPM_WIDTH ; 8 ; Signed Integer ; -+----------------+-------+------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+---------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component ; -+-------------------------+-------------+-----------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+-------------------------+-------------+-----------------------------------------------------------------------+ -; WIDTH_BYTEENA ; 1 ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; LPM_WIDTH ; 128 ; Signed Integer ; -; LPM_NUMWORDS ; 512 ; Signed Integer ; -; LPM_WIDTHU ; 9 ; Signed Integer ; -; LPM_SHOWAHEAD ; OFF ; Untyped ; -; UNDERFLOW_CHECKING ; OFF ; Untyped ; -; OVERFLOW_CHECKING ; OFF ; Untyped ; -; USE_EAB ; ON ; Untyped ; -; ADD_RAM_OUTPUT_REGISTER ; OFF ; Untyped ; -; DELAY_RDUSEDW ; 1 ; Untyped ; -; DELAY_WRUSEDW ; 1 ; Untyped ; -; RDSYNC_DELAYPIPE ; 6 ; Signed Integer ; -; WRSYNC_DELAYPIPE ; 6 ; Signed Integer ; -; CLOCKS_ARE_SYNCHRONIZED ; FALSE ; Untyped ; -; MAXIMIZE_SPEED ; 5 ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; ADD_USEDW_MSB_BIT ; OFF ; Untyped ; -; WRITE_ACLR_SYNCH ; ON ; Untyped ; -; CBXI_PARAMETER ; dcfifo_8fi1 ; Untyped ; -+-------------------------+-------------+-----------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_shiftreg4:inst26|lpm_shiftreg:lpm_shiftreg_component ; -+------------------------+-------------+---------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+-------------+---------------------------------------------------------------------------------------+ -; LPM_WIDTH ; 5 ; Signed Integer ; -; LPM_DIRECTION ; RIGHT ; Untyped ; -; LPM_AVALUE ; UNUSED ; Untyped ; -; LPM_SVALUE ; UNUSED ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -+------------------------+-------------+---------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_muxVDM:inst100|LPM_MUX:lpm_mux_component ; -+------------------------+-------------+---------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+-------------+---------------------------------------------------------------------------+ -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; LPM_WIDTH ; 128 ; Signed Integer ; -; LPM_SIZE ; 16 ; Signed Integer ; -; LPM_WIDTHS ; 4 ; Signed Integer ; -; LPM_PIPELINE ; 0 ; Signed Integer ; -; CBXI_PARAMETER ; mux_bbe ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -+------------------------+-------------+---------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff6:inst94|lpm_ff:lpm_ff_component ; -+------------------------+-------------+---------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+-------------+---------------------------------------------------------------------+ -; LPM_WIDTH ; 128 ; Signed Integer ; -; LPM_AVALUE ; UNUSED ; Untyped ; -; LPM_SVALUE ; UNUSED ; Untyped ; -; LPM_FFTYPE ; DFF ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; NOTHING ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -+------------------------+-------------+---------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff6:inst71|lpm_ff:lpm_ff_component ; -+------------------------+-------------+---------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+-------------+---------------------------------------------------------------------+ -; LPM_WIDTH ; 128 ; Signed Integer ; -; LPM_AVALUE ; UNUSED ; Untyped ; -; LPM_SVALUE ; UNUSED ; Untyped ; -; LPM_FFTYPE ; DFF ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; NOTHING ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -+------------------------+-------------+---------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+-----------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff1:inst4|lpm_ff:lpm_ff_component ; -+------------------------+-------------+--------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+-------------+--------------------------------------------------------------------+ -; LPM_WIDTH ; 32 ; Signed Integer ; -; LPM_AVALUE ; UNUSED ; Untyped ; -; LPM_SVALUE ; UNUSED ; Untyped ; -; LPM_FFTYPE ; DFF ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; NOTHING ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -+------------------------+-------------+--------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+-----------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff1:inst3|lpm_ff:lpm_ff_component ; -+------------------------+-------------+--------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+-------------+--------------------------------------------------------------------+ -; LPM_WIDTH ; 32 ; Signed Integer ; -; LPM_AVALUE ; UNUSED ; Untyped ; -; LPM_SVALUE ; UNUSED ; Untyped ; -; LPM_FFTYPE ; DFF ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; NOTHING ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -+------------------------+-------------+--------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+--------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component ; -+--------------------------+----------------+------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+--------------------------+----------------+------------------------------------------------------------------------------------+ -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; WIDTH ; 32 ; Signed Integer ; -; POWER_UP_HIGH ; OFF ; Untyped ; -; OE_REG ; UNUSED ; Untyped ; -; extend_oe_disable ; UNUSED ; Untyped ; -; IMPLEMENT_INPUT_IN_LCELL ; ON ; Untyped ; -; INTENDED_DEVICE_FAMILY ; Cyclone III ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; ddio_bidir_3jl ; Untyped ; -+--------------------------+----------------+------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+---------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_mux5:inst22|LPM_MUX:lpm_mux_component ; -+------------------------+-------------+------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+-------------+------------------------------------------------------------------------+ -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; LPM_WIDTH ; 64 ; Signed Integer ; -; LPM_SIZE ; 4 ; Signed Integer ; -; LPM_WIDTHS ; 2 ; Signed Integer ; -; LPM_PIPELINE ; 0 ; Signed Integer ; -; CBXI_PARAMETER ; mux_58e ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -+------------------------+-------------+------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component ; -+------------------------+-------------+---------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+-------------+---------------------------------------------------------------------+ -; LPM_WIDTH ; 32 ; Signed Integer ; -; LPM_AVALUE ; UNUSED ; Untyped ; -; LPM_SVALUE ; UNUSED ; Untyped ; -; LPM_FFTYPE ; DFF ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; NOTHING ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -+------------------------+-------------+---------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component ; -+------------------------+-------------+---------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+-------------+---------------------------------------------------------------------+ -; LPM_WIDTH ; 32 ; Signed Integer ; -; LPM_AVALUE ; UNUSED ; Untyped ; -; LPM_SVALUE ; UNUSED ; Untyped ; -; LPM_FFTYPE ; DFF ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; NOTHING ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -+------------------------+-------------+---------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component ; -+------------------------+-------------+---------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+-------------+---------------------------------------------------------------------+ -; LPM_WIDTH ; 32 ; Signed Integer ; -; LPM_AVALUE ; UNUSED ; Untyped ; -; LPM_SVALUE ; UNUSED ; Untyped ; -; LPM_FFTYPE ; DFF ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; NOTHING ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -+------------------------+-------------+---------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component ; -+------------------------+-------------+---------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+-------------+---------------------------------------------------------------------+ -; LPM_WIDTH ; 32 ; Signed Integer ; -; LPM_AVALUE ; UNUSED ; Untyped ; -; LPM_SVALUE ; UNUSED ; Untyped ; -; LPM_FFTYPE ; DFF ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; NOTHING ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -+------------------------+-------------+---------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff1:inst20|lpm_ff:lpm_ff_component ; -+------------------------+-------------+---------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+-------------+---------------------------------------------------------------------+ -; LPM_WIDTH ; 32 ; Signed Integer ; -; LPM_AVALUE ; UNUSED ; Untyped ; -; LPM_SVALUE ; UNUSED ; Untyped ; -; LPM_FFTYPE ; DFF ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; NOTHING ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -+------------------------+-------------+---------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff1:inst12|lpm_ff:lpm_ff_component ; -+------------------------+-------------+---------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+-------------+---------------------------------------------------------------------+ -; LPM_WIDTH ; 32 ; Signed Integer ; -; LPM_AVALUE ; UNUSED ; Untyped ; -; LPM_SVALUE ; UNUSED ; Untyped ; -; LPM_FFTYPE ; DFF ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; NOTHING ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -+------------------------+-------------+---------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff6:inst36|lpm_ff:lpm_ff_component ; -+------------------------+-------------+---------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+-------------+---------------------------------------------------------------------+ -; LPM_WIDTH ; 128 ; Signed Integer ; -; LPM_AVALUE ; UNUSED ; Untyped ; -; LPM_SVALUE ; UNUSED ; Untyped ; -; LPM_FFTYPE ; DFF ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; NOTHING ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -+------------------------+-------------+---------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+-----------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_bustri_LONG:inst108|lpm_bustri:lpm_bustri_component ; -+----------------+-------+----------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+----------------------------------------------------------------------------------------------------+ -; LPM_WIDTH ; 32 ; Signed Integer ; -+----------------+-------+----------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+---------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component ; -+----------------+--------+-------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+--------+-------------------------------------------------------------------------------------------+ -; LPM_WIDTH ; 32 ; Signed Integer ; -; LPM_AVALUE ; UNUSED ; Untyped ; -+----------------+--------+-------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+-----------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_bustri_LONG:inst119|lpm_bustri:lpm_bustri_component ; -+----------------+-------+----------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+----------------------------------------------------------------------------------------------------+ -; LPM_WIDTH ; 32 ; Signed Integer ; -+----------------+-------+----------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff0:inst19|lpm_ff:lpm_ff_component ; -+------------------------+-------------+---------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+-------------+---------------------------------------------------------------------+ -; LPM_WIDTH ; 32 ; Signed Integer ; -; LPM_AVALUE ; UNUSED ; Untyped ; -; LPM_SVALUE ; UNUSED ; Untyped ; -; LPM_FFTYPE ; DFF ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; NOTHING ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -+------------------------+-------------+---------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_shiftreg6:inst92|lpm_shiftreg:lpm_shiftreg_component ; -+------------------------+-------------+---------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+-------------+---------------------------------------------------------------------------------------+ -; LPM_WIDTH ; 5 ; Signed Integer ; -; LPM_DIRECTION ; RIGHT ; Untyped ; -; LPM_AVALUE ; UNUSED ; Untyped ; -; LPM_SVALUE ; UNUSED ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -+------------------------+-------------+---------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+-----------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_bustri_LONG:inst110|lpm_bustri:lpm_bustri_component ; -+----------------+-------+----------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+----------------------------------------------------------------------------------------------------+ -; LPM_WIDTH ; 32 ; Signed Integer ; -+----------------+-------+----------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff0:inst18|lpm_ff:lpm_ff_component ; -+------------------------+-------------+---------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+-------------+---------------------------------------------------------------------+ -; LPM_WIDTH ; 32 ; Signed Integer ; -; LPM_AVALUE ; UNUSED ; Untyped ; -; LPM_SVALUE ; UNUSED ; Untyped ; -; LPM_FFTYPE ; DFF ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; NOTHING ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -+------------------------+-------------+---------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+-----------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_bustri_LONG:inst109|lpm_bustri:lpm_bustri_component ; -+----------------+-------+----------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+----------------------------------------------------------------------------------------------------+ -; LPM_WIDTH ; 32 ; Signed Integer ; -+----------------+-------+----------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff0:inst17|lpm_ff:lpm_ff_component ; -+------------------------+-------------+---------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+-------------+---------------------------------------------------------------------+ -; LPM_WIDTH ; 32 ; Signed Integer ; -; LPM_AVALUE ; UNUSED ; Untyped ; -; LPM_SVALUE ; UNUSED ; Untyped ; -; LPM_FFTYPE ; DFF ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; NOTHING ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -+------------------------+-------------+---------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_bustri3:inst66|lpm_bustri:lpm_bustri_component ; -+----------------+-------+-----------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+-----------------------------------------------------------------------------------------------+ -; LPM_WIDTH ; 6 ; Signed Integer ; -+----------------+-------+-----------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+-------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_RED|altsyncram:altsyncram_component ; -+------------------------------------+-----------------+------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------------------+-----------------+------------------------------------------------------------------------+ -; BYTE_SIZE_BLOCK ; 8 ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; WIDTH_BYTEENA ; 1 ; Untyped ; -; OPERATION_MODE ; BIDIR_DUAL_PORT ; Untyped ; -; WIDTH_A ; 6 ; Signed Integer ; -; WIDTHAD_A ; 8 ; Signed Integer ; -; NUMWORDS_A ; 256 ; Signed Integer ; -; OUTDATA_REG_A ; CLOCK0 ; Untyped ; -; ADDRESS_ACLR_A ; NONE ; Untyped ; -; OUTDATA_ACLR_A ; NONE ; Untyped ; -; WRCONTROL_ACLR_A ; NONE ; Untyped ; -; INDATA_ACLR_A ; NONE ; Untyped ; -; BYTEENA_ACLR_A ; NONE ; Untyped ; -; WIDTH_B ; 6 ; Signed Integer ; -; WIDTHAD_B ; 8 ; Signed Integer ; -; NUMWORDS_B ; 256 ; Signed Integer ; -; INDATA_REG_B ; CLOCK1 ; Untyped ; -; WRCONTROL_WRADDRESS_REG_B ; CLOCK1 ; Untyped ; -; RDCONTROL_REG_B ; CLOCK1 ; Untyped ; -; ADDRESS_REG_B ; CLOCK1 ; Untyped ; -; OUTDATA_REG_B ; CLOCK1 ; Untyped ; -; BYTEENA_REG_B ; CLOCK1 ; Untyped ; -; INDATA_ACLR_B ; NONE ; Untyped ; -; WRCONTROL_ACLR_B ; NONE ; Untyped ; -; ADDRESS_ACLR_B ; NONE ; Untyped ; -; OUTDATA_ACLR_B ; NONE ; Untyped ; -; RDCONTROL_ACLR_B ; NONE ; Untyped ; -; BYTEENA_ACLR_B ; NONE ; Untyped ; -; WIDTH_BYTEENA_A ; 1 ; Signed Integer ; -; WIDTH_BYTEENA_B ; 1 ; Signed Integer ; -; RAM_BLOCK_TYPE ; AUTO ; Untyped ; -; BYTE_SIZE ; 8 ; Untyped ; -; READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_A ; OLD_DATA ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_B ; OLD_DATA ; Untyped ; -; INIT_FILE ; UNUSED ; Untyped ; -; INIT_FILE_LAYOUT ; PORT_A ; Untyped ; -; MAXIMUM_DEPTH ; 0 ; Untyped ; -; CLOCK_ENABLE_INPUT_A ; BYPASS ; Untyped ; -; CLOCK_ENABLE_INPUT_B ; BYPASS ; Untyped ; -; CLOCK_ENABLE_OUTPUT_A ; BYPASS ; Untyped ; -; CLOCK_ENABLE_OUTPUT_B ; BYPASS ; Untyped ; -; CLOCK_ENABLE_CORE_A ; USE_INPUT_CLKEN ; Untyped ; -; CLOCK_ENABLE_CORE_B ; USE_INPUT_CLKEN ; Untyped ; -; ENABLE_ECC ; FALSE ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; altsyncram_lf92 ; Untyped ; -+------------------------------------+-----------------+------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+---------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_shiftreg0:sr0|lpm_shiftreg:lpm_shiftreg_component ; -+------------------------+-------------+------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+-------------+------------------------------------------------------------------------------------+ -; LPM_WIDTH ; 16 ; Signed Integer ; -; LPM_DIRECTION ; LEFT ; Untyped ; -; LPM_AVALUE ; UNUSED ; Untyped ; -; LPM_SVALUE ; UNUSED ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -+------------------------+-------------+------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+---------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_shiftreg0:sr4|lpm_shiftreg:lpm_shiftreg_component ; -+------------------------+-------------+------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+-------------+------------------------------------------------------------------------------------+ -; LPM_WIDTH ; 16 ; Signed Integer ; -; LPM_DIRECTION ; LEFT ; Untyped ; -; LPM_AVALUE ; UNUSED ; Untyped ; -; LPM_SVALUE ; UNUSED ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -+------------------------+-------------+------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+---------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_shiftreg0:sr5|lpm_shiftreg:lpm_shiftreg_component ; -+------------------------+-------------+------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+-------------+------------------------------------------------------------------------------------+ -; LPM_WIDTH ; 16 ; Signed Integer ; -; LPM_DIRECTION ; LEFT ; Untyped ; -; LPM_AVALUE ; UNUSED ; Untyped ; -; LPM_SVALUE ; UNUSED ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -+------------------------+-------------+------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+---------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_shiftreg0:sr6|lpm_shiftreg:lpm_shiftreg_component ; -+------------------------+-------------+------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+-------------+------------------------------------------------------------------------------------+ -; LPM_WIDTH ; 16 ; Signed Integer ; -; LPM_DIRECTION ; LEFT ; Untyped ; -; LPM_AVALUE ; UNUSED ; Untyped ; -; LPM_SVALUE ; UNUSED ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -+------------------------+-------------+------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+---------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_shiftreg0:sr7|lpm_shiftreg:lpm_shiftreg_component ; -+------------------------+-------------+------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+-------------+------------------------------------------------------------------------------------+ -; LPM_WIDTH ; 16 ; Signed Integer ; -; LPM_DIRECTION ; LEFT ; Untyped ; -; LPM_AVALUE ; UNUSED ; Untyped ; -; LPM_SVALUE ; UNUSED ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -+------------------------+-------------+------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+----------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_muxDZ:inst62|LPM_MUX:lpm_mux_component ; -+------------------------+-------------+-------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+-------------+-------------------------------------------------------------------------+ -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; LPM_WIDTH ; 128 ; Signed Integer ; -; LPM_SIZE ; 2 ; Signed Integer ; -; LPM_WIDTHS ; 1 ; Signed Integer ; -; LPM_PIPELINE ; 1 ; Signed Integer ; -; CBXI_PARAMETER ; mux_dcf ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -+------------------------+-------------+-------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+---------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component ; -+-------------------------+-------------+-----------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+-------------------------+-------------+-----------------------------------------------------------------------+ -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; lpm_width ; 128 ; Signed Integer ; -; LPM_NUMWORDS ; 128 ; Signed Integer ; -; LPM_WIDTHU ; 7 ; Signed Integer ; -; LPM_SHOWAHEAD ; ON ; Untyped ; -; UNDERFLOW_CHECKING ; OFF ; Untyped ; -; OVERFLOW_CHECKING ; OFF ; Untyped ; -; ALLOW_RWCYCLE_WHEN_FULL ; OFF ; Untyped ; -; ADD_RAM_OUTPUT_REGISTER ; OFF ; Untyped ; -; ALMOST_FULL_VALUE ; 0 ; Untyped ; -; ALMOST_EMPTY_VALUE ; 0 ; Untyped ; -; USE_EAB ; ON ; Untyped ; -; MAXIMIZE_SPEED ; 5 ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; OPTIMIZE_FOR_SPEED ; 9 ; Untyped ; -; CBXI_PARAMETER ; scfifo_lk21 ; Untyped ; -+-------------------------+-------------+-----------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+---------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_shiftreg0:sr1|lpm_shiftreg:lpm_shiftreg_component ; -+------------------------+-------------+------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+-------------+------------------------------------------------------------------------------------+ -; LPM_WIDTH ; 16 ; Signed Integer ; -; LPM_DIRECTION ; LEFT ; Untyped ; -; LPM_AVALUE ; UNUSED ; Untyped ; -; LPM_SVALUE ; UNUSED ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -+------------------------+-------------+------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+---------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_shiftreg0:sr2|lpm_shiftreg:lpm_shiftreg_component ; -+------------------------+-------------+------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+-------------+------------------------------------------------------------------------------------+ -; LPM_WIDTH ; 16 ; Signed Integer ; -; LPM_DIRECTION ; LEFT ; Untyped ; -; LPM_AVALUE ; UNUSED ; Untyped ; -; LPM_SVALUE ; UNUSED ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -+------------------------+-------------+------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+---------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_shiftreg0:sr3|lpm_shiftreg:lpm_shiftreg_component ; -+------------------------+-------------+------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+-------------+------------------------------------------------------------------------------------+ -; LPM_WIDTH ; 16 ; Signed Integer ; -; LPM_DIRECTION ; LEFT ; Untyped ; -; LPM_AVALUE ; UNUSED ; Untyped ; -; LPM_SVALUE ; UNUSED ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -+------------------------+-------------+------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_bustri3:inst70|lpm_bustri:lpm_bustri_component ; -+----------------+-------+-----------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+-----------------------------------------------------------------------------------------------+ -; LPM_WIDTH ; 6 ; Signed Integer ; -+----------------+-------+-----------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+---------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_GREEN|altsyncram:altsyncram_component ; -+------------------------------------+-----------------+--------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------------------+-----------------+--------------------------------------------------------------------------+ -; BYTE_SIZE_BLOCK ; 8 ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; WIDTH_BYTEENA ; 1 ; Untyped ; -; OPERATION_MODE ; BIDIR_DUAL_PORT ; Untyped ; -; WIDTH_A ; 6 ; Signed Integer ; -; WIDTHAD_A ; 8 ; Signed Integer ; -; NUMWORDS_A ; 256 ; Signed Integer ; -; OUTDATA_REG_A ; CLOCK0 ; Untyped ; -; ADDRESS_ACLR_A ; NONE ; Untyped ; -; OUTDATA_ACLR_A ; NONE ; Untyped ; -; WRCONTROL_ACLR_A ; NONE ; Untyped ; -; INDATA_ACLR_A ; NONE ; Untyped ; -; BYTEENA_ACLR_A ; NONE ; Untyped ; -; WIDTH_B ; 6 ; Signed Integer ; -; WIDTHAD_B ; 8 ; Signed Integer ; -; NUMWORDS_B ; 256 ; Signed Integer ; -; INDATA_REG_B ; CLOCK1 ; Untyped ; -; WRCONTROL_WRADDRESS_REG_B ; CLOCK1 ; Untyped ; -; RDCONTROL_REG_B ; CLOCK1 ; Untyped ; -; ADDRESS_REG_B ; CLOCK1 ; Untyped ; -; OUTDATA_REG_B ; CLOCK1 ; Untyped ; -; BYTEENA_REG_B ; CLOCK1 ; Untyped ; -; INDATA_ACLR_B ; NONE ; Untyped ; -; WRCONTROL_ACLR_B ; NONE ; Untyped ; -; ADDRESS_ACLR_B ; NONE ; Untyped ; -; OUTDATA_ACLR_B ; NONE ; Untyped ; -; RDCONTROL_ACLR_B ; NONE ; Untyped ; -; BYTEENA_ACLR_B ; NONE ; Untyped ; -; WIDTH_BYTEENA_A ; 1 ; Signed Integer ; -; WIDTH_BYTEENA_B ; 1 ; Signed Integer ; -; RAM_BLOCK_TYPE ; AUTO ; Untyped ; -; BYTE_SIZE ; 8 ; Untyped ; -; READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_A ; OLD_DATA ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_B ; OLD_DATA ; Untyped ; -; INIT_FILE ; UNUSED ; Untyped ; -; INIT_FILE_LAYOUT ; PORT_A ; Untyped ; -; MAXIMUM_DEPTH ; 0 ; Untyped ; -; CLOCK_ENABLE_INPUT_A ; BYPASS ; Untyped ; -; CLOCK_ENABLE_INPUT_B ; BYPASS ; Untyped ; -; CLOCK_ENABLE_OUTPUT_A ; BYPASS ; Untyped ; -; CLOCK_ENABLE_OUTPUT_B ; BYPASS ; Untyped ; -; CLOCK_ENABLE_CORE_A ; USE_INPUT_CLKEN ; Untyped ; -; CLOCK_ENABLE_CORE_B ; USE_INPUT_CLKEN ; Untyped ; -; ENABLE_ECC ; FALSE ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; altsyncram_lf92 ; Untyped ; -+------------------------------------+-----------------+--------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_bustri3:inst74|lpm_bustri:lpm_bustri_component ; -+----------------+-------+-----------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+-----------------------------------------------------------------------------------------------+ -; LPM_WIDTH ; 6 ; Signed Integer ; -+----------------+-------+-----------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+--------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_BLUE|altsyncram:altsyncram_component ; -+------------------------------------+-----------------+-------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------------------+-----------------+-------------------------------------------------------------------------+ -; BYTE_SIZE_BLOCK ; 8 ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; WIDTH_BYTEENA ; 1 ; Untyped ; -; OPERATION_MODE ; BIDIR_DUAL_PORT ; Untyped ; -; WIDTH_A ; 6 ; Signed Integer ; -; WIDTHAD_A ; 8 ; Signed Integer ; -; NUMWORDS_A ; 256 ; Signed Integer ; -; OUTDATA_REG_A ; CLOCK0 ; Untyped ; -; ADDRESS_ACLR_A ; NONE ; Untyped ; -; OUTDATA_ACLR_A ; NONE ; Untyped ; -; WRCONTROL_ACLR_A ; NONE ; Untyped ; -; INDATA_ACLR_A ; NONE ; Untyped ; -; BYTEENA_ACLR_A ; NONE ; Untyped ; -; WIDTH_B ; 6 ; Signed Integer ; -; WIDTHAD_B ; 8 ; Signed Integer ; -; NUMWORDS_B ; 256 ; Signed Integer ; -; INDATA_REG_B ; CLOCK1 ; Untyped ; -; WRCONTROL_WRADDRESS_REG_B ; CLOCK1 ; Untyped ; -; RDCONTROL_REG_B ; CLOCK1 ; Untyped ; -; ADDRESS_REG_B ; CLOCK1 ; Untyped ; -; OUTDATA_REG_B ; CLOCK1 ; Untyped ; -; BYTEENA_REG_B ; CLOCK1 ; Untyped ; -; INDATA_ACLR_B ; NONE ; Untyped ; -; WRCONTROL_ACLR_B ; NONE ; Untyped ; -; ADDRESS_ACLR_B ; NONE ; Untyped ; -; OUTDATA_ACLR_B ; NONE ; Untyped ; -; RDCONTROL_ACLR_B ; NONE ; Untyped ; -; BYTEENA_ACLR_B ; NONE ; Untyped ; -; WIDTH_BYTEENA_A ; 1 ; Signed Integer ; -; WIDTH_BYTEENA_B ; 1 ; Signed Integer ; -; RAM_BLOCK_TYPE ; AUTO ; Untyped ; -; BYTE_SIZE ; 8 ; Untyped ; -; READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_A ; OLD_DATA ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_B ; OLD_DATA ; Untyped ; -; INIT_FILE ; UNUSED ; Untyped ; -; INIT_FILE_LAYOUT ; PORT_A ; Untyped ; -; MAXIMUM_DEPTH ; 0 ; Untyped ; -; CLOCK_ENABLE_INPUT_A ; BYPASS ; Untyped ; -; CLOCK_ENABLE_INPUT_B ; BYPASS ; Untyped ; -; CLOCK_ENABLE_OUTPUT_A ; BYPASS ; Untyped ; -; CLOCK_ENABLE_OUTPUT_B ; BYPASS ; Untyped ; -; CLOCK_ENABLE_CORE_A ; USE_INPUT_CLKEN ; Untyped ; -; CLOCK_ENABLE_CORE_B ; USE_INPUT_CLKEN ; Untyped ; -; ENABLE_ECC ; FALSE ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; altsyncram_lf92 ; Untyped ; -+------------------------------------+-----------------+-------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_bustri1:inst51|lpm_bustri:lpm_bustri_component ; -+----------------+-------+-----------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+-----------------------------------------------------------------------------------------------+ -; LPM_WIDTH ; 3 ; Signed Integer ; -+----------------+-------+-----------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+---------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|altdpram0:ST_CLUT_RED|altsyncram:altsyncram_component ; -+------------------------------------+-----------------+--------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------------------+-----------------+--------------------------------------------------------------------+ -; BYTE_SIZE_BLOCK ; 8 ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; WIDTH_BYTEENA ; 1 ; Untyped ; -; OPERATION_MODE ; BIDIR_DUAL_PORT ; Untyped ; -; WIDTH_A ; 3 ; Signed Integer ; -; WIDTHAD_A ; 4 ; Signed Integer ; -; NUMWORDS_A ; 16 ; Signed Integer ; -; OUTDATA_REG_A ; CLOCK0 ; Untyped ; -; ADDRESS_ACLR_A ; NONE ; Untyped ; -; OUTDATA_ACLR_A ; NONE ; Untyped ; -; WRCONTROL_ACLR_A ; NONE ; Untyped ; -; INDATA_ACLR_A ; NONE ; Untyped ; -; BYTEENA_ACLR_A ; NONE ; Untyped ; -; WIDTH_B ; 3 ; Signed Integer ; -; WIDTHAD_B ; 4 ; Signed Integer ; -; NUMWORDS_B ; 16 ; Signed Integer ; -; INDATA_REG_B ; CLOCK1 ; Untyped ; -; WRCONTROL_WRADDRESS_REG_B ; CLOCK1 ; Untyped ; -; RDCONTROL_REG_B ; CLOCK1 ; Untyped ; -; ADDRESS_REG_B ; CLOCK1 ; Untyped ; -; OUTDATA_REG_B ; CLOCK1 ; Untyped ; -; BYTEENA_REG_B ; CLOCK1 ; Untyped ; -; INDATA_ACLR_B ; NONE ; Untyped ; -; WRCONTROL_ACLR_B ; NONE ; Untyped ; -; ADDRESS_ACLR_B ; NONE ; Untyped ; -; OUTDATA_ACLR_B ; NONE ; Untyped ; -; RDCONTROL_ACLR_B ; NONE ; Untyped ; -; BYTEENA_ACLR_B ; NONE ; Untyped ; -; WIDTH_BYTEENA_A ; 1 ; Signed Integer ; -; WIDTH_BYTEENA_B ; 1 ; Signed Integer ; -; RAM_BLOCK_TYPE ; AUTO ; Untyped ; -; BYTE_SIZE ; 8 ; Untyped ; -; READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_A ; OLD_DATA ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_B ; OLD_DATA ; Untyped ; -; INIT_FILE ; UNUSED ; Untyped ; -; INIT_FILE_LAYOUT ; PORT_A ; Untyped ; -; MAXIMUM_DEPTH ; 0 ; Untyped ; -; CLOCK_ENABLE_INPUT_A ; BYPASS ; Untyped ; -; CLOCK_ENABLE_INPUT_B ; BYPASS ; Untyped ; -; CLOCK_ENABLE_OUTPUT_A ; BYPASS ; Untyped ; -; CLOCK_ENABLE_OUTPUT_B ; BYPASS ; Untyped ; -; CLOCK_ENABLE_CORE_A ; USE_INPUT_CLKEN ; Untyped ; -; CLOCK_ENABLE_CORE_B ; USE_INPUT_CLKEN ; Untyped ; -; ENABLE_ECC ; FALSE ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; altsyncram_rb92 ; Untyped ; -+------------------------------------+-----------------+--------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_bustri1:inst56|lpm_bustri:lpm_bustri_component ; -+----------------+-------+-----------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+-----------------------------------------------------------------------------------------------+ -; LPM_WIDTH ; 3 ; Signed Integer ; -+----------------+-------+-----------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+-----------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|altdpram0:ST_CLUT_GREEN|altsyncram:altsyncram_component ; -+------------------------------------+-----------------+----------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------------------+-----------------+----------------------------------------------------------------------+ -; BYTE_SIZE_BLOCK ; 8 ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; WIDTH_BYTEENA ; 1 ; Untyped ; -; OPERATION_MODE ; BIDIR_DUAL_PORT ; Untyped ; -; WIDTH_A ; 3 ; Signed Integer ; -; WIDTHAD_A ; 4 ; Signed Integer ; -; NUMWORDS_A ; 16 ; Signed Integer ; -; OUTDATA_REG_A ; CLOCK0 ; Untyped ; -; ADDRESS_ACLR_A ; NONE ; Untyped ; -; OUTDATA_ACLR_A ; NONE ; Untyped ; -; WRCONTROL_ACLR_A ; NONE ; Untyped ; -; INDATA_ACLR_A ; NONE ; Untyped ; -; BYTEENA_ACLR_A ; NONE ; Untyped ; -; WIDTH_B ; 3 ; Signed Integer ; -; WIDTHAD_B ; 4 ; Signed Integer ; -; NUMWORDS_B ; 16 ; Signed Integer ; -; INDATA_REG_B ; CLOCK1 ; Untyped ; -; WRCONTROL_WRADDRESS_REG_B ; CLOCK1 ; Untyped ; -; RDCONTROL_REG_B ; CLOCK1 ; Untyped ; -; ADDRESS_REG_B ; CLOCK1 ; Untyped ; -; OUTDATA_REG_B ; CLOCK1 ; Untyped ; -; BYTEENA_REG_B ; CLOCK1 ; Untyped ; -; INDATA_ACLR_B ; NONE ; Untyped ; -; WRCONTROL_ACLR_B ; NONE ; Untyped ; -; ADDRESS_ACLR_B ; NONE ; Untyped ; -; OUTDATA_ACLR_B ; NONE ; Untyped ; -; RDCONTROL_ACLR_B ; NONE ; Untyped ; -; BYTEENA_ACLR_B ; NONE ; Untyped ; -; WIDTH_BYTEENA_A ; 1 ; Signed Integer ; -; WIDTH_BYTEENA_B ; 1 ; Signed Integer ; -; RAM_BLOCK_TYPE ; AUTO ; Untyped ; -; BYTE_SIZE ; 8 ; Untyped ; -; READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_A ; OLD_DATA ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_B ; OLD_DATA ; Untyped ; -; INIT_FILE ; UNUSED ; Untyped ; -; INIT_FILE_LAYOUT ; PORT_A ; Untyped ; -; MAXIMUM_DEPTH ; 0 ; Untyped ; -; CLOCK_ENABLE_INPUT_A ; BYPASS ; Untyped ; -; CLOCK_ENABLE_INPUT_B ; BYPASS ; Untyped ; -; CLOCK_ENABLE_OUTPUT_A ; BYPASS ; Untyped ; -; CLOCK_ENABLE_OUTPUT_B ; BYPASS ; Untyped ; -; CLOCK_ENABLE_CORE_A ; USE_INPUT_CLKEN ; Untyped ; -; CLOCK_ENABLE_CORE_B ; USE_INPUT_CLKEN ; Untyped ; -; ENABLE_ECC ; FALSE ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; altsyncram_rb92 ; Untyped ; -+------------------------------------+-----------------+----------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_bustri1:inst61|lpm_bustri:lpm_bustri_component ; -+----------------+-------+-----------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+-----------------------------------------------------------------------------------------------+ -; LPM_WIDTH ; 3 ; Signed Integer ; -+----------------+-------+-----------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+----------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|altdpram0:ST_CLUT_BLUE|altsyncram:altsyncram_component ; -+------------------------------------+-----------------+---------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------------------+-----------------+---------------------------------------------------------------------+ -; BYTE_SIZE_BLOCK ; 8 ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; WIDTH_BYTEENA ; 1 ; Untyped ; -; OPERATION_MODE ; BIDIR_DUAL_PORT ; Untyped ; -; WIDTH_A ; 3 ; Signed Integer ; -; WIDTHAD_A ; 4 ; Signed Integer ; -; NUMWORDS_A ; 16 ; Signed Integer ; -; OUTDATA_REG_A ; CLOCK0 ; Untyped ; -; ADDRESS_ACLR_A ; NONE ; Untyped ; -; OUTDATA_ACLR_A ; NONE ; Untyped ; -; WRCONTROL_ACLR_A ; NONE ; Untyped ; -; INDATA_ACLR_A ; NONE ; Untyped ; -; BYTEENA_ACLR_A ; NONE ; Untyped ; -; WIDTH_B ; 3 ; Signed Integer ; -; WIDTHAD_B ; 4 ; Signed Integer ; -; NUMWORDS_B ; 16 ; Signed Integer ; -; INDATA_REG_B ; CLOCK1 ; Untyped ; -; WRCONTROL_WRADDRESS_REG_B ; CLOCK1 ; Untyped ; -; RDCONTROL_REG_B ; CLOCK1 ; Untyped ; -; ADDRESS_REG_B ; CLOCK1 ; Untyped ; -; OUTDATA_REG_B ; CLOCK1 ; Untyped ; -; BYTEENA_REG_B ; CLOCK1 ; Untyped ; -; INDATA_ACLR_B ; NONE ; Untyped ; -; WRCONTROL_ACLR_B ; NONE ; Untyped ; -; ADDRESS_ACLR_B ; NONE ; Untyped ; -; OUTDATA_ACLR_B ; NONE ; Untyped ; -; RDCONTROL_ACLR_B ; NONE ; Untyped ; -; BYTEENA_ACLR_B ; NONE ; Untyped ; -; WIDTH_BYTEENA_A ; 1 ; Signed Integer ; -; WIDTH_BYTEENA_B ; 1 ; Signed Integer ; -; RAM_BLOCK_TYPE ; AUTO ; Untyped ; -; BYTE_SIZE ; 8 ; Untyped ; -; READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_A ; OLD_DATA ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_B ; OLD_DATA ; Untyped ; -; INIT_FILE ; UNUSED ; Untyped ; -; INIT_FILE_LAYOUT ; PORT_A ; Untyped ; -; MAXIMUM_DEPTH ; 0 ; Untyped ; -; CLOCK_ENABLE_INPUT_A ; BYPASS ; Untyped ; -; CLOCK_ENABLE_INPUT_B ; BYPASS ; Untyped ; -; CLOCK_ENABLE_OUTPUT_A ; BYPASS ; Untyped ; -; CLOCK_ENABLE_OUTPUT_B ; BYPASS ; Untyped ; -; CLOCK_ENABLE_CORE_A ; USE_INPUT_CLKEN ; Untyped ; -; CLOCK_ENABLE_CORE_B ; USE_INPUT_CLKEN ; Untyped ; -; ENABLE_ECC ; FALSE ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; altsyncram_rb92 ; Untyped ; -+------------------------------------+-----------------+---------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+---------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_bustri_BYT:inst58|lpm_bustri:lpm_bustri_component ; -+----------------+-------+--------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+--------------------------------------------------------------------------------------------------+ -; LPM_WIDTH ; 8 ; Signed Integer ; -+----------------+-------+--------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55|altsyncram:altsyncram_component ; -+------------------------------------+-----------------+-----------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------------------+-----------------+-----------------------------------------------------------------------+ -; BYTE_SIZE_BLOCK ; 8 ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; WIDTH_BYTEENA ; 1 ; Untyped ; -; OPERATION_MODE ; BIDIR_DUAL_PORT ; Untyped ; -; WIDTH_A ; 8 ; Signed Integer ; -; WIDTHAD_A ; 8 ; Signed Integer ; -; NUMWORDS_A ; 256 ; Signed Integer ; -; OUTDATA_REG_A ; CLOCK0 ; Untyped ; -; ADDRESS_ACLR_A ; NONE ; Untyped ; -; OUTDATA_ACLR_A ; NONE ; Untyped ; -; WRCONTROL_ACLR_A ; NONE ; Untyped ; -; INDATA_ACLR_A ; NONE ; Untyped ; -; BYTEENA_ACLR_A ; NONE ; Untyped ; -; WIDTH_B ; 8 ; Signed Integer ; -; WIDTHAD_B ; 8 ; Signed Integer ; -; NUMWORDS_B ; 256 ; Signed Integer ; -; INDATA_REG_B ; CLOCK1 ; Untyped ; -; WRCONTROL_WRADDRESS_REG_B ; CLOCK1 ; Untyped ; -; RDCONTROL_REG_B ; CLOCK1 ; Untyped ; -; ADDRESS_REG_B ; CLOCK1 ; Untyped ; -; OUTDATA_REG_B ; CLOCK1 ; Untyped ; -; BYTEENA_REG_B ; CLOCK1 ; Untyped ; -; INDATA_ACLR_B ; NONE ; Untyped ; -; WRCONTROL_ACLR_B ; NONE ; Untyped ; -; ADDRESS_ACLR_B ; NONE ; Untyped ; -; OUTDATA_ACLR_B ; NONE ; Untyped ; -; RDCONTROL_ACLR_B ; NONE ; Untyped ; -; BYTEENA_ACLR_B ; NONE ; Untyped ; -; WIDTH_BYTEENA_A ; 1 ; Signed Integer ; -; WIDTH_BYTEENA_B ; 1 ; Signed Integer ; -; RAM_BLOCK_TYPE ; AUTO ; Untyped ; -; BYTE_SIZE ; 8 ; Untyped ; -; READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_A ; OLD_DATA ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_B ; OLD_DATA ; Untyped ; -; INIT_FILE ; UNUSED ; Untyped ; -; INIT_FILE_LAYOUT ; PORT_A ; Untyped ; -; MAXIMUM_DEPTH ; 0 ; Untyped ; -; CLOCK_ENABLE_INPUT_A ; BYPASS ; Untyped ; -; CLOCK_ENABLE_INPUT_B ; BYPASS ; Untyped ; -; CLOCK_ENABLE_OUTPUT_A ; BYPASS ; Untyped ; -; CLOCK_ENABLE_OUTPUT_B ; BYPASS ; Untyped ; -; CLOCK_ENABLE_CORE_A ; USE_INPUT_CLKEN ; Untyped ; -; CLOCK_ENABLE_CORE_B ; USE_INPUT_CLKEN ; Untyped ; -; ENABLE_ECC ; FALSE ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; altsyncram_pf92 ; Untyped ; -+------------------------------------+-----------------+-----------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+----------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_mux3:inst102|LPM_MUX:lpm_mux_component ; -+------------------------+-------------+-------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+-------------+-------------------------------------------------------------------------+ -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; LPM_WIDTH ; 1 ; Signed Integer ; -; LPM_SIZE ; 2 ; Signed Integer ; -; LPM_WIDTHS ; 1 ; Signed Integer ; -; LPM_PIPELINE ; 0 ; Signed Integer ; -; CBXI_PARAMETER ; mux_96e ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -+------------------------+-------------+-------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff5:inst11|lpm_ff:lpm_ff_component ; -+------------------------+-------------+---------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+-------------+---------------------------------------------------------------------+ -; LPM_WIDTH ; 8 ; Signed Integer ; -; LPM_AVALUE ; UNUSED ; Untyped ; -; LPM_SVALUE ; UNUSED ; Untyped ; -; LPM_FFTYPE ; DFF ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; NOTHING ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -+------------------------+-------------+---------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+---------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_mux2:inst25|LPM_MUX:lpm_mux_component ; -+------------------------+-------------+------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+-------------+------------------------------------------------------------------------+ -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; LPM_WIDTH ; 8 ; Signed Integer ; -; LPM_SIZE ; 16 ; Signed Integer ; -; LPM_WIDTHS ; 4 ; Signed Integer ; -; LPM_PIPELINE ; 2 ; Signed Integer ; -; CBXI_PARAMETER ; mux_mpe ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -+------------------------+-------------+------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+---------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_mux4:inst81|LPM_MUX:lpm_mux_component ; -+------------------------+-------------+------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+-------------+------------------------------------------------------------------------+ -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; LPM_WIDTH ; 7 ; Signed Integer ; -; LPM_SIZE ; 2 ; Signed Integer ; -; LPM_WIDTHS ; 1 ; Signed Integer ; -; LPM_PIPELINE ; 0 ; Signed Integer ; -; CBXI_PARAMETER ; mux_f6e ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -+------------------------+-------------+------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_constant3:inst82|lpm_constant:lpm_constant_component ; -+--------------------+------------------+--------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+--------------------+------------------+--------------------------------------------------------------------------------------+ -; LPM_WIDTH ; 7 ; Signed Integer ; -; LPM_CVALUE ; 0 ; Signed Integer ; -; ENABLE_RUNTIME_MOD ; NO ; Untyped ; -; CBXI_PARAMETER ; lpm_constant_pf6 ; Untyped ; -+--------------------+------------------+--------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+---------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_bustri_BYT:inst57|lpm_bustri:lpm_bustri_component ; -+----------------+-------+--------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+--------------------------------------------------------------------------------------------------+ -; LPM_WIDTH ; 8 ; Signed Integer ; -+----------------+-------+--------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM54|altsyncram:altsyncram_component ; -+------------------------------------+-----------------+-----------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------------------+-----------------+-----------------------------------------------------------------------+ -; BYTE_SIZE_BLOCK ; 8 ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; WIDTH_BYTEENA ; 1 ; Untyped ; -; OPERATION_MODE ; BIDIR_DUAL_PORT ; Untyped ; -; WIDTH_A ; 8 ; Signed Integer ; -; WIDTHAD_A ; 8 ; Signed Integer ; -; NUMWORDS_A ; 256 ; Signed Integer ; -; OUTDATA_REG_A ; CLOCK0 ; Untyped ; -; ADDRESS_ACLR_A ; NONE ; Untyped ; -; OUTDATA_ACLR_A ; NONE ; Untyped ; -; WRCONTROL_ACLR_A ; NONE ; Untyped ; -; INDATA_ACLR_A ; NONE ; Untyped ; -; BYTEENA_ACLR_A ; NONE ; Untyped ; -; WIDTH_B ; 8 ; Signed Integer ; -; WIDTHAD_B ; 8 ; Signed Integer ; -; NUMWORDS_B ; 256 ; Signed Integer ; -; INDATA_REG_B ; CLOCK1 ; Untyped ; -; WRCONTROL_WRADDRESS_REG_B ; CLOCK1 ; Untyped ; -; RDCONTROL_REG_B ; CLOCK1 ; Untyped ; -; ADDRESS_REG_B ; CLOCK1 ; Untyped ; -; OUTDATA_REG_B ; CLOCK1 ; Untyped ; -; BYTEENA_REG_B ; CLOCK1 ; Untyped ; -; INDATA_ACLR_B ; NONE ; Untyped ; -; WRCONTROL_ACLR_B ; NONE ; Untyped ; -; ADDRESS_ACLR_B ; NONE ; Untyped ; -; OUTDATA_ACLR_B ; NONE ; Untyped ; -; RDCONTROL_ACLR_B ; NONE ; Untyped ; -; BYTEENA_ACLR_B ; NONE ; Untyped ; -; WIDTH_BYTEENA_A ; 1 ; Signed Integer ; -; WIDTH_BYTEENA_B ; 1 ; Signed Integer ; -; RAM_BLOCK_TYPE ; AUTO ; Untyped ; -; BYTE_SIZE ; 8 ; Untyped ; -; READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_A ; OLD_DATA ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_B ; OLD_DATA ; Untyped ; -; INIT_FILE ; UNUSED ; Untyped ; -; INIT_FILE_LAYOUT ; PORT_A ; Untyped ; -; MAXIMUM_DEPTH ; 0 ; Untyped ; -; CLOCK_ENABLE_INPUT_A ; BYPASS ; Untyped ; -; CLOCK_ENABLE_INPUT_B ; BYPASS ; Untyped ; -; CLOCK_ENABLE_OUTPUT_A ; BYPASS ; Untyped ; -; CLOCK_ENABLE_OUTPUT_B ; BYPASS ; Untyped ; -; CLOCK_ENABLE_CORE_A ; USE_INPUT_CLKEN ; Untyped ; -; CLOCK_ENABLE_CORE_B ; USE_INPUT_CLKEN ; Untyped ; -; ENABLE_ECC ; FALSE ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; altsyncram_pf92 ; Untyped ; -+------------------------------------+-----------------+-----------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+---------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_bustri_BYT:inst53|lpm_bustri:lpm_bustri_component ; -+----------------+-------+--------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+--------------------------------------------------------------------------------------------------+ -; LPM_WIDTH ; 8 ; Signed Integer ; -+----------------+-------+--------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+----------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM|altsyncram:altsyncram_component ; -+------------------------------------+-----------------+---------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------------------+-----------------+---------------------------------------------------------------------+ -; BYTE_SIZE_BLOCK ; 8 ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; WIDTH_BYTEENA ; 1 ; Untyped ; -; OPERATION_MODE ; BIDIR_DUAL_PORT ; Untyped ; -; WIDTH_A ; 8 ; Signed Integer ; -; WIDTHAD_A ; 8 ; Signed Integer ; -; NUMWORDS_A ; 256 ; Signed Integer ; -; OUTDATA_REG_A ; CLOCK0 ; Untyped ; -; ADDRESS_ACLR_A ; NONE ; Untyped ; -; OUTDATA_ACLR_A ; NONE ; Untyped ; -; WRCONTROL_ACLR_A ; NONE ; Untyped ; -; INDATA_ACLR_A ; NONE ; Untyped ; -; BYTEENA_ACLR_A ; NONE ; Untyped ; -; WIDTH_B ; 8 ; Signed Integer ; -; WIDTHAD_B ; 8 ; Signed Integer ; -; NUMWORDS_B ; 256 ; Signed Integer ; -; INDATA_REG_B ; CLOCK1 ; Untyped ; -; WRCONTROL_WRADDRESS_REG_B ; CLOCK1 ; Untyped ; -; RDCONTROL_REG_B ; CLOCK1 ; Untyped ; -; ADDRESS_REG_B ; CLOCK1 ; Untyped ; -; OUTDATA_REG_B ; CLOCK1 ; Untyped ; -; BYTEENA_REG_B ; CLOCK1 ; Untyped ; -; INDATA_ACLR_B ; NONE ; Untyped ; -; WRCONTROL_ACLR_B ; NONE ; Untyped ; -; ADDRESS_ACLR_B ; NONE ; Untyped ; -; OUTDATA_ACLR_B ; NONE ; Untyped ; -; RDCONTROL_ACLR_B ; NONE ; Untyped ; -; BYTEENA_ACLR_B ; NONE ; Untyped ; -; WIDTH_BYTEENA_A ; 1 ; Signed Integer ; -; WIDTH_BYTEENA_B ; 1 ; Signed Integer ; -; RAM_BLOCK_TYPE ; AUTO ; Untyped ; -; BYTE_SIZE ; 8 ; Untyped ; -; READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_A ; OLD_DATA ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_B ; OLD_DATA ; Untyped ; -; INIT_FILE ; UNUSED ; Untyped ; -; INIT_FILE_LAYOUT ; PORT_A ; Untyped ; -; MAXIMUM_DEPTH ; 0 ; Untyped ; -; CLOCK_ENABLE_INPUT_A ; BYPASS ; Untyped ; -; CLOCK_ENABLE_INPUT_B ; BYPASS ; Untyped ; -; CLOCK_ENABLE_OUTPUT_A ; BYPASS ; Untyped ; -; CLOCK_ENABLE_OUTPUT_B ; BYPASS ; Untyped ; -; CLOCK_ENABLE_CORE_A ; USE_INPUT_CLKEN ; Untyped ; -; CLOCK_ENABLE_CORE_B ; USE_INPUT_CLKEN ; Untyped ; -; ENABLE_ECC ; FALSE ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; altsyncram_pf92 ; Untyped ; -+------------------------------------+-----------------+---------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+--------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|altddio_out2:inst5|altddio_out:altddio_out_component ; -+------------------------+--------------+----------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+--------------+----------------------------------------------------------------------------------+ -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; WIDTH ; 24 ; Signed Integer ; -; POWER_UP_HIGH ; OFF ; Untyped ; -; OE_REG ; UNUSED ; Untyped ; -; extend_oe_disable ; UNUSED ; Untyped ; -; INTENDED_DEVICE_FAMILY ; Cyclone III ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; ddio_out_o2f ; Untyped ; -+------------------------+--------------+----------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+--------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_mux6:inst7|LPM_MUX:lpm_mux_component ; -+------------------------+-------------+-----------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+-------------+-----------------------------------------------------------------------+ -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; LPM_WIDTH ; 24 ; Signed Integer ; -; LPM_SIZE ; 8 ; Signed Integer ; -; LPM_WIDTHS ; 3 ; Signed Integer ; -; LPM_PIPELINE ; 2 ; Signed Integer ; -; CBXI_PARAMETER ; mux_kpe ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -+------------------------+-------------+-----------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff3:inst49|lpm_ff:lpm_ff_component ; -+------------------------+-------------+---------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+-------------+---------------------------------------------------------------------+ -; LPM_WIDTH ; 24 ; Signed Integer ; -; LPM_AVALUE ; UNUSED ; Untyped ; -; LPM_SVALUE ; UNUSED ; Untyped ; -; LPM_FFTYPE ; DFF ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; NOTHING ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -+------------------------+-------------+---------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff3:inst52|lpm_ff:lpm_ff_component ; -+------------------------+-------------+---------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+-------------+---------------------------------------------------------------------+ -; LPM_WIDTH ; 24 ; Signed Integer ; -; LPM_AVALUE ; UNUSED ; Untyped ; -; LPM_SVALUE ; UNUSED ; Untyped ; -; LPM_FFTYPE ; DFF ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; NOTHING ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -+------------------------+-------------+---------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_constant0:inst59|lpm_constant:lpm_constant_component ; -+--------------------+------------------+--------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+--------------------+------------------+--------------------------------------------------------------------------------------+ -; LPM_WIDTH ; 5 ; Signed Integer ; -; LPM_CVALUE ; 0 ; Signed Integer ; -; ENABLE_RUNTIME_MOD ; NO ; Untyped ; -; CBXI_PARAMETER ; lpm_constant_nf6 ; Untyped ; -+--------------------+------------------+--------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_constant0:inst54|lpm_constant:lpm_constant_component ; -+--------------------+------------------+--------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+--------------------+------------------+--------------------------------------------------------------------------------------+ -; LPM_WIDTH ; 5 ; Signed Integer ; -; LPM_CVALUE ; 0 ; Signed Integer ; -; ENABLE_RUNTIME_MOD ; NO ; Untyped ; -; CBXI_PARAMETER ; lpm_constant_nf6 ; Untyped ; -+--------------------+------------------+--------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_constant0:inst64|lpm_constant:lpm_constant_component ; -+--------------------+------------------+--------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+--------------------+------------------+--------------------------------------------------------------------------------------+ -; LPM_WIDTH ; 5 ; Signed Integer ; -; LPM_CVALUE ; 0 ; Signed Integer ; -; ENABLE_RUNTIME_MOD ; NO ; Untyped ; -; CBXI_PARAMETER ; lpm_constant_nf6 ; Untyped ; -+--------------------+------------------+--------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff3:inst46|lpm_ff:lpm_ff_component ; -+------------------------+-------------+---------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+-------------+---------------------------------------------------------------------+ -; LPM_WIDTH ; 24 ; Signed Integer ; -; LPM_AVALUE ; UNUSED ; Untyped ; -; LPM_SVALUE ; UNUSED ; Untyped ; -; LPM_FFTYPE ; DFF ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; NOTHING ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -+------------------------+-------------+---------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff3:inst47|lpm_ff:lpm_ff_component ; -+------------------------+-------------+---------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+-------------+---------------------------------------------------------------------+ -; LPM_WIDTH ; 24 ; Signed Integer ; -; LPM_AVALUE ; UNUSED ; Untyped ; -; LPM_SVALUE ; UNUSED ; Untyped ; -; LPM_FFTYPE ; DFF ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; NOTHING ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -+------------------------+-------------+---------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_constant1:inst77|lpm_constant:lpm_constant_component ; -+--------------------+------------------+--------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+--------------------+------------------+--------------------------------------------------------------------------------------+ -; LPM_WIDTH ; 2 ; Signed Integer ; -; LPM_CVALUE ; 0 ; Signed Integer ; -; ENABLE_RUNTIME_MOD ; NO ; Untyped ; -; CBXI_PARAMETER ; lpm_constant_4e6 ; Untyped ; -+--------------------+------------------+--------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_constant1:inst80|lpm_constant:lpm_constant_component ; -+--------------------+------------------+--------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+--------------------+------------------+--------------------------------------------------------------------------------------+ -; LPM_WIDTH ; 2 ; Signed Integer ; -; LPM_CVALUE ; 0 ; Signed Integer ; -; ENABLE_RUNTIME_MOD ; NO ; Untyped ; -; CBXI_PARAMETER ; lpm_constant_4e6 ; Untyped ; -+--------------------+------------------+--------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_constant1:inst83|lpm_constant:lpm_constant_component ; -+--------------------+------------------+--------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+--------------------+------------------+--------------------------------------------------------------------------------------+ -; LPM_WIDTH ; 2 ; Signed Integer ; -; LPM_CVALUE ; 0 ; Signed Integer ; -; ENABLE_RUNTIME_MOD ; NO ; Untyped ; -; CBXI_PARAMETER ; lpm_constant_4e6 ; Untyped ; -+--------------------+------------------+--------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff4:inst10|lpm_ff:lpm_ff_component ; -+------------------------+-------------+---------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+-------------+---------------------------------------------------------------------+ -; LPM_WIDTH ; 16 ; Signed Integer ; -; LPM_AVALUE ; UNUSED ; Untyped ; -; LPM_SVALUE ; UNUSED ; Untyped ; -; LPM_FFTYPE ; DFF ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; NOTHING ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -+------------------------+-------------+---------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+---------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_mux1:inst24|LPM_MUX:lpm_mux_component ; -+------------------------+-------------+------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+-------------+------------------------------------------------------------------------+ -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; LPM_WIDTH ; 16 ; Signed Integer ; -; LPM_SIZE ; 8 ; Signed Integer ; -; LPM_WIDTHS ; 3 ; Signed Integer ; -; LPM_PIPELINE ; 4 ; Signed Integer ; -; CBXI_PARAMETER ; mux_npe ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -+------------------------+-------------+------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_constant2:inst23|lpm_constant:lpm_constant_component ; -+--------------------+------------------+--------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+--------------------+------------------+--------------------------------------------------------------------------------------+ -; LPM_WIDTH ; 8 ; Signed Integer ; -; LPM_CVALUE ; 0 ; Signed Integer ; -; ENABLE_RUNTIME_MOD ; NO ; Untyped ; -; CBXI_PARAMETER ; lpm_constant_qf6 ; Untyped ; -+--------------------+------------------+--------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+-----------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff1:inst9|lpm_ff:lpm_ff_component ; -+------------------------+-------------+--------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+-------------+--------------------------------------------------------------------+ -; LPM_WIDTH ; 32 ; Signed Integer ; -; LPM_AVALUE ; UNUSED ; Untyped ; -; LPM_SVALUE ; UNUSED ; Untyped ; -; LPM_FFTYPE ; DFF ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; NOTHING ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -+------------------------+-------------+--------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+---------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_mux0:inst21|LPM_MUX:lpm_mux_component ; -+------------------------+-------------+------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+-------------+------------------------------------------------------------------------+ -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; LPM_WIDTH ; 32 ; Signed Integer ; -; LPM_SIZE ; 4 ; Signed Integer ; -; LPM_WIDTHS ; 2 ; Signed Integer ; -; LPM_PIPELINE ; 4 ; Signed Integer ; -; CBXI_PARAMETER ; mux_gpe ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -+------------------------+-------------+------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+--------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|altddio_out0:inst2|altddio_out:altddio_out_component ; -+------------------------+--------------+----------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+--------------+----------------------------------------------------------------------------------+ -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; WIDTH ; 4 ; Signed Integer ; -; POWER_UP_HIGH ; ON ; Untyped ; -; OE_REG ; UNUSED ; Untyped ; -; extend_oe_disable ; UNUSED ; Untyped ; -; INTENDED_DEVICE_FAMILY ; Cyclone III ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; ddio_out_are ; Untyped ; -+------------------------+--------------+----------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff5:inst97|lpm_ff:lpm_ff_component ; -+------------------------+-------------+---------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+-------------+---------------------------------------------------------------------+ -; LPM_WIDTH ; 8 ; Signed Integer ; -; LPM_AVALUE ; UNUSED ; Untyped ; -; LPM_SVALUE ; UNUSED ; Untyped ; -; LPM_FFTYPE ; DFF ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; NOTHING ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -+------------------------+-------------+---------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+-------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: altpll2:inst12|altpll:altpll_component ; -+-------------------------------+--------------------+--------------------------------+ -; Parameter Name ; Value ; Type ; -+-------------------------------+--------------------+--------------------------------+ -; OPERATION_MODE ; SOURCE_SYNCHRONOUS ; Untyped ; -; PLL_TYPE ; AUTO ; Untyped ; -; QUALIFY_CONF_DONE ; OFF ; Untyped ; -; COMPENSATE_CLOCK ; CLK0 ; Untyped ; -; SCAN_CHAIN ; LONG ; Untyped ; -; PRIMARY_CLOCK ; INCLK0 ; Untyped ; -; INCLK0_INPUT_FREQUENCY ; 30303 ; Signed Integer ; -; INCLK1_INPUT_FREQUENCY ; 0 ; Untyped ; -; GATE_LOCK_SIGNAL ; NO ; Untyped ; -; GATE_LOCK_COUNTER ; 0 ; Untyped ; -; LOCK_HIGH ; 1 ; Untyped ; -; LOCK_LOW ; 1 ; Untyped ; -; VALID_LOCK_MULTIPLIER ; 1 ; Untyped ; -; INVALID_LOCK_MULTIPLIER ; 5 ; Untyped ; -; SWITCH_OVER_ON_LOSSCLK ; OFF ; Untyped ; -; SWITCH_OVER_ON_GATED_LOCK ; OFF ; Untyped ; -; ENABLE_SWITCH_OVER_COUNTER ; OFF ; Untyped ; -; SKIP_VCO ; OFF ; Untyped ; -; SWITCH_OVER_COUNTER ; 0 ; Untyped ; -; SWITCH_OVER_TYPE ; AUTO ; Untyped ; -; FEEDBACK_SOURCE ; EXTCLK0 ; Untyped ; -; BANDWIDTH ; 0 ; Untyped ; -; BANDWIDTH_TYPE ; AUTO ; Untyped ; -; SPREAD_FREQUENCY ; 0 ; Untyped ; -; DOWN_SPREAD ; 0 ; Untyped ; -; SELF_RESET_ON_GATED_LOSS_LOCK ; OFF ; Untyped ; -; SELF_RESET_ON_LOSS_LOCK ; OFF ; Untyped ; -; CLK9_MULTIPLY_BY ; 0 ; Untyped ; -; CLK8_MULTIPLY_BY ; 0 ; Untyped ; -; CLK7_MULTIPLY_BY ; 0 ; Untyped ; -; CLK6_MULTIPLY_BY ; 0 ; Untyped ; -; CLK5_MULTIPLY_BY ; 1 ; Untyped ; -; CLK4_MULTIPLY_BY ; 2 ; Signed Integer ; -; CLK3_MULTIPLY_BY ; 4 ; Signed Integer ; -; CLK2_MULTIPLY_BY ; 4 ; Signed Integer ; -; CLK1_MULTIPLY_BY ; 4 ; Signed Integer ; -; CLK0_MULTIPLY_BY ; 4 ; Signed Integer ; -; CLK9_DIVIDE_BY ; 0 ; Untyped ; -; CLK8_DIVIDE_BY ; 0 ; Untyped ; -; CLK7_DIVIDE_BY ; 0 ; Untyped ; -; CLK6_DIVIDE_BY ; 0 ; Untyped ; -; CLK5_DIVIDE_BY ; 1 ; Untyped ; -; CLK4_DIVIDE_BY ; 1 ; Signed Integer ; -; CLK3_DIVIDE_BY ; 1 ; Signed Integer ; -; CLK2_DIVIDE_BY ; 1 ; Signed Integer ; -; CLK1_DIVIDE_BY ; 1 ; Signed Integer ; -; CLK0_DIVIDE_BY ; 1 ; Signed Integer ; -; CLK9_PHASE_SHIFT ; 0 ; Untyped ; -; CLK8_PHASE_SHIFT ; 0 ; Untyped ; -; CLK7_PHASE_SHIFT ; 0 ; Untyped ; -; CLK6_PHASE_SHIFT ; 0 ; Untyped ; -; CLK5_PHASE_SHIFT ; 0 ; Untyped ; -; CLK4_PHASE_SHIFT ; 11364 ; Untyped ; -; CLK3_PHASE_SHIFT ; 2210 ; Untyped ; -; CLK2_PHASE_SHIFT ; 3788 ; Untyped ; -; CLK1_PHASE_SHIFT ; 0 ; Untyped ; -; CLK0_PHASE_SHIFT ; 5051 ; Untyped ; -; CLK5_TIME_DELAY ; 0 ; Untyped ; -; CLK4_TIME_DELAY ; 0 ; Untyped ; -; CLK3_TIME_DELAY ; 0 ; Untyped ; -; CLK2_TIME_DELAY ; 0 ; Untyped ; -; CLK1_TIME_DELAY ; 0 ; Untyped ; -; CLK0_TIME_DELAY ; 0 ; Untyped ; -; CLK9_DUTY_CYCLE ; 50 ; Untyped ; -; CLK8_DUTY_CYCLE ; 50 ; Untyped ; -; CLK7_DUTY_CYCLE ; 50 ; Untyped ; -; CLK6_DUTY_CYCLE ; 50 ; Untyped ; -; CLK5_DUTY_CYCLE ; 50 ; Untyped ; -; CLK4_DUTY_CYCLE ; 50 ; Signed Integer ; -; CLK3_DUTY_CYCLE ; 50 ; Signed Integer ; -; CLK2_DUTY_CYCLE ; 50 ; Signed Integer ; -; CLK1_DUTY_CYCLE ; 50 ; Signed Integer ; -; CLK0_DUTY_CYCLE ; 50 ; Signed Integer ; -; CLK9_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK8_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK7_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK6_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK5_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK4_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK3_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK2_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK1_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK0_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK9_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK8_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK7_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK6_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK5_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK4_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK3_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK2_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK1_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK0_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; LOCK_WINDOW_UI ; 0.05 ; Untyped ; -; LOCK_WINDOW_UI_BITS ; UNUSED ; Untyped ; -; VCO_RANGE_DETECTOR_LOW_BITS ; UNUSED ; Untyped ; -; VCO_RANGE_DETECTOR_HIGH_BITS ; UNUSED ; Untyped ; -; DPA_MULTIPLY_BY ; 0 ; Untyped ; -; DPA_DIVIDE_BY ; 1 ; Untyped ; -; DPA_DIVIDER ; 0 ; Untyped ; -; EXTCLK3_MULTIPLY_BY ; 1 ; Untyped ; -; EXTCLK2_MULTIPLY_BY ; 1 ; Untyped ; -; EXTCLK1_MULTIPLY_BY ; 1 ; Untyped ; -; EXTCLK0_MULTIPLY_BY ; 1 ; Untyped ; -; EXTCLK3_DIVIDE_BY ; 1 ; Untyped ; -; EXTCLK2_DIVIDE_BY ; 1 ; Untyped ; -; EXTCLK1_DIVIDE_BY ; 1 ; Untyped ; -; EXTCLK0_DIVIDE_BY ; 1 ; Untyped ; -; EXTCLK3_PHASE_SHIFT ; 0 ; Untyped ; -; EXTCLK2_PHASE_SHIFT ; 0 ; Untyped ; -; EXTCLK1_PHASE_SHIFT ; 0 ; Untyped ; -; EXTCLK0_PHASE_SHIFT ; 0 ; Untyped ; -; EXTCLK3_TIME_DELAY ; 0 ; Untyped ; -; EXTCLK2_TIME_DELAY ; 0 ; Untyped ; -; EXTCLK1_TIME_DELAY ; 0 ; Untyped ; -; EXTCLK0_TIME_DELAY ; 0 ; Untyped ; -; EXTCLK3_DUTY_CYCLE ; 50 ; Untyped ; -; EXTCLK2_DUTY_CYCLE ; 50 ; Untyped ; -; EXTCLK1_DUTY_CYCLE ; 50 ; Untyped ; -; EXTCLK0_DUTY_CYCLE ; 50 ; Untyped ; -; VCO_MULTIPLY_BY ; 0 ; Untyped ; -; VCO_DIVIDE_BY ; 0 ; Untyped ; -; SCLKOUT0_PHASE_SHIFT ; 0 ; Untyped ; -; SCLKOUT1_PHASE_SHIFT ; 0 ; Untyped ; -; VCO_MIN ; 0 ; Untyped ; -; VCO_MAX ; 0 ; Untyped ; -; VCO_CENTER ; 0 ; Untyped ; -; PFD_MIN ; 0 ; Untyped ; -; PFD_MAX ; 0 ; Untyped ; -; M_INITIAL ; 0 ; Untyped ; -; M ; 0 ; Untyped ; -; N ; 1 ; Untyped ; -; M2 ; 1 ; Untyped ; -; N2 ; 1 ; Untyped ; -; SS ; 1 ; Untyped ; -; C0_HIGH ; 0 ; Untyped ; -; C1_HIGH ; 0 ; Untyped ; -; C2_HIGH ; 0 ; Untyped ; -; C3_HIGH ; 0 ; Untyped ; -; C4_HIGH ; 0 ; Untyped ; -; C5_HIGH ; 0 ; Untyped ; -; C6_HIGH ; 0 ; Untyped ; -; C7_HIGH ; 0 ; Untyped ; -; C8_HIGH ; 0 ; Untyped ; -; C9_HIGH ; 0 ; Untyped ; -; C0_LOW ; 0 ; Untyped ; -; C1_LOW ; 0 ; Untyped ; -; C2_LOW ; 0 ; Untyped ; -; C3_LOW ; 0 ; Untyped ; -; C4_LOW ; 0 ; Untyped ; -; C5_LOW ; 0 ; Untyped ; -; C6_LOW ; 0 ; Untyped ; -; C7_LOW ; 0 ; Untyped ; -; C8_LOW ; 0 ; Untyped ; -; C9_LOW ; 0 ; Untyped ; -; C0_INITIAL ; 0 ; Untyped ; -; C1_INITIAL ; 0 ; Untyped ; -; C2_INITIAL ; 0 ; Untyped ; -; C3_INITIAL ; 0 ; Untyped ; -; C4_INITIAL ; 0 ; Untyped ; -; C5_INITIAL ; 0 ; Untyped ; -; C6_INITIAL ; 0 ; Untyped ; -; C7_INITIAL ; 0 ; Untyped ; -; C8_INITIAL ; 0 ; Untyped ; -; C9_INITIAL ; 0 ; Untyped ; -; C0_MODE ; BYPASS ; Untyped ; -; C1_MODE ; BYPASS ; Untyped ; -; C2_MODE ; BYPASS ; Untyped ; -; C3_MODE ; BYPASS ; Untyped ; -; C4_MODE ; BYPASS ; Untyped ; -; C5_MODE ; BYPASS ; Untyped ; -; C6_MODE ; BYPASS ; Untyped ; -; C7_MODE ; BYPASS ; Untyped ; -; C8_MODE ; BYPASS ; Untyped ; -; C9_MODE ; BYPASS ; Untyped ; -; C0_PH ; 0 ; Untyped ; -; C1_PH ; 0 ; Untyped ; -; C2_PH ; 0 ; Untyped ; -; C3_PH ; 0 ; Untyped ; -; C4_PH ; 0 ; Untyped ; -; C5_PH ; 0 ; Untyped ; -; C6_PH ; 0 ; Untyped ; -; C7_PH ; 0 ; Untyped ; -; C8_PH ; 0 ; Untyped ; -; C9_PH ; 0 ; Untyped ; -; L0_HIGH ; 1 ; Untyped ; -; L1_HIGH ; 1 ; Untyped ; -; G0_HIGH ; 1 ; Untyped ; -; G1_HIGH ; 1 ; Untyped ; -; G2_HIGH ; 1 ; Untyped ; -; G3_HIGH ; 1 ; Untyped ; -; E0_HIGH ; 1 ; Untyped ; -; E1_HIGH ; 1 ; Untyped ; -; E2_HIGH ; 1 ; Untyped ; -; E3_HIGH ; 1 ; Untyped ; -; L0_LOW ; 1 ; Untyped ; -; L1_LOW ; 1 ; Untyped ; -; G0_LOW ; 1 ; Untyped ; -; G1_LOW ; 1 ; Untyped ; -; G2_LOW ; 1 ; Untyped ; -; G3_LOW ; 1 ; Untyped ; -; E0_LOW ; 1 ; Untyped ; -; E1_LOW ; 1 ; Untyped ; -; E2_LOW ; 1 ; Untyped ; -; E3_LOW ; 1 ; Untyped ; -; L0_INITIAL ; 1 ; Untyped ; -; L1_INITIAL ; 1 ; Untyped ; -; G0_INITIAL ; 1 ; Untyped ; -; G1_INITIAL ; 1 ; Untyped ; -; G2_INITIAL ; 1 ; Untyped ; -; G3_INITIAL ; 1 ; Untyped ; -; E0_INITIAL ; 1 ; Untyped ; -; E1_INITIAL ; 1 ; Untyped ; -; E2_INITIAL ; 1 ; Untyped ; -; E3_INITIAL ; 1 ; Untyped ; -; L0_MODE ; BYPASS ; Untyped ; -; L1_MODE ; BYPASS ; Untyped ; -; G0_MODE ; BYPASS ; Untyped ; -; G1_MODE ; BYPASS ; Untyped ; -; G2_MODE ; BYPASS ; Untyped ; -; G3_MODE ; BYPASS ; Untyped ; -; E0_MODE ; BYPASS ; Untyped ; -; E1_MODE ; BYPASS ; Untyped ; -; E2_MODE ; BYPASS ; Untyped ; -; E3_MODE ; BYPASS ; Untyped ; -; L0_PH ; 0 ; Untyped ; -; L1_PH ; 0 ; Untyped ; -; G0_PH ; 0 ; Untyped ; -; G1_PH ; 0 ; Untyped ; -; G2_PH ; 0 ; Untyped ; -; G3_PH ; 0 ; Untyped ; -; E0_PH ; 0 ; Untyped ; -; E1_PH ; 0 ; Untyped ; -; E2_PH ; 0 ; Untyped ; -; E3_PH ; 0 ; Untyped ; -; M_PH ; 0 ; Untyped ; -; C1_USE_CASC_IN ; OFF ; Untyped ; -; C2_USE_CASC_IN ; OFF ; Untyped ; -; C3_USE_CASC_IN ; OFF ; Untyped ; -; C4_USE_CASC_IN ; OFF ; Untyped ; -; C5_USE_CASC_IN ; OFF ; Untyped ; -; C6_USE_CASC_IN ; OFF ; Untyped ; -; C7_USE_CASC_IN ; OFF ; Untyped ; -; C8_USE_CASC_IN ; OFF ; Untyped ; -; C9_USE_CASC_IN ; OFF ; Untyped ; -; CLK0_COUNTER ; G0 ; Untyped ; -; CLK1_COUNTER ; G0 ; Untyped ; -; CLK2_COUNTER ; G0 ; Untyped ; -; CLK3_COUNTER ; G0 ; Untyped ; -; CLK4_COUNTER ; G0 ; Untyped ; -; CLK5_COUNTER ; G0 ; Untyped ; -; CLK6_COUNTER ; E0 ; Untyped ; -; CLK7_COUNTER ; E1 ; Untyped ; -; CLK8_COUNTER ; E2 ; Untyped ; -; CLK9_COUNTER ; E3 ; Untyped ; -; L0_TIME_DELAY ; 0 ; Untyped ; -; L1_TIME_DELAY ; 0 ; Untyped ; -; G0_TIME_DELAY ; 0 ; Untyped ; -; G1_TIME_DELAY ; 0 ; Untyped ; -; G2_TIME_DELAY ; 0 ; Untyped ; -; G3_TIME_DELAY ; 0 ; Untyped ; -; E0_TIME_DELAY ; 0 ; Untyped ; -; E1_TIME_DELAY ; 0 ; Untyped ; -; E2_TIME_DELAY ; 0 ; Untyped ; -; E3_TIME_DELAY ; 0 ; Untyped ; -; M_TIME_DELAY ; 0 ; Untyped ; -; N_TIME_DELAY ; 0 ; Untyped ; -; EXTCLK3_COUNTER ; E3 ; Untyped ; -; EXTCLK2_COUNTER ; E2 ; Untyped ; -; EXTCLK1_COUNTER ; E1 ; Untyped ; -; EXTCLK0_COUNTER ; E0 ; Untyped ; -; ENABLE0_COUNTER ; L0 ; Untyped ; -; ENABLE1_COUNTER ; L0 ; Untyped ; -; CHARGE_PUMP_CURRENT ; 2 ; Untyped ; -; LOOP_FILTER_R ; 1.000000 ; Untyped ; -; LOOP_FILTER_C ; 5 ; Untyped ; -; CHARGE_PUMP_CURRENT_BITS ; 9999 ; Untyped ; -; LOOP_FILTER_R_BITS ; 9999 ; Untyped ; -; LOOP_FILTER_C_BITS ; 9999 ; Untyped ; -; VCO_POST_SCALE ; 0 ; Untyped ; -; CLK2_OUTPUT_FREQUENCY ; 0 ; Untyped ; -; CLK1_OUTPUT_FREQUENCY ; 0 ; Untyped ; -; CLK0_OUTPUT_FREQUENCY ; 0 ; Untyped ; -; INTENDED_DEVICE_FAMILY ; Cyclone III ; Untyped ; -; PORT_CLKENA0 ; PORT_UNUSED ; Untyped ; -; PORT_CLKENA1 ; PORT_UNUSED ; Untyped ; -; PORT_CLKENA2 ; PORT_UNUSED ; Untyped ; -; PORT_CLKENA3 ; PORT_UNUSED ; Untyped ; -; PORT_CLKENA4 ; PORT_UNUSED ; Untyped ; -; PORT_CLKENA5 ; PORT_UNUSED ; Untyped ; -; PORT_EXTCLKENA0 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_EXTCLKENA1 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_EXTCLKENA2 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_EXTCLKENA3 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_EXTCLK0 ; PORT_UNUSED ; Untyped ; -; PORT_EXTCLK1 ; PORT_UNUSED ; Untyped ; -; PORT_EXTCLK2 ; PORT_UNUSED ; Untyped ; -; PORT_EXTCLK3 ; PORT_UNUSED ; Untyped ; -; PORT_CLKBAD0 ; PORT_UNUSED ; Untyped ; -; PORT_CLKBAD1 ; PORT_UNUSED ; Untyped ; -; PORT_CLK0 ; PORT_USED ; Untyped ; -; PORT_CLK1 ; PORT_USED ; Untyped ; -; PORT_CLK2 ; PORT_USED ; Untyped ; -; PORT_CLK3 ; PORT_USED ; Untyped ; -; PORT_CLK4 ; PORT_USED ; Untyped ; -; PORT_CLK5 ; PORT_UNUSED ; Untyped ; -; PORT_CLK6 ; PORT_UNUSED ; Untyped ; -; PORT_CLK7 ; PORT_UNUSED ; Untyped ; -; PORT_CLK8 ; PORT_UNUSED ; Untyped ; -; PORT_CLK9 ; PORT_UNUSED ; Untyped ; -; PORT_SCANDATA ; PORT_UNUSED ; Untyped ; -; PORT_SCANDATAOUT ; PORT_UNUSED ; Untyped ; -; PORT_SCANDONE ; PORT_UNUSED ; Untyped ; -; PORT_SCLKOUT1 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_SCLKOUT0 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_ACTIVECLOCK ; PORT_UNUSED ; Untyped ; -; PORT_CLKLOSS ; PORT_UNUSED ; Untyped ; -; PORT_INCLK1 ; PORT_UNUSED ; Untyped ; -; PORT_INCLK0 ; PORT_USED ; Untyped ; -; PORT_FBIN ; PORT_UNUSED ; Untyped ; -; PORT_PLLENA ; PORT_UNUSED ; Untyped ; -; PORT_CLKSWITCH ; PORT_UNUSED ; Untyped ; -; PORT_ARESET ; PORT_UNUSED ; Untyped ; -; PORT_PFDENA ; PORT_UNUSED ; Untyped ; -; PORT_SCANCLK ; PORT_UNUSED ; Untyped ; -; PORT_SCANACLR ; PORT_UNUSED ; Untyped ; -; PORT_SCANREAD ; PORT_UNUSED ; Untyped ; -; PORT_SCANWRITE ; PORT_UNUSED ; Untyped ; -; PORT_ENABLE0 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_ENABLE1 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_LOCKED ; PORT_UNUSED ; Untyped ; -; PORT_CONFIGUPDATE ; PORT_UNUSED ; Untyped ; -; PORT_FBOUT ; PORT_CONNECTIVITY ; Untyped ; -; PORT_PHASEDONE ; PORT_UNUSED ; Untyped ; -; PORT_PHASESTEP ; PORT_UNUSED ; Untyped ; -; PORT_PHASEUPDOWN ; PORT_UNUSED ; Untyped ; -; PORT_SCANCLKENA ; PORT_UNUSED ; Untyped ; -; PORT_PHASECOUNTERSELECT ; PORT_UNUSED ; Untyped ; -; PORT_VCOOVERRANGE ; PORT_CONNECTIVITY ; Untyped ; -; PORT_VCOUNDERRANGE ; PORT_CONNECTIVITY ; Untyped ; -; M_TEST_SOURCE ; 5 ; Untyped ; -; C0_TEST_SOURCE ; 5 ; Untyped ; -; C1_TEST_SOURCE ; 5 ; Untyped ; -; C2_TEST_SOURCE ; 5 ; Untyped ; -; C3_TEST_SOURCE ; 5 ; Untyped ; -; C4_TEST_SOURCE ; 5 ; Untyped ; -; C5_TEST_SOURCE ; 5 ; Untyped ; -; C6_TEST_SOURCE ; 5 ; Untyped ; -; C7_TEST_SOURCE ; 5 ; Untyped ; -; C8_TEST_SOURCE ; 5 ; Untyped ; -; C9_TEST_SOURCE ; 5 ; Untyped ; -; CBXI_PARAMETER ; altpll_isv2 ; Untyped ; -; VCO_FREQUENCY_CONTROL ; AUTO ; Untyped ; -; VCO_PHASE_SHIFT_STEP ; 0 ; Untyped ; -; WIDTH_CLOCK ; 5 ; Signed Integer ; -; WIDTH_PHASECOUNTERSELECT ; 4 ; Untyped ; -; USING_FBMIMICBIDIR_PORT ; OFF ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; SCAN_CHAIN_MIF_FILE ; UNUSED ; Untyped ; -; SIM_GATE_LOCK_DEVICE_BEHAVIOR ; OFF ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -+-------------------------------+--------------------+--------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+-------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: altpll4:inst22|altpll:altpll_component ; -+-------------------------------+-------------------+---------------------------------+ -; Parameter Name ; Value ; Type ; -+-------------------------------+-------------------+---------------------------------+ -; OPERATION_MODE ; NORMAL ; Untyped ; -; PLL_TYPE ; AUTO ; Untyped ; -; QUALIFY_CONF_DONE ; OFF ; Untyped ; -; COMPENSATE_CLOCK ; CLK0 ; Untyped ; -; SCAN_CHAIN ; LONG ; Untyped ; -; PRIMARY_CLOCK ; INCLK0 ; Untyped ; -; INCLK0_INPUT_FREQUENCY ; 20833 ; Untyped ; -; INCLK1_INPUT_FREQUENCY ; 0 ; Untyped ; -; GATE_LOCK_SIGNAL ; NO ; Untyped ; -; GATE_LOCK_COUNTER ; 0 ; Untyped ; -; LOCK_HIGH ; 1 ; Untyped ; -; LOCK_LOW ; 1 ; Untyped ; -; VALID_LOCK_MULTIPLIER ; 1 ; Untyped ; -; INVALID_LOCK_MULTIPLIER ; 5 ; Untyped ; -; SWITCH_OVER_ON_LOSSCLK ; OFF ; Untyped ; -; SWITCH_OVER_ON_GATED_LOCK ; OFF ; Untyped ; -; ENABLE_SWITCH_OVER_COUNTER ; OFF ; Untyped ; -; SKIP_VCO ; OFF ; Untyped ; -; SWITCH_OVER_COUNTER ; 0 ; Untyped ; -; SWITCH_OVER_TYPE ; AUTO ; Untyped ; -; FEEDBACK_SOURCE ; EXTCLK0 ; Untyped ; -; BANDWIDTH ; 0 ; Untyped ; -; BANDWIDTH_TYPE ; AUTO ; Untyped ; -; SPREAD_FREQUENCY ; 0 ; Untyped ; -; DOWN_SPREAD ; 0 ; Untyped ; -; SELF_RESET_ON_GATED_LOSS_LOCK ; OFF ; Untyped ; -; SELF_RESET_ON_LOSS_LOCK ; OFF ; Untyped ; -; CLK9_MULTIPLY_BY ; 0 ; Untyped ; -; CLK8_MULTIPLY_BY ; 0 ; Untyped ; -; CLK7_MULTIPLY_BY ; 0 ; Untyped ; -; CLK6_MULTIPLY_BY ; 0 ; Untyped ; -; CLK5_MULTIPLY_BY ; 1 ; Untyped ; -; CLK4_MULTIPLY_BY ; 1 ; Untyped ; -; CLK3_MULTIPLY_BY ; 1 ; Untyped ; -; CLK2_MULTIPLY_BY ; 1 ; Untyped ; -; CLK1_MULTIPLY_BY ; 1 ; Untyped ; -; CLK0_MULTIPLY_BY ; 2 ; Untyped ; -; CLK9_DIVIDE_BY ; 0 ; Untyped ; -; CLK8_DIVIDE_BY ; 0 ; Untyped ; -; CLK7_DIVIDE_BY ; 0 ; Untyped ; -; CLK6_DIVIDE_BY ; 0 ; Untyped ; -; CLK5_DIVIDE_BY ; 1 ; Untyped ; -; CLK4_DIVIDE_BY ; 1 ; Untyped ; -; CLK3_DIVIDE_BY ; 1 ; Untyped ; -; CLK2_DIVIDE_BY ; 1 ; Untyped ; -; CLK1_DIVIDE_BY ; 1 ; Untyped ; -; CLK0_DIVIDE_BY ; 1 ; Untyped ; -; CLK9_PHASE_SHIFT ; 0 ; Untyped ; -; CLK8_PHASE_SHIFT ; 0 ; Untyped ; -; CLK7_PHASE_SHIFT ; 0 ; Untyped ; -; CLK6_PHASE_SHIFT ; 0 ; Untyped ; -; CLK5_PHASE_SHIFT ; 0 ; Untyped ; -; CLK4_PHASE_SHIFT ; 0 ; Untyped ; -; CLK3_PHASE_SHIFT ; 0 ; Untyped ; -; CLK2_PHASE_SHIFT ; 0 ; Untyped ; -; CLK1_PHASE_SHIFT ; 0 ; Untyped ; -; CLK0_PHASE_SHIFT ; 0 ; Untyped ; -; CLK5_TIME_DELAY ; 0 ; Untyped ; -; CLK4_TIME_DELAY ; 0 ; Untyped ; -; CLK3_TIME_DELAY ; 0 ; Untyped ; -; CLK2_TIME_DELAY ; 0 ; Untyped ; -; CLK1_TIME_DELAY ; 0 ; Untyped ; -; CLK0_TIME_DELAY ; 0 ; Untyped ; -; CLK9_DUTY_CYCLE ; 50 ; Untyped ; -; CLK8_DUTY_CYCLE ; 50 ; Untyped ; -; CLK7_DUTY_CYCLE ; 50 ; Untyped ; -; CLK6_DUTY_CYCLE ; 50 ; Untyped ; -; CLK5_DUTY_CYCLE ; 50 ; Untyped ; -; CLK4_DUTY_CYCLE ; 50 ; Untyped ; -; CLK3_DUTY_CYCLE ; 50 ; Untyped ; -; CLK2_DUTY_CYCLE ; 50 ; Untyped ; -; CLK1_DUTY_CYCLE ; 50 ; Untyped ; -; CLK0_DUTY_CYCLE ; 50 ; Untyped ; -; CLK9_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK8_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK7_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK6_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK5_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK4_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK3_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK2_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK1_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK0_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK9_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK8_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK7_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK6_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK5_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK4_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK3_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK2_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK1_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK0_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; LOCK_WINDOW_UI ; 0.05 ; Untyped ; -; LOCK_WINDOW_UI_BITS ; UNUSED ; Untyped ; -; VCO_RANGE_DETECTOR_LOW_BITS ; UNUSED ; Untyped ; -; VCO_RANGE_DETECTOR_HIGH_BITS ; UNUSED ; Untyped ; -; DPA_MULTIPLY_BY ; 0 ; Untyped ; -; DPA_DIVIDE_BY ; 1 ; Untyped ; -; DPA_DIVIDER ; 0 ; Untyped ; -; EXTCLK3_MULTIPLY_BY ; 1 ; Untyped ; -; EXTCLK2_MULTIPLY_BY ; 1 ; Untyped ; -; EXTCLK1_MULTIPLY_BY ; 1 ; Untyped ; -; EXTCLK0_MULTIPLY_BY ; 1 ; Untyped ; -; EXTCLK3_DIVIDE_BY ; 1 ; Untyped ; -; EXTCLK2_DIVIDE_BY ; 1 ; Untyped ; -; EXTCLK1_DIVIDE_BY ; 1 ; Untyped ; -; EXTCLK0_DIVIDE_BY ; 1 ; Untyped ; -; EXTCLK3_PHASE_SHIFT ; 0 ; Untyped ; -; EXTCLK2_PHASE_SHIFT ; 0 ; Untyped ; -; EXTCLK1_PHASE_SHIFT ; 0 ; Untyped ; -; EXTCLK0_PHASE_SHIFT ; 0 ; Untyped ; -; EXTCLK3_TIME_DELAY ; 0 ; Untyped ; -; EXTCLK2_TIME_DELAY ; 0 ; Untyped ; -; EXTCLK1_TIME_DELAY ; 0 ; Untyped ; -; EXTCLK0_TIME_DELAY ; 0 ; Untyped ; -; EXTCLK3_DUTY_CYCLE ; 50 ; Untyped ; -; EXTCLK2_DUTY_CYCLE ; 50 ; Untyped ; -; EXTCLK1_DUTY_CYCLE ; 50 ; Untyped ; -; EXTCLK0_DUTY_CYCLE ; 50 ; Untyped ; -; VCO_MULTIPLY_BY ; 0 ; Untyped ; -; VCO_DIVIDE_BY ; 0 ; Untyped ; -; SCLKOUT0_PHASE_SHIFT ; 0 ; Untyped ; -; SCLKOUT1_PHASE_SHIFT ; 0 ; Untyped ; -; VCO_MIN ; 0 ; Untyped ; -; VCO_MAX ; 0 ; Untyped ; -; VCO_CENTER ; 0 ; Untyped ; -; PFD_MIN ; 0 ; Untyped ; -; PFD_MAX ; 0 ; Untyped ; -; M_INITIAL ; 0 ; Untyped ; -; M ; 0 ; Untyped ; -; N ; 1 ; Untyped ; -; M2 ; 1 ; Untyped ; -; N2 ; 1 ; Untyped ; -; SS ; 1 ; Untyped ; -; C0_HIGH ; 0 ; Untyped ; -; C1_HIGH ; 0 ; Untyped ; -; C2_HIGH ; 0 ; Untyped ; -; C3_HIGH ; 0 ; Untyped ; -; C4_HIGH ; 0 ; Untyped ; -; C5_HIGH ; 0 ; Untyped ; -; C6_HIGH ; 0 ; Untyped ; -; C7_HIGH ; 0 ; Untyped ; -; C8_HIGH ; 0 ; Untyped ; -; C9_HIGH ; 0 ; Untyped ; -; C0_LOW ; 0 ; Untyped ; -; C1_LOW ; 0 ; Untyped ; -; C2_LOW ; 0 ; Untyped ; -; C3_LOW ; 0 ; Untyped ; -; C4_LOW ; 0 ; Untyped ; -; C5_LOW ; 0 ; Untyped ; -; C6_LOW ; 0 ; Untyped ; -; C7_LOW ; 0 ; Untyped ; -; C8_LOW ; 0 ; Untyped ; -; C9_LOW ; 0 ; Untyped ; -; C0_INITIAL ; 0 ; Untyped ; -; C1_INITIAL ; 0 ; Untyped ; -; C2_INITIAL ; 0 ; Untyped ; -; C3_INITIAL ; 0 ; Untyped ; -; C4_INITIAL ; 0 ; Untyped ; -; C5_INITIAL ; 0 ; Untyped ; -; C6_INITIAL ; 0 ; Untyped ; -; C7_INITIAL ; 0 ; Untyped ; -; C8_INITIAL ; 0 ; Untyped ; -; C9_INITIAL ; 0 ; Untyped ; -; C0_MODE ; BYPASS ; Untyped ; -; C1_MODE ; BYPASS ; Untyped ; -; C2_MODE ; BYPASS ; Untyped ; -; C3_MODE ; BYPASS ; Untyped ; -; C4_MODE ; BYPASS ; Untyped ; -; C5_MODE ; BYPASS ; Untyped ; -; C6_MODE ; BYPASS ; Untyped ; -; C7_MODE ; BYPASS ; Untyped ; -; C8_MODE ; BYPASS ; Untyped ; -; C9_MODE ; BYPASS ; Untyped ; -; C0_PH ; 0 ; Untyped ; -; C1_PH ; 0 ; Untyped ; -; C2_PH ; 0 ; Untyped ; -; C3_PH ; 0 ; Untyped ; -; C4_PH ; 0 ; Untyped ; -; C5_PH ; 0 ; Untyped ; -; C6_PH ; 0 ; Untyped ; -; C7_PH ; 0 ; Untyped ; -; C8_PH ; 0 ; Untyped ; -; C9_PH ; 0 ; Untyped ; -; L0_HIGH ; 1 ; Untyped ; -; L1_HIGH ; 1 ; Untyped ; -; G0_HIGH ; 1 ; Untyped ; -; G1_HIGH ; 1 ; Untyped ; -; G2_HIGH ; 1 ; Untyped ; -; G3_HIGH ; 1 ; Untyped ; -; E0_HIGH ; 1 ; Untyped ; -; E1_HIGH ; 1 ; Untyped ; -; E2_HIGH ; 1 ; Untyped ; -; E3_HIGH ; 1 ; Untyped ; -; L0_LOW ; 1 ; Untyped ; -; L1_LOW ; 1 ; Untyped ; -; G0_LOW ; 1 ; Untyped ; -; G1_LOW ; 1 ; Untyped ; -; G2_LOW ; 1 ; Untyped ; -; G3_LOW ; 1 ; Untyped ; -; E0_LOW ; 1 ; Untyped ; -; E1_LOW ; 1 ; Untyped ; -; E2_LOW ; 1 ; Untyped ; -; E3_LOW ; 1 ; Untyped ; -; L0_INITIAL ; 1 ; Untyped ; -; L1_INITIAL ; 1 ; Untyped ; -; G0_INITIAL ; 1 ; Untyped ; -; G1_INITIAL ; 1 ; Untyped ; -; G2_INITIAL ; 1 ; Untyped ; -; G3_INITIAL ; 1 ; Untyped ; -; E0_INITIAL ; 1 ; Untyped ; -; E1_INITIAL ; 1 ; Untyped ; -; E2_INITIAL ; 1 ; Untyped ; -; E3_INITIAL ; 1 ; Untyped ; -; L0_MODE ; BYPASS ; Untyped ; -; L1_MODE ; BYPASS ; Untyped ; -; G0_MODE ; BYPASS ; Untyped ; -; G1_MODE ; BYPASS ; Untyped ; -; G2_MODE ; BYPASS ; Untyped ; -; G3_MODE ; BYPASS ; Untyped ; -; E0_MODE ; BYPASS ; Untyped ; -; E1_MODE ; BYPASS ; Untyped ; -; E2_MODE ; BYPASS ; Untyped ; -; E3_MODE ; BYPASS ; Untyped ; -; L0_PH ; 0 ; Untyped ; -; L1_PH ; 0 ; Untyped ; -; G0_PH ; 0 ; Untyped ; -; G1_PH ; 0 ; Untyped ; -; G2_PH ; 0 ; Untyped ; -; G3_PH ; 0 ; Untyped ; -; E0_PH ; 0 ; Untyped ; -; E1_PH ; 0 ; Untyped ; -; E2_PH ; 0 ; Untyped ; -; E3_PH ; 0 ; Untyped ; -; M_PH ; 0 ; Untyped ; -; C1_USE_CASC_IN ; OFF ; Untyped ; -; C2_USE_CASC_IN ; OFF ; Untyped ; -; C3_USE_CASC_IN ; OFF ; Untyped ; -; C4_USE_CASC_IN ; OFF ; Untyped ; -; C5_USE_CASC_IN ; OFF ; Untyped ; -; C6_USE_CASC_IN ; OFF ; Untyped ; -; C7_USE_CASC_IN ; OFF ; Untyped ; -; C8_USE_CASC_IN ; OFF ; Untyped ; -; C9_USE_CASC_IN ; OFF ; Untyped ; -; CLK0_COUNTER ; G0 ; Untyped ; -; CLK1_COUNTER ; G0 ; Untyped ; -; CLK2_COUNTER ; G0 ; Untyped ; -; CLK3_COUNTER ; G0 ; Untyped ; -; CLK4_COUNTER ; G0 ; Untyped ; -; CLK5_COUNTER ; G0 ; Untyped ; -; CLK6_COUNTER ; E0 ; Untyped ; -; CLK7_COUNTER ; E1 ; Untyped ; -; CLK8_COUNTER ; E2 ; Untyped ; -; CLK9_COUNTER ; E3 ; Untyped ; -; L0_TIME_DELAY ; 0 ; Untyped ; -; L1_TIME_DELAY ; 0 ; Untyped ; -; G0_TIME_DELAY ; 0 ; Untyped ; -; G1_TIME_DELAY ; 0 ; Untyped ; -; G2_TIME_DELAY ; 0 ; Untyped ; -; G3_TIME_DELAY ; 0 ; Untyped ; -; E0_TIME_DELAY ; 0 ; Untyped ; -; E1_TIME_DELAY ; 0 ; Untyped ; -; E2_TIME_DELAY ; 0 ; Untyped ; -; E3_TIME_DELAY ; 0 ; Untyped ; -; M_TIME_DELAY ; 0 ; Untyped ; -; N_TIME_DELAY ; 0 ; Untyped ; -; EXTCLK3_COUNTER ; E3 ; Untyped ; -; EXTCLK2_COUNTER ; E2 ; Untyped ; -; EXTCLK1_COUNTER ; E1 ; Untyped ; -; EXTCLK0_COUNTER ; E0 ; Untyped ; -; ENABLE0_COUNTER ; L0 ; Untyped ; -; ENABLE1_COUNTER ; L0 ; Untyped ; -; CHARGE_PUMP_CURRENT ; 2 ; Untyped ; -; LOOP_FILTER_R ; 1.000000 ; Untyped ; -; LOOP_FILTER_C ; 5 ; Untyped ; -; CHARGE_PUMP_CURRENT_BITS ; 9999 ; Untyped ; -; LOOP_FILTER_R_BITS ; 9999 ; Untyped ; -; LOOP_FILTER_C_BITS ; 9999 ; Untyped ; -; VCO_POST_SCALE ; 0 ; Untyped ; -; CLK2_OUTPUT_FREQUENCY ; 0 ; Untyped ; -; CLK1_OUTPUT_FREQUENCY ; 0 ; Untyped ; -; CLK0_OUTPUT_FREQUENCY ; 0 ; Untyped ; -; INTENDED_DEVICE_FAMILY ; Cyclone III ; Untyped ; -; PORT_CLKENA0 ; PORT_UNUSED ; Untyped ; -; PORT_CLKENA1 ; PORT_UNUSED ; Untyped ; -; PORT_CLKENA2 ; PORT_UNUSED ; Untyped ; -; PORT_CLKENA3 ; PORT_UNUSED ; Untyped ; -; PORT_CLKENA4 ; PORT_UNUSED ; Untyped ; -; PORT_CLKENA5 ; PORT_UNUSED ; Untyped ; -; PORT_EXTCLKENA0 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_EXTCLKENA1 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_EXTCLKENA2 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_EXTCLKENA3 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_EXTCLK0 ; PORT_UNUSED ; Untyped ; -; PORT_EXTCLK1 ; PORT_UNUSED ; Untyped ; -; PORT_EXTCLK2 ; PORT_UNUSED ; Untyped ; -; PORT_EXTCLK3 ; PORT_UNUSED ; Untyped ; -; PORT_CLKBAD0 ; PORT_UNUSED ; Untyped ; -; PORT_CLKBAD1 ; PORT_UNUSED ; Untyped ; -; PORT_CLK0 ; PORT_USED ; Untyped ; -; PORT_CLK1 ; PORT_UNUSED ; Untyped ; -; PORT_CLK2 ; PORT_UNUSED ; Untyped ; -; PORT_CLK3 ; PORT_UNUSED ; Untyped ; -; PORT_CLK4 ; PORT_UNUSED ; Untyped ; -; PORT_CLK5 ; PORT_UNUSED ; Untyped ; -; PORT_CLK6 ; PORT_UNUSED ; Untyped ; -; PORT_CLK7 ; PORT_UNUSED ; Untyped ; -; PORT_CLK8 ; PORT_UNUSED ; Untyped ; -; PORT_CLK9 ; PORT_UNUSED ; Untyped ; -; PORT_SCANDATA ; PORT_USED ; Untyped ; -; PORT_SCANDATAOUT ; PORT_USED ; Untyped ; -; PORT_SCANDONE ; PORT_USED ; Untyped ; -; PORT_SCLKOUT1 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_SCLKOUT0 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_ACTIVECLOCK ; PORT_UNUSED ; Untyped ; -; PORT_CLKLOSS ; PORT_UNUSED ; Untyped ; -; PORT_INCLK1 ; PORT_UNUSED ; Untyped ; -; PORT_INCLK0 ; PORT_USED ; Untyped ; -; PORT_FBIN ; PORT_UNUSED ; Untyped ; -; PORT_PLLENA ; PORT_UNUSED ; Untyped ; -; PORT_CLKSWITCH ; PORT_UNUSED ; Untyped ; -; PORT_ARESET ; PORT_USED ; Untyped ; -; PORT_PFDENA ; PORT_UNUSED ; Untyped ; -; PORT_SCANCLK ; PORT_USED ; Untyped ; -; PORT_SCANACLR ; PORT_UNUSED ; Untyped ; -; PORT_SCANREAD ; PORT_UNUSED ; Untyped ; -; PORT_SCANWRITE ; PORT_UNUSED ; Untyped ; -; PORT_ENABLE0 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_ENABLE1 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_LOCKED ; PORT_USED ; Untyped ; -; PORT_CONFIGUPDATE ; PORT_USED ; Untyped ; -; PORT_FBOUT ; PORT_CONNECTIVITY ; Untyped ; -; PORT_PHASEDONE ; PORT_UNUSED ; Untyped ; -; PORT_PHASESTEP ; PORT_UNUSED ; Untyped ; -; PORT_PHASEUPDOWN ; PORT_UNUSED ; Untyped ; -; PORT_SCANCLKENA ; PORT_USED ; Untyped ; -; PORT_PHASECOUNTERSELECT ; PORT_UNUSED ; Untyped ; -; PORT_VCOOVERRANGE ; PORT_CONNECTIVITY ; Untyped ; -; PORT_VCOUNDERRANGE ; PORT_CONNECTIVITY ; Untyped ; -; M_TEST_SOURCE ; 5 ; Untyped ; -; C0_TEST_SOURCE ; 5 ; Untyped ; -; C1_TEST_SOURCE ; 5 ; Untyped ; -; C2_TEST_SOURCE ; 5 ; Untyped ; -; C3_TEST_SOURCE ; 5 ; Untyped ; -; C4_TEST_SOURCE ; 5 ; Untyped ; -; C5_TEST_SOURCE ; 5 ; Untyped ; -; C6_TEST_SOURCE ; 5 ; Untyped ; -; C7_TEST_SOURCE ; 5 ; Untyped ; -; C8_TEST_SOURCE ; 5 ; Untyped ; -; C9_TEST_SOURCE ; 5 ; Untyped ; -; CBXI_PARAMETER ; altpll_c6j2 ; Untyped ; -; VCO_FREQUENCY_CONTROL ; AUTO ; Untyped ; -; VCO_PHASE_SHIFT_STEP ; 0 ; Untyped ; -; WIDTH_CLOCK ; 5 ; Untyped ; -; WIDTH_PHASECOUNTERSELECT ; 4 ; Untyped ; -; USING_FBMIMICBIDIR_PORT ; OFF ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; SCAN_CHAIN_MIF_FILE ; altpll4.mif ; Untyped ; -; SIM_GATE_LOCK_DEVICE_BEHAVIOR ; OFF ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -+-------------------------------+-------------------+---------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+-----------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component ; -+-----------------+-------+---------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+-----------------+-------+---------------------------------------------------------------------------------------------------------------+ -; WIDTH_BYTEENA_A ; 1 ; Untyped ; -; WIDTH_BYTEENA_B ; 1 ; Untyped ; -+-----------------+-------+---------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+----------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|altsyncram:altsyncram4 ; -+------------------------------------+----------------------+----------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------------------+----------------------+----------------------------------------------------------------------------------------------------+ -; BYTE_SIZE_BLOCK ; 8 ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; WIDTH_BYTEENA ; 1 ; Untyped ; -; OPERATION_MODE ; SINGLE_PORT ; Untyped ; -; WIDTH_A ; 1 ; Untyped ; -; WIDTHAD_A ; 8 ; Untyped ; -; NUMWORDS_A ; 144 ; Untyped ; -; OUTDATA_REG_A ; UNREGISTERED ; Untyped ; -; ADDRESS_ACLR_A ; NONE ; Untyped ; -; OUTDATA_ACLR_A ; NONE ; Untyped ; -; WRCONTROL_ACLR_A ; NONE ; Untyped ; -; INDATA_ACLR_A ; NONE ; Untyped ; -; BYTEENA_ACLR_A ; NONE ; Untyped ; -; WIDTH_B ; 1 ; Untyped ; -; WIDTHAD_B ; 1 ; Untyped ; -; NUMWORDS_B ; 1 ; Untyped ; -; INDATA_REG_B ; CLOCK1 ; Untyped ; -; WRCONTROL_WRADDRESS_REG_B ; CLOCK1 ; Untyped ; -; RDCONTROL_REG_B ; CLOCK1 ; Untyped ; -; ADDRESS_REG_B ; CLOCK1 ; Untyped ; -; OUTDATA_REG_B ; UNREGISTERED ; Untyped ; -; BYTEENA_REG_B ; CLOCK1 ; Untyped ; -; INDATA_ACLR_B ; NONE ; Untyped ; -; WRCONTROL_ACLR_B ; NONE ; Untyped ; -; ADDRESS_ACLR_B ; NONE ; Untyped ; -; OUTDATA_ACLR_B ; NONE ; Untyped ; -; RDCONTROL_ACLR_B ; NONE ; Untyped ; -; BYTEENA_ACLR_B ; NONE ; Untyped ; -; WIDTH_BYTEENA_A ; 1 ; Untyped ; -; WIDTH_BYTEENA_B ; 1 ; Untyped ; -; RAM_BLOCK_TYPE ; AUTO ; Untyped ; -; BYTE_SIZE ; 8 ; Untyped ; -; READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_A ; NEW_DATA_NO_NBE_READ ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_B ; NEW_DATA_NO_NBE_READ ; Untyped ; -; INIT_FILE ; UNUSED ; Untyped ; -; INIT_FILE_LAYOUT ; PORT_A ; Untyped ; -; MAXIMUM_DEPTH ; 0 ; Untyped ; -; CLOCK_ENABLE_INPUT_A ; NORMAL ; Untyped ; -; CLOCK_ENABLE_INPUT_B ; NORMAL ; Untyped ; -; CLOCK_ENABLE_OUTPUT_A ; NORMAL ; Untyped ; -; CLOCK_ENABLE_OUTPUT_B ; NORMAL ; Untyped ; -; CLOCK_ENABLE_CORE_A ; USE_INPUT_CLKEN ; Untyped ; -; CLOCK_ENABLE_CORE_B ; USE_INPUT_CLKEN ; Untyped ; -; ENABLE_ECC ; FALSE ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; altsyncram_46r ; Untyped ; -+------------------------------------+----------------------+----------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_add_sub:add_sub5 ; -+------------------------+-------------+-----------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+-------------+-----------------------------------------------------------------------------------------------------------------------+ -; LPM_WIDTH ; 9 ; Untyped ; -; LPM_REPRESENTATION ; SIGNED ; Untyped ; -; LPM_DIRECTION ; DEFAULT ; Untyped ; -; ONE_INPUT_IS_CONSTANT ; NO ; Untyped ; -; LPM_PIPELINE ; 0 ; Untyped ; -; MAXIMIZE_SPEED ; 5 ; Untyped ; -; REGISTERED_AT_END ; 0 ; Untyped ; -; OPTIMIZE_FOR_SPEED ; 9 ; Untyped ; -; USE_CS_BUFFERS ; 1 ; Untyped ; -; CARRY_CHAIN ; MANUAL ; Untyped ; -; CARRY_CHAIN_LENGTH ; 48 ; CARRY_CHAIN_LENGTH ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; USE_WYS ; OFF ; Untyped ; -; STYLE ; FAST ; Untyped ; -; CBXI_PARAMETER ; add_sub_hpa ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -+------------------------+-------------+-----------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_add_sub:add_sub6 ; -+------------------------+-------------+-----------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+-------------+-----------------------------------------------------------------------------------------------------------------------+ -; LPM_WIDTH ; 8 ; Untyped ; -; LPM_REPRESENTATION ; SIGNED ; Untyped ; -; LPM_DIRECTION ; DEFAULT ; Untyped ; -; ONE_INPUT_IS_CONSTANT ; NO ; Untyped ; -; LPM_PIPELINE ; 0 ; Untyped ; -; MAXIMIZE_SPEED ; 5 ; Untyped ; -; REGISTERED_AT_END ; 0 ; Untyped ; -; OPTIMIZE_FOR_SPEED ; 9 ; Untyped ; -; USE_CS_BUFFERS ; 1 ; Untyped ; -; CARRY_CHAIN ; MANUAL ; Untyped ; -; CARRY_CHAIN_LENGTH ; 48 ; CARRY_CHAIN_LENGTH ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; USE_WYS ; OFF ; Untyped ; -; STYLE ; FAST ; Untyped ; -; CBXI_PARAMETER ; add_sub_k8a ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -+------------------------+-------------+-----------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_compare:cmpr7 ; -+------------------------+-------------+--------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+-------------+--------------------------------------------------------------------------------------------------------------------+ -; lpm_width ; 8 ; Untyped ; -; LPM_REPRESENTATION ; UNSIGNED ; Untyped ; -; LPM_PIPELINE ; 0 ; Untyped ; -; CHAIN_SIZE ; 8 ; Untyped ; -; ONE_INPUT_IS_CONSTANT ; NO ; Untyped ; -; CARRY_CHAIN ; MANUAL ; Untyped ; -; CASCADE_CHAIN ; MANUAL ; Untyped ; -; CARRY_CHAIN_LENGTH ; 48 ; CARRY_CHAIN_LENGTH ; -; CASCADE_CHAIN_LENGTH ; 2 ; CASCADE_CHAIN_LENGTH ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; cmpr_tnd ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -+------------------------+-------------+--------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr1 ; -+------------------------+-------------+--------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+-------------+--------------------------------------------------------------------------------------------------------------------+ -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; LPM_WIDTH ; 8 ; Untyped ; -; LPM_DIRECTION ; DOWN ; Untyped ; -; LPM_MODULUS ; 144 ; Untyped ; -; LPM_AVALUE ; UNUSED ; Untyped ; -; LPM_SVALUE ; UNUSED ; Untyped ; -; LPM_PORT_UPDOWN ; PORT_UNUSED ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CARRY_CHAIN ; MANUAL ; Untyped ; -; CARRY_CHAIN_LENGTH ; 48 ; CARRY_CHAIN_LENGTH ; -; NOT_GATE_PUSH_BACK ; ON ; NOT_GATE_PUSH_BACK ; -; CARRY_CNT_EN ; SMART ; Untyped ; -; LABWIDE_SCLR ; ON ; Untyped ; -; USE_NEW_VERSION ; TRUE ; Untyped ; -; CBXI_PARAMETER ; cntr_30l ; Untyped ; -+------------------------+-------------+--------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr12 ; -+------------------------+-------------+---------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+-------------+---------------------------------------------------------------------------------------------------------------------+ -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; LPM_WIDTH ; 8 ; Untyped ; -; LPM_DIRECTION ; DOWN ; Untyped ; -; LPM_MODULUS ; 144 ; Untyped ; -; LPM_AVALUE ; UNUSED ; Untyped ; -; LPM_SVALUE ; UNUSED ; Untyped ; -; LPM_PORT_UPDOWN ; PORT_UNUSED ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CARRY_CHAIN ; MANUAL ; Untyped ; -; CARRY_CHAIN_LENGTH ; 48 ; CARRY_CHAIN_LENGTH ; -; NOT_GATE_PUSH_BACK ; ON ; NOT_GATE_PUSH_BACK ; -; CARRY_CNT_EN ; SMART ; Untyped ; -; LABWIDE_SCLR ; ON ; Untyped ; -; USE_NEW_VERSION ; TRUE ; Untyped ; -; CBXI_PARAMETER ; cntr_30l ; Untyped ; -+------------------------+-------------+---------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr13 ; -+------------------------+-------------+---------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+-------------+---------------------------------------------------------------------------------------------------------------------+ -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; LPM_WIDTH ; 6 ; Untyped ; -; LPM_DIRECTION ; DOWN ; Untyped ; -; LPM_MODULUS ; 0 ; Untyped ; -; LPM_AVALUE ; UNUSED ; Untyped ; -; LPM_SVALUE ; UNUSED ; Untyped ; -; LPM_PORT_UPDOWN ; PORT_UNUSED ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CARRY_CHAIN ; MANUAL ; Untyped ; -; CARRY_CHAIN_LENGTH ; 48 ; CARRY_CHAIN_LENGTH ; -; NOT_GATE_PUSH_BACK ; ON ; NOT_GATE_PUSH_BACK ; -; CARRY_CNT_EN ; SMART ; Untyped ; -; LABWIDE_SCLR ; ON ; Untyped ; -; USE_NEW_VERSION ; TRUE ; Untyped ; -; CBXI_PARAMETER ; cntr_qij ; Untyped ; -+------------------------+-------------+---------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr14 ; -+------------------------+-------------+---------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+-------------+---------------------------------------------------------------------------------------------------------------------+ -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; LPM_WIDTH ; 5 ; Untyped ; -; LPM_DIRECTION ; DOWN ; Untyped ; -; LPM_MODULUS ; 0 ; Untyped ; -; LPM_AVALUE ; UNUSED ; Untyped ; -; LPM_SVALUE ; UNUSED ; Untyped ; -; LPM_PORT_UPDOWN ; PORT_UNUSED ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CARRY_CHAIN ; MANUAL ; Untyped ; -; CARRY_CHAIN_LENGTH ; 48 ; CARRY_CHAIN_LENGTH ; -; NOT_GATE_PUSH_BACK ; ON ; NOT_GATE_PUSH_BACK ; -; CARRY_CNT_EN ; SMART ; Untyped ; -; LABWIDE_SCLR ; ON ; Untyped ; -; USE_NEW_VERSION ; TRUE ; Untyped ; -; CBXI_PARAMETER ; cntr_pij ; Untyped ; -+------------------------+-------------+---------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr15 ; -+------------------------+-------------+---------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+-------------+---------------------------------------------------------------------------------------------------------------------+ -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; LPM_WIDTH ; 8 ; Untyped ; -; LPM_DIRECTION ; DOWN ; Untyped ; -; LPM_MODULUS ; 144 ; Untyped ; -; LPM_AVALUE ; UNUSED ; Untyped ; -; LPM_SVALUE ; UNUSED ; Untyped ; -; LPM_PORT_UPDOWN ; PORT_UNUSED ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CARRY_CHAIN ; MANUAL ; Untyped ; -; CARRY_CHAIN_LENGTH ; 48 ; CARRY_CHAIN_LENGTH ; -; NOT_GATE_PUSH_BACK ; ON ; NOT_GATE_PUSH_BACK ; -; CARRY_CNT_EN ; SMART ; Untyped ; -; LABWIDE_SCLR ; ON ; Untyped ; -; USE_NEW_VERSION ; TRUE ; Untyped ; -; CBXI_PARAMETER ; cntr_30l ; Untyped ; -+------------------------+-------------+---------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr2 ; -+------------------------+-------------+--------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+-------------+--------------------------------------------------------------------------------------------------------------------+ -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; LPM_WIDTH ; 8 ; Untyped ; -; LPM_DIRECTION ; UP ; Untyped ; -; LPM_MODULUS ; 0 ; Untyped ; -; LPM_AVALUE ; UNUSED ; Untyped ; -; LPM_SVALUE ; UNUSED ; Untyped ; -; LPM_PORT_UPDOWN ; PORT_UNUSED ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CARRY_CHAIN ; MANUAL ; Untyped ; -; CARRY_CHAIN_LENGTH ; 48 ; CARRY_CHAIN_LENGTH ; -; NOT_GATE_PUSH_BACK ; ON ; NOT_GATE_PUSH_BACK ; -; CARRY_CNT_EN ; SMART ; Untyped ; -; LABWIDE_SCLR ; ON ; Untyped ; -; USE_NEW_VERSION ; TRUE ; Untyped ; -; CBXI_PARAMETER ; cntr_9cj ; Untyped ; -+------------------------+-------------+--------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr3 ; -+------------------------+-------------+--------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+-------------+--------------------------------------------------------------------------------------------------------------------+ -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; LPM_WIDTH ; 5 ; Untyped ; -; LPM_DIRECTION ; DOWN ; Untyped ; -; LPM_MODULUS ; 0 ; Untyped ; -; LPM_AVALUE ; UNUSED ; Untyped ; -; LPM_SVALUE ; UNUSED ; Untyped ; -; LPM_PORT_UPDOWN ; PORT_UNUSED ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CARRY_CHAIN ; MANUAL ; Untyped ; -; CARRY_CHAIN_LENGTH ; 48 ; CARRY_CHAIN_LENGTH ; -; NOT_GATE_PUSH_BACK ; ON ; NOT_GATE_PUSH_BACK ; -; CARRY_CNT_EN ; SMART ; Untyped ; -; LABWIDE_SCLR ; ON ; Untyped ; -; USE_NEW_VERSION ; TRUE ; Untyped ; -; CBXI_PARAMETER ; cntr_pij ; Untyped ; -+------------------------+-------------+--------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_decode:decode11 ; -+------------------------+-------------+----------------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+-------------+----------------------------------------------------------------------------------------------------------------------+ -; LPM_WIDTH ; 3 ; Untyped ; -; LPM_DECODES ; 5 ; Untyped ; -; LPM_PIPELINE ; 0 ; Untyped ; -; CASCADE_CHAIN ; MANUAL ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; decode_2af ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -+------------------------+-------------+----------------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: lpm_ff0:inst1|lpm_ff:lpm_ff_component ; -+------------------------+-------------+---------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+-------------+---------------------------------------------+ -; LPM_WIDTH ; 32 ; Signed Integer ; -; LPM_AVALUE ; UNUSED ; Untyped ; -; LPM_SVALUE ; UNUSED ; Untyped ; -; LPM_FFTYPE ; DFF ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; NOTHING ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -+------------------------+-------------+---------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+-----------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: interrupt_handler:nobody|lpm_bustri_BYT:$00000|lpm_bustri:lpm_bustri_component ; -+----------------+-------+----------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+----------------------------------------------------------------------------------------------------+ -; LPM_WIDTH ; 8 ; Signed Integer ; -+----------------+-------+----------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+-----------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: interrupt_handler:nobody|lpm_bustri_BYT:$00002|lpm_bustri:lpm_bustri_component ; -+----------------+-------+----------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+----------------------------------------------------------------------------------------------------+ -; LPM_WIDTH ; 8 ; Signed Integer ; -+----------------+-------+----------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+-----------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: interrupt_handler:nobody|lpm_bustri_BYT:$00004|lpm_bustri:lpm_bustri_component ; -+----------------+-------+----------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+----------------------------------------------------------------------------------------------------+ -; LPM_WIDTH ; 8 ; Signed Integer ; -+----------------+-------+----------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+-----------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: interrupt_handler:nobody|lpm_bustri_BYT:$00006|lpm_bustri:lpm_bustri_component ; -+----------------+-------+----------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+----------------------------------------------------------------------------------------------------+ -; LPM_WIDTH ; 8 ; Signed Integer ; -+----------------+-------+----------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+----------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: lpm_counter0:inst18|lpm_counter:lpm_counter_component ; -+------------------------+-------------+-------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+-------------+-------------------------------------------------------------+ -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; LPM_WIDTH ; 18 ; Signed Integer ; -; LPM_DIRECTION ; UP ; Untyped ; -; LPM_MODULUS ; 0 ; Untyped ; -; LPM_AVALUE ; UNUSED ; Untyped ; -; LPM_SVALUE ; UNUSED ; Untyped ; -; LPM_PORT_UPDOWN ; PORT_UNUSED ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CARRY_CHAIN ; MANUAL ; Untyped ; -; CARRY_CHAIN_LENGTH ; 48 ; CARRY_CHAIN_LENGTH ; -; NOT_GATE_PUSH_BACK ; ON ; NOT_GATE_PUSH_BACK ; -; CARRY_CNT_EN ; SMART ; Untyped ; -; LABWIDE_SCLR ; ON ; Untyped ; -; USE_NEW_VERSION ; TRUE ; Untyped ; -; CBXI_PARAMETER ; cntr_mph ; Untyped ; -+------------------------+-------------+-------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+---------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: altddio_out3:inst5|altddio_out:altddio_out_component ; -+------------------------+--------------+-----------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+--------------+-----------------------------------------------------------+ -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; WIDTH ; 1 ; Signed Integer ; -; POWER_UP_HIGH ; OFF ; Untyped ; -; OE_REG ; UNUSED ; Untyped ; -; extend_oe_disable ; UNUSED ; Untyped ; -; INTENDED_DEVICE_FAMILY ; Cyclone III ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; ddio_out_31f ; Untyped ; -+------------------------+--------------+-----------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+---------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: altddio_out3:inst6|altddio_out:altddio_out_component ; -+------------------------+--------------+-----------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+--------------+-----------------------------------------------------------+ -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; WIDTH ; 1 ; Signed Integer ; -; POWER_UP_HIGH ; OFF ; Untyped ; -; OE_REG ; UNUSED ; Untyped ; -; extend_oe_disable ; UNUSED ; Untyped ; -; INTENDED_DEVICE_FAMILY ; Cyclone III ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; ddio_out_31f ; Untyped ; -+------------------------+--------------+-----------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+---------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: altddio_out3:inst8|altddio_out:altddio_out_component ; -+------------------------+--------------+-----------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+--------------+-----------------------------------------------------------+ -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; WIDTH ; 1 ; Signed Integer ; -; POWER_UP_HIGH ; OFF ; Untyped ; -; OE_REG ; UNUSED ; Untyped ; -; extend_oe_disable ; UNUSED ; Untyped ; -; INTENDED_DEVICE_FAMILY ; Cyclone III ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; ddio_out_31f ; Untyped ; -+------------------------+--------------+-----------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+---------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: altddio_out3:inst9|altddio_out:altddio_out_component ; -+------------------------+--------------+-----------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+--------------+-----------------------------------------------------------+ -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; WIDTH ; 1 ; Signed Integer ; -; POWER_UP_HIGH ; OFF ; Untyped ; -; OE_REG ; UNUSED ; Untyped ; -; extend_oe_disable ; UNUSED ; Untyped ; -; INTENDED_DEVICE_FAMILY ; Cyclone III ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; ddio_out_31f ; Untyped ; -+------------------------+--------------+-----------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for Inferred Entity Instance: Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_14 ; -+------------------------------------------------+-------------+---------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------------------------------+-------------+---------------------------------------------------------------------+ -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; LPM_WIDTHA ; 12 ; Untyped ; -; LPM_WIDTHB ; 6 ; Untyped ; -; LPM_WIDTHP ; 18 ; Untyped ; -; LPM_WIDTHR ; 18 ; Untyped ; -; LPM_WIDTHS ; 1 ; Untyped ; -; LPM_REPRESENTATION ; UNSIGNED ; Untyped ; -; LPM_PIPELINE ; 0 ; Untyped ; -; LATENCY ; 0 ; Untyped ; -; INPUT_A_IS_CONSTANT ; NO ; Untyped ; -; INPUT_B_IS_CONSTANT ; NO ; Untyped ; -; USE_EAB ; OFF ; Untyped ; -; MAXIMIZE_SPEED ; 5 ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CARRY_CHAIN ; MANUAL ; Untyped ; -; APEX20K_TECHNOLOGY_MAPPER ; LUT ; TECH_MAPPER_APEX20K ; -; DEDICATED_MULTIPLIER_CIRCUITRY ; AUTO ; Untyped ; -; DEDICATED_MULTIPLIER_MIN_INPUT_WIDTH_FOR_AUTO ; 0 ; Untyped ; -; DEDICATED_MULTIPLIER_MIN_OUTPUT_WIDTH_FOR_AUTO ; 0 ; Untyped ; -; CBXI_PARAMETER ; mult_cat ; Untyped ; -; INPUT_A_FIXED_VALUE ; Bx ; Untyped ; -; INPUT_B_FIXED_VALUE ; Bx ; Untyped ; -; USE_AHDL_IMPLEMENTATION ; OFF ; Untyped ; -+------------------------------------------------+-------------+---------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+-----------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for Inferred Entity Instance: Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_6 ; -+------------------------------------------------+-------------+--------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------------------------------+-------------+--------------------------------------------------------------------+ -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; LPM_WIDTHA ; 12 ; Untyped ; -; LPM_WIDTHB ; 5 ; Untyped ; -; LPM_WIDTHP ; 17 ; Untyped ; -; LPM_WIDTHR ; 17 ; Untyped ; -; LPM_WIDTHS ; 1 ; Untyped ; -; LPM_REPRESENTATION ; UNSIGNED ; Untyped ; -; LPM_PIPELINE ; 0 ; Untyped ; -; LATENCY ; 0 ; Untyped ; -; INPUT_A_IS_CONSTANT ; NO ; Untyped ; -; INPUT_B_IS_CONSTANT ; NO ; Untyped ; -; USE_EAB ; OFF ; Untyped ; -; MAXIMIZE_SPEED ; 5 ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CARRY_CHAIN ; MANUAL ; Untyped ; -; APEX20K_TECHNOLOGY_MAPPER ; LUT ; TECH_MAPPER_APEX20K ; -; DEDICATED_MULTIPLIER_CIRCUITRY ; AUTO ; Untyped ; -; DEDICATED_MULTIPLIER_MIN_INPUT_WIDTH_FOR_AUTO ; 0 ; Untyped ; -; DEDICATED_MULTIPLIER_MIN_OUTPUT_WIDTH_FOR_AUTO ; 0 ; Untyped ; -; CBXI_PARAMETER ; mult_aat ; Untyped ; -; INPUT_A_FIXED_VALUE ; Bx ; Untyped ; -; INPUT_B_FIXED_VALUE ; Bx ; Untyped ; -; USE_AHDL_IMPLEMENTATION ; OFF ; Untyped ; -+------------------------------------------------+-------------+--------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for Inferred Entity Instance: Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_12 ; -+------------------------------------------------+-------------+---------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------------------------------+-------------+---------------------------------------------------------------------+ -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; LPM_WIDTHA ; 12 ; Untyped ; -; LPM_WIDTHB ; 5 ; Untyped ; -; LPM_WIDTHP ; 17 ; Untyped ; -; LPM_WIDTHR ; 17 ; Untyped ; -; LPM_WIDTHS ; 1 ; Untyped ; -; LPM_REPRESENTATION ; UNSIGNED ; Untyped ; -; LPM_PIPELINE ; 0 ; Untyped ; -; LATENCY ; 0 ; Untyped ; -; INPUT_A_IS_CONSTANT ; NO ; Untyped ; -; INPUT_B_IS_CONSTANT ; NO ; Untyped ; -; USE_EAB ; OFF ; Untyped ; -; MAXIMIZE_SPEED ; 5 ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CARRY_CHAIN ; MANUAL ; Untyped ; -; APEX20K_TECHNOLOGY_MAPPER ; LUT ; TECH_MAPPER_APEX20K ; -; DEDICATED_MULTIPLIER_CIRCUITRY ; AUTO ; Untyped ; -; DEDICATED_MULTIPLIER_MIN_INPUT_WIDTH_FOR_AUTO ; 0 ; Untyped ; -; DEDICATED_MULTIPLIER_MIN_OUTPUT_WIDTH_FOR_AUTO ; 0 ; Untyped ; -; CBXI_PARAMETER ; mult_aat ; Untyped ; -; INPUT_A_FIXED_VALUE ; Bx ; Untyped ; -; INPUT_B_FIXED_VALUE ; Bx ; Untyped ; -; USE_AHDL_IMPLEMENTATION ; OFF ; Untyped ; -+------------------------------------------------+-------------+---------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------+ -; altpll Parameter Settings by Entity Instance ; -+-------------------------------+----------------------------------------+ -; Name ; Value ; -+-------------------------------+----------------------------------------+ -; Number of entity instances ; 4 ; -; Entity Instance ; altpll1:inst|altpll:altpll_component ; -; -- OPERATION_MODE ; SOURCE_SYNCHRONOUS ; -; -- PLL_TYPE ; AUTO ; -; -- PRIMARY_CLOCK ; INCLK0 ; -; -- INCLK0_INPUT_FREQUENCY ; 30303 ; -; -- INCLK1_INPUT_FREQUENCY ; 0 ; -; -- VCO_MULTIPLY_BY ; 0 ; -; -- VCO_DIVIDE_BY ; 0 ; -; Entity Instance ; altpll3:inst13|altpll:altpll_component ; -; -- OPERATION_MODE ; SOURCE_SYNCHRONOUS ; -; -- PLL_TYPE ; AUTO ; -; -- PRIMARY_CLOCK ; INCLK0 ; -; -- INCLK0_INPUT_FREQUENCY ; 30303 ; -; -- INCLK1_INPUT_FREQUENCY ; 0 ; -; -- VCO_MULTIPLY_BY ; 0 ; -; -- VCO_DIVIDE_BY ; 0 ; -; Entity Instance ; altpll2:inst12|altpll:altpll_component ; -; -- OPERATION_MODE ; SOURCE_SYNCHRONOUS ; -; -- PLL_TYPE ; AUTO ; -; -- PRIMARY_CLOCK ; INCLK0 ; -; -- INCLK0_INPUT_FREQUENCY ; 30303 ; -; -- INCLK1_INPUT_FREQUENCY ; 0 ; -; -- VCO_MULTIPLY_BY ; 0 ; -; -- VCO_DIVIDE_BY ; 0 ; -; Entity Instance ; altpll4:inst22|altpll:altpll_component ; -; -- OPERATION_MODE ; NORMAL ; -; -- PLL_TYPE ; AUTO ; -; -- PRIMARY_CLOCK ; INCLK0 ; -; -- INCLK0_INPUT_FREQUENCY ; 20833 ; -; -- INCLK1_INPUT_FREQUENCY ; 0 ; -; -- VCO_MULTIPLY_BY ; 0 ; -; -- VCO_DIVIDE_BY ; 0 ; -+-------------------------------+----------------------------------------+ - - -+--------------------------------------------------------------------------------------------------------------+ -; lpm_shiftreg Parameter Settings by Entity Instance ; -+----------------------------+---------------------------------------------------------------------------------+ -; Name ; Value ; -+----------------------------+---------------------------------------------------------------------------------+ -; Number of entity instances ; 11 ; -; Entity Instance ; Video:Fredi_Aschwanden|lpm_shiftreg6:inst89|lpm_shiftreg:lpm_shiftreg_component ; -; -- LPM_WIDTH ; 5 ; -; -- LPM_DIRECTION ; RIGHT ; -; Entity Instance ; Video:Fredi_Aschwanden|lpm_shiftreg4:inst26|lpm_shiftreg:lpm_shiftreg_component ; -; -- LPM_WIDTH ; 5 ; -; -- LPM_DIRECTION ; RIGHT ; -; Entity Instance ; Video:Fredi_Aschwanden|lpm_shiftreg6:inst92|lpm_shiftreg:lpm_shiftreg_component ; -; -- LPM_WIDTH ; 5 ; -; -- LPM_DIRECTION ; RIGHT ; -; Entity Instance ; Video:Fredi_Aschwanden|lpm_shiftreg0:sr0|lpm_shiftreg:lpm_shiftreg_component ; -; -- LPM_WIDTH ; 16 ; -; -- LPM_DIRECTION ; LEFT ; -; Entity Instance ; Video:Fredi_Aschwanden|lpm_shiftreg0:sr4|lpm_shiftreg:lpm_shiftreg_component ; -; -- LPM_WIDTH ; 16 ; -; -- LPM_DIRECTION ; LEFT ; -; Entity Instance ; Video:Fredi_Aschwanden|lpm_shiftreg0:sr5|lpm_shiftreg:lpm_shiftreg_component ; -; -- LPM_WIDTH ; 16 ; -; -- LPM_DIRECTION ; LEFT ; -; Entity Instance ; Video:Fredi_Aschwanden|lpm_shiftreg0:sr6|lpm_shiftreg:lpm_shiftreg_component ; -; -- LPM_WIDTH ; 16 ; -; -- LPM_DIRECTION ; LEFT ; -; Entity Instance ; Video:Fredi_Aschwanden|lpm_shiftreg0:sr7|lpm_shiftreg:lpm_shiftreg_component ; -; -- LPM_WIDTH ; 16 ; -; -- LPM_DIRECTION ; LEFT ; -; Entity Instance ; Video:Fredi_Aschwanden|lpm_shiftreg0:sr1|lpm_shiftreg:lpm_shiftreg_component ; -; -- LPM_WIDTH ; 16 ; -; -- LPM_DIRECTION ; LEFT ; -; Entity Instance ; Video:Fredi_Aschwanden|lpm_shiftreg0:sr2|lpm_shiftreg:lpm_shiftreg_component ; -; -- LPM_WIDTH ; 16 ; -; -- LPM_DIRECTION ; LEFT ; -; Entity Instance ; Video:Fredi_Aschwanden|lpm_shiftreg0:sr3|lpm_shiftreg:lpm_shiftreg_component ; -; -- LPM_WIDTH ; 16 ; -; -- LPM_DIRECTION ; LEFT ; -+----------------------------+---------------------------------------------------------------------------------+ - - -+-----------------------------------------------------------------------------------------------+ -; dcfifo Parameter Settings by Entity Instance ; -+----------------------------+------------------------------------------------------------------+ -; Name ; Value ; -+----------------------------+------------------------------------------------------------------+ -; Number of entity instances ; 1 ; -; Entity Instance ; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component ; -; -- FIFO Type ; Dual Clock ; -; -- LPM_WIDTH ; 128 ; -; -- LPM_NUMWORDS ; 512 ; -; -- LPM_SHOWAHEAD ; OFF ; -; -- USE_EAB ; ON ; -+----------------------------+------------------------------------------------------------------+ - - -+-----------------------------------------------------------------------------------------------+ -; scfifo Parameter Settings by Entity Instance ; -+----------------------------+------------------------------------------------------------------+ -; Name ; Value ; -+----------------------------+------------------------------------------------------------------+ -; Number of entity instances ; 1 ; -; Entity Instance ; Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component ; -; -- FIFO Type ; Single Clock ; -; -- lpm_width ; 128 ; -; -- LPM_NUMWORDS ; 128 ; -; -- LPM_SHOWAHEAD ; ON ; -; -- USE_EAB ; ON ; -+----------------------------+------------------------------------------------------------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; altsyncram Parameter Settings by Entity Instance ; -+-------------------------------------------+-------------------------------------------------------------------------------------------------------------------+ -; Name ; Value ; -+-------------------------------------------+-------------------------------------------------------------------------------------------------------------------+ -; Number of entity instances ; 10 ; -; Entity Instance ; Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_RED|altsyncram:altsyncram_component ; -; -- OPERATION_MODE ; BIDIR_DUAL_PORT ; -; -- WIDTH_A ; 6 ; -; -- NUMWORDS_A ; 256 ; -; -- OUTDATA_REG_A ; CLOCK0 ; -; -- WIDTH_B ; 6 ; -; -- NUMWORDS_B ; 256 ; -; -- ADDRESS_REG_B ; CLOCK1 ; -; -- OUTDATA_REG_B ; CLOCK1 ; -; -- RAM_BLOCK_TYPE ; AUTO ; -; -- READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; -; Entity Instance ; Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_GREEN|altsyncram:altsyncram_component ; -; -- OPERATION_MODE ; BIDIR_DUAL_PORT ; -; -- WIDTH_A ; 6 ; -; -- NUMWORDS_A ; 256 ; -; -- OUTDATA_REG_A ; CLOCK0 ; -; -- WIDTH_B ; 6 ; -; -- NUMWORDS_B ; 256 ; -; -- ADDRESS_REG_B ; CLOCK1 ; -; -- OUTDATA_REG_B ; CLOCK1 ; -; -- RAM_BLOCK_TYPE ; AUTO ; -; -- READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; -; Entity Instance ; Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_BLUE|altsyncram:altsyncram_component ; -; -- OPERATION_MODE ; BIDIR_DUAL_PORT ; -; -- WIDTH_A ; 6 ; -; -- NUMWORDS_A ; 256 ; -; -- OUTDATA_REG_A ; CLOCK0 ; -; -- WIDTH_B ; 6 ; -; -- NUMWORDS_B ; 256 ; -; -- ADDRESS_REG_B ; CLOCK1 ; -; -- OUTDATA_REG_B ; CLOCK1 ; -; -- RAM_BLOCK_TYPE ; AUTO ; -; -- READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; -; Entity Instance ; Video:Fredi_Aschwanden|altdpram0:ST_CLUT_RED|altsyncram:altsyncram_component ; -; -- OPERATION_MODE ; BIDIR_DUAL_PORT ; -; -- WIDTH_A ; 3 ; -; -- NUMWORDS_A ; 16 ; -; -- OUTDATA_REG_A ; CLOCK0 ; -; -- WIDTH_B ; 3 ; -; -- NUMWORDS_B ; 16 ; -; -- ADDRESS_REG_B ; CLOCK1 ; -; -- OUTDATA_REG_B ; CLOCK1 ; -; -- RAM_BLOCK_TYPE ; AUTO ; -; -- READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; -; Entity Instance ; Video:Fredi_Aschwanden|altdpram0:ST_CLUT_GREEN|altsyncram:altsyncram_component ; -; -- OPERATION_MODE ; BIDIR_DUAL_PORT ; -; -- WIDTH_A ; 3 ; -; -- NUMWORDS_A ; 16 ; -; -- OUTDATA_REG_A ; CLOCK0 ; -; -- WIDTH_B ; 3 ; -; -- NUMWORDS_B ; 16 ; -; -- ADDRESS_REG_B ; CLOCK1 ; -; -- OUTDATA_REG_B ; CLOCK1 ; -; -- RAM_BLOCK_TYPE ; AUTO ; -; -- READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; -; Entity Instance ; Video:Fredi_Aschwanden|altdpram0:ST_CLUT_BLUE|altsyncram:altsyncram_component ; -; -- OPERATION_MODE ; BIDIR_DUAL_PORT ; -; -- WIDTH_A ; 3 ; -; -- NUMWORDS_A ; 16 ; -; -- OUTDATA_REG_A ; CLOCK0 ; -; -- WIDTH_B ; 3 ; -; -- NUMWORDS_B ; 16 ; -; -- ADDRESS_REG_B ; CLOCK1 ; -; -- OUTDATA_REG_B ; CLOCK1 ; -; -- RAM_BLOCK_TYPE ; AUTO ; -; -- READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; -; Entity Instance ; Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55|altsyncram:altsyncram_component ; -; -- OPERATION_MODE ; BIDIR_DUAL_PORT ; -; -- WIDTH_A ; 8 ; -; -- NUMWORDS_A ; 256 ; -; -- OUTDATA_REG_A ; CLOCK0 ; -; -- WIDTH_B ; 8 ; -; -- NUMWORDS_B ; 256 ; -; -- ADDRESS_REG_B ; CLOCK1 ; -; -- OUTDATA_REG_B ; CLOCK1 ; -; -- RAM_BLOCK_TYPE ; AUTO ; -; -- READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; -; Entity Instance ; Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM54|altsyncram:altsyncram_component ; -; -- OPERATION_MODE ; BIDIR_DUAL_PORT ; -; -- WIDTH_A ; 8 ; -; -- NUMWORDS_A ; 256 ; -; -- OUTDATA_REG_A ; CLOCK0 ; -; -- WIDTH_B ; 8 ; -; -- NUMWORDS_B ; 256 ; -; -- ADDRESS_REG_B ; CLOCK1 ; -; -- OUTDATA_REG_B ; CLOCK1 ; -; -- RAM_BLOCK_TYPE ; AUTO ; -; -- READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; -; Entity Instance ; Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM|altsyncram:altsyncram_component ; -; -- OPERATION_MODE ; BIDIR_DUAL_PORT ; -; -- WIDTH_A ; 8 ; -; -- NUMWORDS_A ; 256 ; -; -- OUTDATA_REG_A ; CLOCK0 ; -; -- WIDTH_B ; 8 ; -; -- NUMWORDS_B ; 256 ; -; -- ADDRESS_REG_B ; CLOCK1 ; -; -- OUTDATA_REG_B ; CLOCK1 ; -; -- RAM_BLOCK_TYPE ; AUTO ; -; -- READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; -; Entity Instance ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|altsyncram:altsyncram4 ; -; -- OPERATION_MODE ; SINGLE_PORT ; -; -- WIDTH_A ; 1 ; -; -- NUMWORDS_A ; 144 ; -; -- OUTDATA_REG_A ; UNREGISTERED ; -; -- WIDTH_B ; 1 ; -; -- NUMWORDS_B ; 1 ; -; -- ADDRESS_REG_B ; CLOCK1 ; -; -- OUTDATA_REG_B ; UNREGISTERED ; -; -- RAM_BLOCK_TYPE ; AUTO ; -; -- READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; -+-------------------------------------------+-------------------------------------------------------------------------------------------------------------------+ - - -+---------------------------------------------------------------------------------------------------------------------------+ -; lpm_mult Parameter Settings by Entity Instance ; -+---------------------------------------+-----------------------------------------------------------------------------------+ -; Name ; Value ; -+---------------------------------------+-----------------------------------------------------------------------------------+ -; Number of entity instances ; 3 ; -; Entity Instance ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_14 ; -; -- LPM_WIDTHA ; 12 ; -; -- LPM_WIDTHB ; 6 ; -; -- LPM_WIDTHP ; 18 ; -; -- LPM_REPRESENTATION ; UNSIGNED ; -; -- INPUT_A_IS_CONSTANT ; NO ; -; -- INPUT_B_IS_CONSTANT ; NO ; -; -- USE_EAB ; OFF ; -; -- DEDICATED_MULTIPLIER_CIRCUITRY ; AUTO ; -; -- INPUT_A_FIXED_VALUE ; Bx ; -; -- INPUT_B_FIXED_VALUE ; Bx ; -; Entity Instance ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_6 ; -; -- LPM_WIDTHA ; 12 ; -; -- LPM_WIDTHB ; 5 ; -; -- LPM_WIDTHP ; 17 ; -; -- LPM_REPRESENTATION ; UNSIGNED ; -; -- INPUT_A_IS_CONSTANT ; NO ; -; -- INPUT_B_IS_CONSTANT ; NO ; -; -- USE_EAB ; OFF ; -; -- DEDICATED_MULTIPLIER_CIRCUITRY ; AUTO ; -; -- INPUT_A_FIXED_VALUE ; Bx ; -; -- INPUT_B_FIXED_VALUE ; Bx ; -; Entity Instance ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_12 ; -; -- LPM_WIDTHA ; 12 ; -; -- LPM_WIDTHB ; 5 ; -; -- LPM_WIDTHP ; 17 ; -; -- LPM_REPRESENTATION ; UNSIGNED ; -; -- INPUT_A_IS_CONSTANT ; NO ; -; -- INPUT_B_IS_CONSTANT ; NO ; -; -- USE_EAB ; OFF ; -; -- DEDICATED_MULTIPLIER_CIRCUITRY ; AUTO ; -; -- INPUT_A_FIXED_VALUE ; Bx ; -; -- INPUT_B_FIXED_VALUE ; Bx ; -+---------------------------------------+-----------------------------------------------------------------------------------+ - - -+-----------------------------------------------------------------------------------------------------------------------+ -; Port Connectivity Checks: "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND" ; -+-------------+--------+----------+-------------------------------------------------------------------------------------+ -; Port ; Type ; Severity ; Details ; -+-------------+--------+----------+-------------------------------------------------------------------------------------+ -; seln ; Input ; Info ; Stuck at VCC ; -; bc2 ; Input ; Info ; Stuck at VCC ; -; a9n ; Input ; Info ; Stuck at GND ; -; a8 ; Input ; Info ; Stuck at VCC ; -; da_en ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; io_a_in ; Input ; Info ; Stuck at GND ; -; io_a_out[2] ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; io_a_en ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; io_b_en ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -+-------------+--------+----------+-------------------------------------------------------------------------------------+ - - -+--------------------------------------------------------------------------------------------------------------------+ -; Port Connectivity Checks: "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP" ; -+----------+--------+----------+-------------------------------------------------------------------------------------+ -; Port ; Type ; Severity ; Details ; -+----------+--------+----------+-------------------------------------------------------------------------------------+ -; data_en ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; gpip_out ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; gpip_en ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; iein ; Input ; Info ; Stuck at GND ; -; ieon ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; tai ; Input ; Info ; Stuck at GND ; -; tao ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; tbo ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; tco ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; so_en ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; rrn ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; trn ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -+----------+--------+----------+-------------------------------------------------------------------------------------+ - - -+------------------------------------------------------------------------------------------------------------------------+ -; Port Connectivity Checks: "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI" ; -+---------+--------+----------+------------------------------------------------------------------------------------------+ -; Port ; Type ; Severity ; Details ; -+---------+--------+----------+------------------------------------------------------------------------------------------+ -; cs2n ; Input ; Info ; Stuck at GND ; -; data_en ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; ctsn ; Input ; Info ; Stuck at GND ; -; dcdn ; Input ; Info ; Stuck at GND ; -; rtsn ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -+---------+--------+----------+------------------------------------------------------------------------------------------+ - - -+----------------------------------------------------------------------------------------------------------------------------+ -; Port Connectivity Checks: "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD" ; -+---------+--------+----------+----------------------------------------------------------------------------------------------+ -; Port ; Type ; Severity ; Details ; -+---------+--------+----------+----------------------------------------------------------------------------------------------+ -; cs1 ; Input ; Info ; Stuck at VCC ; -; data_en ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; ctsn ; Input ; Info ; Stuck at GND ; -; dcdn ; Input ; Info ; Stuck at GND ; -; rtsn ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -+---------+--------+----------+----------------------------------------------------------------------------------------------+ - - -+----------------------------------------------------------------------------------------------------------------------------------------------+ -; Port Connectivity Checks: "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS" ; -+------------+--------+----------+-------------------------------------------------------------------------------------------------------------+ -; Port ; Type ; Severity ; Details ; -+------------+--------+----------+-------------------------------------------------------------------------------------------------------------+ -; icr_out[7] ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; icr_out[5] ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -+------------+--------+----------+-------------------------------------------------------------------------------------------------------------+ - - -+--------------------------------------------------------------------------------------------------------------------+ -; Port Connectivity Checks: "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI" ; -+----------+--------+----------+-------------------------------------------------------------------------------------+ -; Port ; Type ; Severity ; Details ; -+----------+--------+----------+-------------------------------------------------------------------------------------+ -; data_en ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; csn ; Input ; Info ; Stuck at VCC ; -; eopn ; Input ; Info ; Stuck at VCC ; -; ready ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; ack_inn ; Input ; Info ; Stuck at VCC ; -; ack_en ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; atn_inn ; Input ; Info ; Stuck at VCC ; -; atn_en ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; req_outn ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; req_en ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; ion_out ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; io_en ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; cdn_out ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; cd_en ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; msg_outn ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; msg_en ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -+----------+--------+----------+-------------------------------------------------------------------------------------+ - - -+-------------------------------------------------------------------------------------------------------------------+ -; Port Connectivity Checks: "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC" ; -+---------+--------+----------+-------------------------------------------------------------------------------------+ -; Port ; Type ; Severity ; Details ; -+---------+--------+----------+-------------------------------------------------------------------------------------+ -; data_en ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; dden ; Input ; Info ; Stuck at GND ; -+---------+--------+----------+-------------------------------------------------------------------------------------+ - - -+-------------------------------+ -; Analysis & Synthesis Messages ; -+-------------------------------+ -Info: ******************************************************************* -Info: Running Quartus II Analysis & Synthesis - Info: Version 9.1 Build 350 03/24/2010 Service Pack 2 SJ Web Edition - Info: Processing started: Wed Dec 15 02:20:37 2010 -Info: Command: quartus_map --read_settings_files=on --write_settings_files=off firebeei1 -c firebee1 -Info: Found 2 design units, including 1 entities, in source file falconio_sdcard_ide_cf/wf5380/wf5380_control.vhd - Info: Found design unit 1: WF5380_CONTROL-BEHAVIOUR - Info: Found entity 1: WF5380_CONTROL -Info: Found 1 design units, including 0 entities, in source file falconio_sdcard_ide_cf/wf5380/wf5380_pkg.vhd - Info: Found design unit 1: WF5380_PKG -Info: Found 2 design units, including 1 entities, in source file falconio_sdcard_ide_cf/wf5380/wf5380_registers.vhd - Info: Found design unit 1: WF5380_REGISTERS-BEHAVIOUR - Info: Found entity 1: WF5380_REGISTERS -Info: Found 2 design units, including 1 entities, in source file falconio_sdcard_ide_cf/wf5380/wf5380_soc_top.vhd - Info: Found design unit 1: WF5380_TOP_SOC-STRUCTURE - Info: Found entity 1: WF5380_TOP_SOC -Info: Found 2 design units, including 1 entities, in source file falconio_sdcard_ide_cf/wf5380/wf5380_top.vhd - Info: Found design unit 1: WF5380_TOP-STRUCTURE - Info: Found entity 1: WF5380_TOP -Info: Found 2 design units, including 1 entities, in source file falconio_sdcard_ide_cf/wf_fdc1772_ip/wf1772ip_am_detector.vhd - Info: Found design unit 1: WF1772IP_AM_DETECTOR-BEHAVIOR - Info: Found entity 1: WF1772IP_AM_DETECTOR -Info: Found 2 design units, including 1 entities, in source file falconio_sdcard_ide_cf/dcfifo0.vhd - Info: Found design unit 1: dcfifo0-SYN - Info: Found entity 1: dcfifo0 -Info: Found 1 design units, including 1 entities, in source file video/ddr_ctr.tdf - Info: Found entity 1: DDR_CTR -Info: Found 2 design units, including 1 entities, in source file video/lpm_bustri0.vhd - Info: Found design unit 1: lpm_bustri0-SYN - Info: Found entity 1: lpm_bustri0 -Info: Found 2 design units, including 1 entities, in source file falconio_sdcard_ide_cf/wf_fdc1772_ip/wf1772ip_control.vhd - Info: Found design unit 1: WF1772IP_CONTROL-BEHAVIOR - Info: Found entity 1: WF1772IP_CONTROL -Info: Found 2 design units, including 1 entities, in source file falconio_sdcard_ide_cf/wf_fdc1772_ip/wf1772ip_crc_logic.vhd - Info: Found design unit 1: WF1772IP_CRC_LOGIC-BEHAVIOR - Info: Found entity 1: WF1772IP_CRC_LOGIC -Info: Found 2 design units, including 1 entities, in source file falconio_sdcard_ide_cf/wf_fdc1772_ip/wf1772ip_digital_pll.vhd - Info: Found design unit 1: WF1772IP_DIGITAL_PLL-BEHAVIOR - Info: Found entity 1: WF1772IP_DIGITAL_PLL -Info: Found 1 design units, including 0 entities, in source file falconio_sdcard_ide_cf/wf_fdc1772_ip/wf1772ip_pkg.vhd - Info: Found design unit 1: WF1772IP_PKG -Info: Found 2 design units, including 1 entities, in source file falconio_sdcard_ide_cf/wf_fdc1772_ip/wf1772ip_registers.vhd - Info: Found design unit 1: WF1772IP_REGISTERS-BEHAVIOR - Info: Found entity 1: WF1772IP_REGISTERS -Info: Found 2 design units, including 1 entities, in source file falconio_sdcard_ide_cf/wf_fdc1772_ip/wf1772ip_top.vhd - Info: Found design unit 1: WF1772IP_TOP-STRUCTURE - Info: Found entity 1: WF1772IP_TOP -Info: Found 2 design units, including 1 entities, in source file falconio_sdcard_ide_cf/wf_fdc1772_ip/wf1772ip_top_soc.vhd - Info: Found design unit 1: WF1772IP_TOP_SOC-STRUCTURE - Info: Found entity 1: WF1772IP_TOP_SOC -Info: Found 2 design units, including 1 entities, in source file falconio_sdcard_ide_cf/wf_fdc1772_ip/wf1772ip_transceiver.vhd - Info: Found design unit 1: WF1772IP_TRANSCEIVER-BEHAVIOR - Info: Found entity 1: WF1772IP_TRANSCEIVER -Info: Found 2 design units, including 1 entities, in source file video/lpm_bustri5.vhd - Info: Found design unit 1: lpm_bustri5-SYN - Info: Found entity 1: lpm_bustri5 -Info: Found 2 design units, including 1 entities, in source file falconio_sdcard_ide_cf/wf_uart6850_ip/wf6850ip_ctrl_status.vhd - Info: Found design unit 1: WF6850IP_CTRL_STATUS-BEHAVIOR - Info: Found entity 1: WF6850IP_CTRL_STATUS -Info: Found 2 design units, including 1 entities, in source file video/lpm_bustri7.vhd - Info: Found design unit 1: lpm_bustri7-SYN - Info: Found entity 1: lpm_bustri7 -Info: Found 2 design units, including 1 entities, in source file falconio_sdcard_ide_cf/wf_uart6850_ip/wf6850ip_receive.vhd - Info: Found design unit 1: WF6850IP_RECEIVE-BEHAVIOR - Info: Found entity 1: WF6850IP_RECEIVE -Info: Found 2 design units, including 1 entities, in source file falconio_sdcard_ide_cf/wf_uart6850_ip/wf6850ip_top.vhd - Info: Found design unit 1: WF6850IP_TOP-STRUCTURE - Info: Found entity 1: WF6850IP_TOP -Info: Found 2 design units, including 1 entities, in source file falconio_sdcard_ide_cf/wf_uart6850_ip/wf6850ip_top_soc.vhd - Info: Found design unit 1: WF6850IP_TOP_SOC-STRUCTURE - Info: Found entity 1: WF6850IP_TOP_SOC -Info: Found 2 design units, including 1 entities, in source file falconio_sdcard_ide_cf/wf_uart6850_ip/wf6850ip_transmit.vhd - Info: Found design unit 1: WF6850IP_TRANSMIT-BEHAVIOR - Info: Found entity 1: WF6850IP_TRANSMIT -Info: Found 2 design units, including 1 entities, in source file falconio_sdcard_ide_cf/wf_mfp68901_ip/wf68901ip_gpio.vhd - Info: Found design unit 1: WF68901IP_GPIO-BEHAVIOR - Info: Found entity 1: WF68901IP_GPIO -Info: Found 2 design units, including 1 entities, in source file falconio_sdcard_ide_cf/wf_mfp68901_ip/wf68901ip_interrupts.vhd - Info: Found design unit 1: WF68901IP_INTERRUPTS-BEHAVIOR - Info: Found entity 1: WF68901IP_INTERRUPTS -Info: Found 1 design units, including 0 entities, in source file falconio_sdcard_ide_cf/wf_mfp68901_ip/wf68901ip_pkg.vhd - Info: Found design unit 1: WF68901IP_PKG -Info: Found 2 design units, including 1 entities, in source file falconio_sdcard_ide_cf/wf_mfp68901_ip/wf68901ip_timers.vhd - Info: Found design unit 1: WF68901IP_TIMERS-BEHAVIOR - Info: Found entity 1: WF68901IP_TIMERS -Info: Found 2 design units, including 1 entities, in source file falconio_sdcard_ide_cf/wf_mfp68901_ip/wf68901ip_top.vhd - Info: Found design unit 1: WF68901IP_TOP-STRUCTURE - Info: Found entity 1: WF68901IP_TOP -Info: Found 2 design units, including 1 entities, in source file falconio_sdcard_ide_cf/wf_mfp68901_ip/wf68901ip_top_soc.vhd - Info: Found design unit 1: WF68901IP_TOP_SOC-STRUCTURE - Info: Found entity 1: WF68901IP_TOP_SOC -Info: Found 2 design units, including 1 entities, in source file falconio_sdcard_ide_cf/wf_mfp68901_ip/wf68901ip_usart_ctrl.vhd - Info: Found design unit 1: WF68901IP_USART_CTRL-BEHAVIOR - Info: Found entity 1: WF68901IP_USART_CTRL -Info: Found 2 design units, including 1 entities, in source file falconio_sdcard_ide_cf/wf_mfp68901_ip/wf68901ip_usart_rx.vhd - Info: Found design unit 1: WF68901IP_USART_RX-BEHAVIOR - Info: Found entity 1: WF68901IP_USART_RX -Info: Found 2 design units, including 1 entities, in source file falconio_sdcard_ide_cf/wf_mfp68901_ip/wf68901ip_usart_top.vhd - Info: Found design unit 1: WF68901IP_USART_TOP-STRUCTURE - Info: Found entity 1: WF68901IP_USART_TOP -Info: Found 2 design units, including 1 entities, in source file falconio_sdcard_ide_cf/wf_mfp68901_ip/wf68901ip_usart_tx.vhd - Info: Found design unit 1: WF68901IP_USART_TX-BEHAVIOR - Info: Found entity 1: WF68901IP_USART_TX -Info: Found 1 design units, including 0 entities, in source file falconio_sdcard_ide_cf/wf_snd2149_ip/wf2149ip_pkg.vhd - Info: Found design unit 1: WF2149IP_PKG -Info: Found 2 design units, including 1 entities, in source file falconio_sdcard_ide_cf/wf_snd2149_ip/wf2149ip_top.vhd - Info: Found design unit 1: WF2149IP_TOP-STRUCTURE - Info: Found entity 1: WF2149IP_TOP -Info: Found 2 design units, including 1 entities, in source file falconio_sdcard_ide_cf/wf_snd2149_ip/wf2149ip_top_soc.vhd - Info: Found design unit 1: WF2149IP_TOP_SOC-STRUCTURE - Info: Found entity 1: WF2149IP_TOP_SOC -Info: Found 2 design units, including 1 entities, in source file falconio_sdcard_ide_cf/wf_snd2149_ip/wf2149ip_wave.vhd - Info: Found design unit 1: WF2149IP_WAVE-BEHAVIOR - Info: Found entity 1: WF2149IP_WAVE -Info: Found 2 design units, including 1 entities, in source file lpm_latch0.vhd - Info: Found design unit 1: lpm_latch0-SYN - Info: Found entity 1: lpm_latch0 -Info: Found 2 design units, including 1 entities, in source file altpll1.vhd - Info: Found design unit 1: altpll1-SYN - Info: Found entity 1: altpll1 -Info: Found 2 design units, including 1 entities, in source file video/lpm_fifodz.vhd - Info: Found design unit 1: lpm_fifodz-SYN - Info: Found entity 1: lpm_fifoDZ -Info: Found 2 design units, including 1 entities, in source file altpll2.vhd - Info: Found design unit 1: altpll2-SYN - Info: Found entity 1: altpll2 -Info: Found 2 design units, including 1 entities, in source file altpll3.vhd - Info: Found design unit 1: altpll3-SYN - Info: Found entity 1: altpll3 -Info: Found 2 design units, including 1 entities, in source file video/altdpram0.vhd - Info: Found design unit 1: altdpram0-SYN - Info: Found entity 1: altdpram0 -Info: Found 2 design units, including 1 entities, in source file video/lpm_muxdz2.vhd - Info: Found design unit 1: lpm_muxdz2-SYN - Info: Found entity 1: lpm_muxDZ2 -Info: Found 2 design units, including 1 entities, in source file video/lpm_muxdz.vhd - Info: Found design unit 1: lpm_muxdz-SYN - Info: Found entity 1: lpm_muxDZ -Info: Found 2 design units, including 1 entities, in source file video/lpm_bustri3.vhd - Info: Found design unit 1: lpm_bustri3-SYN - Info: Found entity 1: lpm_bustri3 -Info: Found 2 design units, including 1 entities, in source file video/lpm_ff0.vhd - Info: Found design unit 1: lpm_ff0-SYN - Info: Found entity 1: lpm_ff0 -Info: Found 2 design units, including 1 entities, in source file video/lpm_ff1.vhd - Info: Found design unit 1: lpm_ff1-SYN - Info: Found entity 1: lpm_ff1 -Info: Found 2 design units, including 1 entities, in source file video/lpm_ff3.vhd - Info: Found design unit 1: lpm_ff3-SYN - Info: Found entity 1: lpm_ff3 -Info: Found 1 design units, including 1 entities, in source file video/video_mod_mux_clutctr.tdf - Info: Found entity 1: VIDEO_MOD_MUX_CLUTCTR -Info: Found 2 design units, including 1 entities, in source file video/lpm_ff2.vhd - Info: Found design unit 1: lpm_ff2-SYN - Info: Found entity 1: lpm_ff2 -Info: Found 2 design units, including 1 entities, in source file video/lpm_fifo_dc0.vhd - Info: Found design unit 1: lpm_fifo_dc0-SYN - Info: Found entity 1: lpm_fifo_dc0 -Info: Found 1 design units, including 1 entities, in source file video/video.bdf - Info: Found entity 1: Video -Info: Found 1 design units, including 1 entities, in source file firebee1.bdf - Info: Found entity 1: firebee1 -Info: Found 2 design units, including 1 entities, in source file altpll0.vhd - Info: Found design unit 1: altpll0-SYN - Info: Found entity 1: altpll0 -Info: Found 2 design units, including 1 entities, in source file lpm_counter0.vhd - Info: Found design unit 1: lpm_counter0-SYN - Info: Found entity 1: lpm_counter0 -Info: Found 2 design units, including 1 entities, in source file falconio_sdcard_ide_cf/falconio_sdcard_ide_cf.vhd - Info: Found design unit 1: FalconIO_SDCard_IDE_CF-FalconIO_SDCard_IDE_CF_architecture - Info: Found entity 1: FalconIO_SDCard_IDE_CF -Info: Found 2 design units, including 1 entities, in source file dsp/dsp.vhd - Info: Found design unit 1: DSP-DSP_architecture - Info: Found entity 1: DSP -Info: Found 2 design units, including 1 entities, in source file video/lpm_shiftreg0.vhd - Info: Found design unit 1: lpm_shiftreg0-SYN - Info: Found entity 1: lpm_shiftreg0 -Info: Found 2 design units, including 1 entities, in source file video/lpm_bustri1.vhd - Info: Found design unit 1: lpm_bustri1-SYN - Info: Found entity 1: lpm_bustri1 -Info: Found 2 design units, including 1 entities, in source file video/altdpram1.vhd - Info: Found design unit 1: altdpram1-SYN - Info: Found entity 1: altdpram1 -Info: Found 2 design units, including 1 entities, in source file video/lpm_bustri2.vhd - Info: Found design unit 1: lpm_bustri2-SYN - Info: Found entity 1: lpm_bustri2 -Info: Found 2 design units, including 1 entities, in source file video/lpm_bustri4.vhd - Info: Found design unit 1: lpm_bustri4-SYN - Info: Found entity 1: lpm_bustri4 -Info: Found 2 design units, including 1 entities, in source file video/lpm_constant0.vhd - Info: Found design unit 1: lpm_constant0-SYN - Info: Found entity 1: lpm_constant0 -Info: Found 2 design units, including 1 entities, in source file video/lpm_constant1.vhd - Info: Found design unit 1: lpm_constant1-SYN - Info: Found entity 1: lpm_constant1 -Info: Found 2 design units, including 1 entities, in source file video/lpm_mux0.vhd - Info: Found design unit 1: lpm_mux0-SYN - Info: Found entity 1: lpm_mux0 -Info: Found 2 design units, including 1 entities, in source file video/lpm_mux1.vhd - Info: Found design unit 1: lpm_mux1-SYN - Info: Found entity 1: lpm_mux1 -Info: Found 2 design units, including 1 entities, in source file video/lpm_mux2.vhd - Info: Found design unit 1: lpm_mux2-SYN - Info: Found entity 1: lpm_mux2 -Info: Found 2 design units, including 1 entities, in source file video/lpm_constant2.vhd - Info: Found design unit 1: lpm_constant2-SYN - Info: Found entity 1: lpm_constant2 -Info: Found 2 design units, including 1 entities, in source file video/altdpram2.vhd - Info: Found design unit 1: altdpram2-SYN - Info: Found entity 1: altdpram2 -Info: Found 2 design units, including 1 entities, in source file video/lpm_bustri6.vhd - Info: Found design unit 1: lpm_bustri6-SYN - Info: Found entity 1: lpm_bustri6 -Info: Found 2 design units, including 1 entities, in source file video/lpm_mux3.vhd - Info: Found design unit 1: lpm_mux3-SYN - Info: Found entity 1: lpm_mux3 -Info: Found 2 design units, including 1 entities, in source file video/lpm_mux4.vhd - Info: Found design unit 1: lpm_mux4-SYN - Info: Found entity 1: lpm_mux4 -Info: Found 2 design units, including 1 entities, in source file video/lpm_constant3.vhd - Info: Found design unit 1: lpm_constant3-SYN - Info: Found entity 1: lpm_constant3 -Info: Found 2 design units, including 1 entities, in source file video/lpm_shiftreg1.vhd - Info: Found design unit 1: lpm_shiftreg1-SYN - Info: Found entity 1: lpm_shiftreg1 -Info: Found 2 design units, including 1 entities, in source file video/lpm_latch1.vhd - Info: Found design unit 1: lpm_latch1-SYN - Info: Found entity 1: lpm_latch1 -Info: Found 2 design units, including 1 entities, in source file video/lpm_constant4.vhd - Info: Found design unit 1: lpm_constant4-SYN - Info: Found entity 1: lpm_constant4 -Info: Found 2 design units, including 1 entities, in source file video/lpm_shiftreg2.vhd - Info: Found design unit 1: lpm_shiftreg2-SYN - Info: Found entity 1: lpm_shiftreg2 -Info: Found 2 design units, including 1 entities, in source file video/lpm_compare1.vhd - Info: Found design unit 1: lpm_compare1-SYN - Info: Found entity 1: lpm_compare1 -Info: Found 1 design units, including 1 entities, in source file interrupt_handler/interrupt_handler.tdf - Info: Found entity 1: interrupt_handler -Info: Found 2 design units, including 1 entities, in source file lpm_bustri_long.vhd - Info: Found design unit 1: lpm_bustri_long-SYN - Info: Found entity 1: lpm_bustri_LONG -Info: Found 2 design units, including 1 entities, in source file lpm_bustri_byt.vhd - Info: Found design unit 1: lpm_bustri_byt-SYN - Info: Found entity 1: lpm_bustri_BYT -Info: Found 2 design units, including 1 entities, in source file lpm_bustri_word.vhd - Info: Found design unit 1: lpm_bustri_word-SYN - Info: Found entity 1: lpm_bustri_WORD -Info: Found 2 design units, including 1 entities, in source file video/lpm_ff4.vhd - Info: Found design unit 1: lpm_ff4-SYN - Info: Found entity 1: lpm_ff4 -Info: Found 2 design units, including 1 entities, in source file video/lpm_ff5.vhd - Info: Found design unit 1: lpm_ff5-SYN - Info: Found entity 1: lpm_ff5 -Info: Found 2 design units, including 1 entities, in source file video/lpm_ff6.vhd - Info: Found design unit 1: lpm_ff6-SYN - Info: Found entity 1: lpm_ff6 -Info: Found 2 design units, including 1 entities, in source file video/lpm_shiftreg3.vhd - Info: Found design unit 1: lpm_shiftreg3-SYN - Info: Found entity 1: lpm_shiftreg3 -Info: Found 2 design units, including 1 entities, in source file video/altddio_bidir0.vhd - Info: Found design unit 1: altddio_bidir0-SYN - Info: Found entity 1: altddio_bidir0 -Info: Found 2 design units, including 1 entities, in source file video/altddio_out0.vhd - Info: Found design unit 1: altddio_out0-SYN - Info: Found entity 1: altddio_out0 -Info: Found 2 design units, including 1 entities, in source file video/lpm_mux5.vhd - Info: Found design unit 1: lpm_mux5-SYN - Info: Found entity 1: lpm_mux5 -Info: Found 2 design units, including 1 entities, in source file video/blitter/blitter.vhd - Info: Found design unit 1: BLITTER-BLITTER_architecture - Info: Found entity 1: BLITTER -Info: Found 2 design units, including 1 entities, in source file video/lpm_shiftreg5.vhd - Info: Found design unit 1: lpm_shiftreg5-SYN - Info: Found entity 1: lpm_shiftreg5 -Info: Found 2 design units, including 1 entities, in source file video/lpm_shiftreg6.vhd - Info: Found design unit 1: lpm_shiftreg6-SYN - Info: Found entity 1: lpm_shiftreg6 -Info: Found 2 design units, including 1 entities, in source file video/lpm_shiftreg4.vhd - Info: Found design unit 1: lpm_shiftreg4-SYN - Info: Found entity 1: lpm_shiftreg4 -Info: Found 2 design units, including 1 entities, in source file video/altddio_out1.vhd - Info: Found design unit 1: altddio_out1-SYN - Info: Found entity 1: altddio_out1 -Info: Found 2 design units, including 1 entities, in source file video/altddio_out2.vhd - Info: Found design unit 1: altddio_out2-SYN - Info: Found entity 1: altddio_out2 -Info: Found 2 design units, including 1 entities, in source file altddio_out3.vhd - Info: Found design unit 1: altddio_out3-SYN - Info: Found entity 1: altddio_out3 -Info: Found 2 design units, including 1 entities, in source file video/lpm_mux6.vhd - Info: Found design unit 1: lpm_mux6-SYN - Info: Found entity 1: lpm_mux6 -Info: Found 1 design units, including 0 entities, in source file falconio_sdcard_ide_cf/falconio_sdcard_ide_cf_pgk.vhd - Info: Found design unit 1: FalconIO_SDCard_IDE_CF_PKG -Info: Found 2 design units, including 1 entities, in source file falconio_sdcard_ide_cf/dcfifo1.vhd - Info: Found design unit 1: dcfifo1-SYN - Info: Found entity 1: dcfifo1 -Info: Found 2 design units, including 1 entities, in source file video/lpm_muxvdm.vhd - Info: Found design unit 1: lpm_muxvdm-SYN - Info: Found entity 1: lpm_muxVDM -Info: Elaborating entity "firebee1" for the top level hierarchy -Warning: Pin "TOUT0" not connected -Warning: Pin "nMASTER" not connected -Info: Elaborating entity "altpll1" for hierarchy "altpll1:inst" -Info: Elaborating entity "altpll" for hierarchy "altpll1:inst|altpll:altpll_component" -Info: Elaborated megafunction instantiation "altpll1:inst|altpll:altpll_component" -Info: Instantiated megafunction "altpll1:inst|altpll:altpll_component" with the following parameter: - Info: Parameter "bandwidth_type" = "AUTO" - Info: Parameter "clk0_divide_by" = "66" - Info: Parameter "clk0_duty_cycle" = "50" - Info: Parameter "clk0_multiply_by" = "1" - Info: Parameter "clk0_phase_shift" = "0" - Info: Parameter "clk1_divide_by" = "900" - Info: Parameter "clk1_duty_cycle" = "50" - Info: Parameter "clk1_multiply_by" = "67" - Info: Parameter "clk1_phase_shift" = "0" - Info: Parameter "clk2_divide_by" = "90" - Info: Parameter "clk2_duty_cycle" = "50" - Info: Parameter "clk2_multiply_by" = "67" - Info: Parameter "clk2_phase_shift" = "0" - Info: Parameter "compensate_clock" = "CLK0" - Info: Parameter "inclk0_input_frequency" = "30303" - Info: Parameter "intended_device_family" = "Cyclone III" - Info: Parameter "lpm_type" = "altpll" - Info: Parameter "operation_mode" = "SOURCE_SYNCHRONOUS" - Info: Parameter "pll_type" = "AUTO" - Info: Parameter "port_activeclock" = "PORT_UNUSED" - Info: Parameter "port_areset" = "PORT_UNUSED" - Info: Parameter "port_clkbad0" = "PORT_UNUSED" - Info: Parameter "port_clkbad1" = "PORT_UNUSED" - Info: Parameter "port_clkloss" = "PORT_UNUSED" - Info: Parameter "port_clkswitch" = "PORT_UNUSED" - Info: Parameter "port_configupdate" = "PORT_UNUSED" - Info: Parameter "port_fbin" = "PORT_UNUSED" - Info: Parameter "port_inclk0" = "PORT_USED" - Info: Parameter "port_inclk1" = "PORT_UNUSED" - Info: Parameter "port_locked" = "PORT_USED" - Info: Parameter "port_pfdena" = "PORT_UNUSED" - Info: Parameter "port_phasecounterselect" = "PORT_UNUSED" - Info: Parameter "port_phasedone" = "PORT_UNUSED" - Info: Parameter "port_phasestep" = "PORT_UNUSED" - Info: Parameter "port_phaseupdown" = "PORT_UNUSED" - Info: Parameter "port_pllena" = "PORT_UNUSED" - Info: Parameter "port_scanaclr" = "PORT_UNUSED" - Info: Parameter "port_scanclk" = "PORT_UNUSED" - Info: Parameter "port_scanclkena" = "PORT_UNUSED" - Info: Parameter "port_scandata" = "PORT_UNUSED" - Info: Parameter "port_scandataout" = "PORT_UNUSED" - Info: Parameter "port_scandone" = "PORT_UNUSED" - Info: Parameter "port_scanread" = "PORT_UNUSED" - Info: Parameter "port_scanwrite" = "PORT_UNUSED" - Info: Parameter "port_clk0" = "PORT_USED" - Info: Parameter "port_clk1" = "PORT_USED" - Info: Parameter "port_clk2" = "PORT_USED" - Info: Parameter "port_clk3" = "PORT_UNUSED" - Info: Parameter "port_clk4" = "PORT_UNUSED" - Info: Parameter "port_clk5" = "PORT_UNUSED" - Info: Parameter "port_clkena0" = "PORT_UNUSED" - Info: Parameter "port_clkena1" = "PORT_UNUSED" - Info: Parameter "port_clkena2" = "PORT_UNUSED" - Info: Parameter "port_clkena3" = "PORT_UNUSED" - Info: Parameter "port_clkena4" = "PORT_UNUSED" - Info: Parameter "port_clkena5" = "PORT_UNUSED" - Info: Parameter "port_extclk0" = "PORT_UNUSED" - Info: Parameter "port_extclk1" = "PORT_UNUSED" - Info: Parameter "port_extclk2" = "PORT_UNUSED" - Info: Parameter "port_extclk3" = "PORT_UNUSED" - Info: Parameter "self_reset_on_loss_lock" = "OFF" - Info: Parameter "width_clock" = "5" -Info: Found 1 design units, including 1 entities, in source file db/altpll_pul2.tdf - Info: Found entity 1: altpll_pul2 -Info: Elaborating entity "altpll_pul2" for hierarchy "altpll1:inst|altpll:altpll_component|altpll_pul2:auto_generated" -Info: Elaborating entity "FalconIO_SDCard_IDE_CF" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden" -Warning (10036): Verilog HDL or VHDL warning at FalconIO_SDCard_IDE_CF.vhd(244): object "SCSI_CSn" assigned a value but never read -Warning (10492): VHDL Process Statement warning at FalconIO_SDCard_IDE_CF.vhd(303): signal "nIDE_RD" is read inside the Process Statement but isn't in the Process Statement's sensitivity list -Warning (10492): VHDL Process Statement warning at FalconIO_SDCard_IDE_CF.vhd(304): signal "nIDE_WR" is read inside the Process Statement but isn't in the Process Statement's sensitivity list -Warning (10492): VHDL Process Statement warning at FalconIO_SDCard_IDE_CF.vhd(313): signal "IDE_CF_CS" is read inside the Process Statement but isn't in the Process Statement's sensitivity list -Warning (10492): VHDL Process Statement warning at FalconIO_SDCard_IDE_CF.vhd(314): signal "nFB_WR" is read inside the Process Statement but isn't in the Process Statement's sensitivity list -Warning (10492): VHDL Process Statement warning at FalconIO_SDCard_IDE_CF.vhd(315): signal "nFB_WR" is read inside the Process Statement but isn't in the Process Statement's sensitivity list -Warning (10492): VHDL Process Statement warning at FalconIO_SDCard_IDE_CF.vhd(324): signal "nFB_WR" is read inside the Process Statement but isn't in the Process Statement's sensitivity list -Warning (10492): VHDL Process Statement warning at FalconIO_SDCard_IDE_CF.vhd(325): signal "nFB_WR" is read inside the Process Statement but isn't in the Process Statement's sensitivity list -Warning (10492): VHDL Process Statement warning at FalconIO_SDCard_IDE_CF.vhd(335): signal "nFB_WR" is read inside the Process Statement but isn't in the Process Statement's sensitivity list -Warning (10492): VHDL Process Statement warning at FalconIO_SDCard_IDE_CF.vhd(336): signal "nFB_WR" is read inside the Process Statement but isn't in the Process Statement's sensitivity list -Critical Warning (10920): VHDL Incomplete Partial Association warning at FalconIO_SDCard_IDE_CF.vhd(928): port or argument "IO_A_OUT" has 1/8 unassociated elements -Info: Elaborating entity "dcfifo0" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF" -Info: Elaborating entity "dcfifo_mixed_widths" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component" -Info: Elaborated megafunction instantiation "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component" -Info: Instantiated megafunction "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component" with the following parameter: - Info: Parameter "intended_device_family" = "Cyclone III" - Info: Parameter "lpm_numwords" = "1024" - Info: Parameter "lpm_showahead" = "OFF" - Info: Parameter "lpm_type" = "dcfifo" - Info: Parameter "lpm_width" = "8" - Info: Parameter "lpm_widthu" = "10" - Info: Parameter "lpm_widthu_r" = "8" - Info: Parameter "lpm_width_r" = "32" - Info: Parameter "overflow_checking" = "ON" - Info: Parameter "rdsync_delaypipe" = "5" - Info: Parameter "underflow_checking" = "ON" - Info: Parameter "use_eab" = "ON" - Info: Parameter "write_aclr_synch" = "OFF" - Info: Parameter "wrsync_delaypipe" = "5" -Info: Found 1 design units, including 1 entities, in source file db/dcfifo_0hh1.tdf - Info: Found entity 1: dcfifo_0hh1 -Info: Elaborating entity "dcfifo_0hh1" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated" -Info: Found 1 design units, including 1 entities, in source file db/a_gray2bin_lfb.tdf - Info: Found entity 1: a_gray2bin_lfb -Info: Elaborating entity "a_gray2bin_lfb" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_gray2bin_lfb:wrptr_g_gray2bin" -Info: Found 1 design units, including 1 entities, in source file db/a_graycounter_k47.tdf - Info: Found entity 1: a_graycounter_k47 -Info: Elaborating entity "a_graycounter_k47" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_graycounter_k47:rdptr_g1p" -Info: Found 1 design units, including 1 entities, in source file db/a_graycounter_fic.tdf - Info: Found entity 1: a_graycounter_fic -Info: Elaborating entity "a_graycounter_fic" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_graycounter_fic:wrptr_g1p" -Info: Found 1 design units, including 1 entities, in source file db/altsyncram_bi31.tdf - Info: Found entity 1: altsyncram_bi31 -Info: Elaborating entity "altsyncram_bi31" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|altsyncram_bi31:fifo_ram" -Info: Found 1 design units, including 1 entities, in source file db/alt_synch_pipe_ikd.tdf - Info: Found entity 1: alt_synch_pipe_ikd -Info: Elaborating entity "alt_synch_pipe_ikd" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|alt_synch_pipe_ikd:rs_dgwp" -Info: Found 1 design units, including 1 entities, in source file db/dffpipe_hd9.tdf - Info: Found entity 1: dffpipe_hd9 -Info: Elaborating entity "dffpipe_hd9" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|alt_synch_pipe_ikd:rs_dgwp|dffpipe_hd9:dffpipe12" -Info: Found 1 design units, including 1 entities, in source file db/dffpipe_gd9.tdf - Info: Found entity 1: dffpipe_gd9 -Info: Elaborating entity "dffpipe_gd9" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|dffpipe_gd9:ws_brp" -Info: Found 1 design units, including 1 entities, in source file db/dffpipe_pe9.tdf - Info: Found entity 1: dffpipe_pe9 -Info: Elaborating entity "dffpipe_pe9" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|dffpipe_pe9:ws_bwp" -Info: Found 1 design units, including 1 entities, in source file db/alt_synch_pipe_jkd.tdf - Info: Found entity 1: alt_synch_pipe_jkd -Info: Elaborating entity "alt_synch_pipe_jkd" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|alt_synch_pipe_jkd:ws_dgrp" -Info: Found 1 design units, including 1 entities, in source file db/dffpipe_id9.tdf - Info: Found entity 1: dffpipe_id9 -Info: Elaborating entity "dffpipe_id9" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|alt_synch_pipe_jkd:ws_dgrp|dffpipe_id9:dffpipe17" -Info: Found 1 design units, including 1 entities, in source file db/cmpr_256.tdf - Info: Found entity 1: cmpr_256 -Info: Elaborating entity "cmpr_256" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|cmpr_256:rdempty_eq_comp1_lsb" -Info: Found 1 design units, including 1 entities, in source file db/cmpr_156.tdf - Info: Found entity 1: cmpr_156 -Info: Elaborating entity "cmpr_156" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|cmpr_156:rdempty_eq_comp1_msb" -Info: Found 1 design units, including 1 entities, in source file db/cntr_t2e.tdf - Info: Found entity 1: cntr_t2e -Info: Elaborating entity "cntr_t2e" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|cntr_t2e:cntr_b" -Info: Found 1 design units, including 1 entities, in source file db/mux_a18.tdf - Info: Found entity 1: mux_a18 -Info: Elaborating entity "mux_a18" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|mux_a18:rdemp_eq_comp_lsb_mux" -Info: Elaborating entity "dcfifo1" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF" -Info: Elaborating entity "dcfifo_mixed_widths" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component" -Info: Elaborated megafunction instantiation "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component" -Info: Instantiated megafunction "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component" with the following parameter: - Info: Parameter "intended_device_family" = "Cyclone III" - Info: Parameter "lpm_numwords" = "256" - Info: Parameter "lpm_showahead" = "OFF" - Info: Parameter "lpm_type" = "dcfifo" - Info: Parameter "lpm_width" = "32" - Info: Parameter "lpm_widthu" = "8" - Info: Parameter "lpm_widthu_r" = "10" - Info: Parameter "lpm_width_r" = "8" - Info: Parameter "overflow_checking" = "ON" - Info: Parameter "rdsync_delaypipe" = "5" - Info: Parameter "underflow_checking" = "ON" - Info: Parameter "use_eab" = "ON" - Info: Parameter "write_aclr_synch" = "OFF" - Info: Parameter "wrsync_delaypipe" = "5" -Info: Found 1 design units, including 1 entities, in source file db/dcfifo_3fh1.tdf - Info: Found entity 1: dcfifo_3fh1 -Info: Elaborating entity "dcfifo_3fh1" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated" -Info: Found 1 design units, including 1 entities, in source file db/a_graycounter_j47.tdf - Info: Found entity 1: a_graycounter_j47 -Info: Elaborating entity "a_graycounter_j47" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_graycounter_j47:rdptr_g1p" -Info: Found 1 design units, including 1 entities, in source file db/a_graycounter_gic.tdf - Info: Found entity 1: a_graycounter_gic -Info: Elaborating entity "a_graycounter_gic" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_graycounter_gic:wrptr_g1p" -Info: Found 1 design units, including 1 entities, in source file db/altsyncram_ci31.tdf - Info: Found entity 1: altsyncram_ci31 -Info: Elaborating entity "altsyncram_ci31" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram" -Info: Found 1 design units, including 1 entities, in source file db/alt_synch_pipe_kkd.tdf - Info: Found entity 1: alt_synch_pipe_kkd -Info: Elaborating entity "alt_synch_pipe_kkd" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|alt_synch_pipe_kkd:rs_dgwp" -Info: Found 1 design units, including 1 entities, in source file db/dffpipe_jd9.tdf - Info: Found entity 1: dffpipe_jd9 -Info: Elaborating entity "dffpipe_jd9" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|alt_synch_pipe_kkd:rs_dgwp|dffpipe_jd9:dffpipe12" -Info: Found 1 design units, including 1 entities, in source file db/alt_synch_pipe_lkd.tdf - Info: Found entity 1: alt_synch_pipe_lkd -Info: Elaborating entity "alt_synch_pipe_lkd" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|alt_synch_pipe_lkd:ws_dgrp" -Info: Found 1 design units, including 1 entities, in source file db/dffpipe_kd9.tdf - Info: Found entity 1: dffpipe_kd9 -Info: Elaborating entity "dffpipe_kd9" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|alt_synch_pipe_lkd:ws_dgrp|dffpipe_kd9:dffpipe15" -Info: Elaborating entity "WF1772IP_TOP_SOC" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC" -Info: Elaborating entity "WF1772IP_CONTROL" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL" -Info: Elaborating entity "WF1772IP_REGISTERS" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS" -Info: Elaborating entity "WF1772IP_DIGITAL_PLL" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL" -Info: Elaborating entity "WF1772IP_AM_DETECTOR" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_AM_DETECTOR:I_AM_DETECTOR" -Info: Elaborating entity "WF1772IP_CRC_LOGIC" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CRC_LOGIC:I_CRC_LOGIC" -Info: Elaborating entity "WF1772IP_TRANSCEIVER" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER" -Info: Elaborating entity "WF5380_TOP_SOC" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI" -Info: Elaborating entity "WF5380_REGISTERS" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS" -Info: Elaborating entity "WF5380_CONTROL" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL" -Info: Elaborating entity "WF6850IP_TOP_SOC" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD" -Info: Elaborating entity "WF6850IP_CTRL_STATUS" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS" -Info: Elaborating entity "WF6850IP_RECEIVE" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE" -Info: Elaborating entity "WF6850IP_TRANSMIT" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT" -Info: Elaborating entity "WF68901IP_TOP_SOC" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP" -Info: Elaborating entity "WF68901IP_USART_TOP" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART" -Info: Elaborating entity "WF68901IP_USART_CTRL" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL" -Info: Elaborating entity "WF68901IP_USART_RX" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE" -Info: Elaborating entity "WF68901IP_USART_TX" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT" -Info: Elaborating entity "WF68901IP_INTERRUPTS" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS" -Info: Elaborating entity "WF68901IP_GPIO" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO" -Info: Elaborating entity "WF68901IP_TIMERS" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS" -Info: Elaborating entity "WF2149IP_TOP_SOC" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND" -Info: Elaborating entity "WF2149IP_WAVE" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE" -Info: Elaborating entity "altpll3" for hierarchy "altpll3:inst13" -Info: Elaborating entity "altpll" for hierarchy "altpll3:inst13|altpll:altpll_component" -Info: Elaborated megafunction instantiation "altpll3:inst13|altpll:altpll_component" -Info: Instantiated megafunction "altpll3:inst13|altpll:altpll_component" with the following parameter: - Info: Parameter "bandwidth_type" = "AUTO" - Info: Parameter "clk0_divide_by" = "33" - Info: Parameter "clk0_duty_cycle" = "50" - Info: Parameter "clk0_multiply_by" = "2" - Info: Parameter "clk0_phase_shift" = "0" - Info: Parameter "clk1_divide_by" = "33" - Info: Parameter "clk1_duty_cycle" = "50" - Info: Parameter "clk1_multiply_by" = "16" - Info: Parameter "clk1_phase_shift" = "0" - Info: Parameter "clk2_divide_by" = "33" - Info: Parameter "clk2_duty_cycle" = "50" - Info: Parameter "clk2_multiply_by" = "25" - Info: Parameter "clk2_phase_shift" = "0" - Info: Parameter "clk3_divide_by" = "11" - Info: Parameter "clk3_duty_cycle" = "50" - Info: Parameter "clk3_multiply_by" = "16" - Info: Parameter "clk3_phase_shift" = "0" - Info: Parameter "compensate_clock" = "CLK1" - Info: Parameter "inclk0_input_frequency" = "30303" - Info: Parameter "intended_device_family" = "Cyclone III" - Info: Parameter "lpm_type" = "altpll" - Info: Parameter "operation_mode" = "SOURCE_SYNCHRONOUS" - Info: Parameter "pll_type" = "AUTO" - Info: Parameter "port_activeclock" = "PORT_UNUSED" - Info: Parameter "port_areset" = "PORT_UNUSED" - Info: Parameter "port_clkbad0" = "PORT_UNUSED" - Info: Parameter "port_clkbad1" = "PORT_UNUSED" - Info: Parameter "port_clkloss" = "PORT_UNUSED" - Info: Parameter "port_clkswitch" = "PORT_UNUSED" - Info: Parameter "port_configupdate" = "PORT_UNUSED" - Info: Parameter "port_fbin" = "PORT_UNUSED" - Info: Parameter "port_inclk0" = "PORT_USED" - Info: Parameter "port_inclk1" = "PORT_UNUSED" - Info: Parameter "port_locked" = "PORT_UNUSED" - Info: Parameter "port_pfdena" = "PORT_UNUSED" - Info: Parameter "port_phasecounterselect" = "PORT_UNUSED" - Info: Parameter "port_phasedone" = "PORT_UNUSED" - Info: Parameter "port_phasestep" = "PORT_UNUSED" - Info: Parameter "port_phaseupdown" = "PORT_UNUSED" - Info: Parameter "port_pllena" = "PORT_UNUSED" - Info: Parameter "port_scanaclr" = "PORT_UNUSED" - Info: Parameter "port_scanclk" = "PORT_UNUSED" - Info: Parameter "port_scanclkena" = "PORT_UNUSED" - Info: Parameter "port_scandata" = "PORT_UNUSED" - Info: Parameter "port_scandataout" = "PORT_UNUSED" - Info: Parameter "port_scandone" = "PORT_UNUSED" - Info: Parameter "port_scanread" = "PORT_UNUSED" - Info: Parameter "port_scanwrite" = "PORT_UNUSED" - Info: Parameter "port_clk0" = "PORT_USED" - Info: Parameter "port_clk1" = "PORT_USED" - Info: Parameter "port_clk2" = "PORT_USED" - Info: Parameter "port_clk3" = "PORT_USED" - Info: Parameter "port_clk4" = "PORT_UNUSED" - Info: Parameter "port_clk5" = "PORT_UNUSED" - Info: Parameter "port_clkena0" = "PORT_UNUSED" - Info: Parameter "port_clkena1" = "PORT_UNUSED" - Info: Parameter "port_clkena2" = "PORT_UNUSED" - Info: Parameter "port_clkena3" = "PORT_UNUSED" - Info: Parameter "port_clkena4" = "PORT_UNUSED" - Info: Parameter "port_clkena5" = "PORT_UNUSED" - Info: Parameter "port_extclk0" = "PORT_UNUSED" - Info: Parameter "port_extclk1" = "PORT_UNUSED" - Info: Parameter "port_extclk2" = "PORT_UNUSED" - Info: Parameter "port_extclk3" = "PORT_UNUSED" - Info: Parameter "width_clock" = "5" -Info: Found 1 design units, including 1 entities, in source file db/altpll_41p2.tdf - Info: Found entity 1: altpll_41p2 -Info: Elaborating entity "altpll_41p2" for hierarchy "altpll3:inst13|altpll:altpll_component|altpll_41p2:auto_generated" -Info: Elaborating entity "Video" for hierarchy "Video:Fredi_Aschwanden" -Warning: INPUTC, OUTPUTC and BIDIRC pins not supported for pin "FB_ADR[31..0]" -Warning: INPUTC, OUTPUTC and BIDIRC pins not supported for pin "MAIN_CLK" -Warning: INPUTC, OUTPUTC and BIDIRC pins not supported for pin "nFB_CS1" -Warning: INPUTC, OUTPUTC and BIDIRC pins not supported for pin "nFB_CS2" -Warning: INPUTC, OUTPUTC and BIDIRC pins not supported for pin "nFB_CS3" -Warning: INPUTC, OUTPUTC and BIDIRC pins not supported for pin "nFB_WR" -Warning: INPUTC, OUTPUTC and BIDIRC pins not supported for pin "FB_SIZE0" -Warning: INPUTC, OUTPUTC and BIDIRC pins not supported for pin "FB_SIZE1" -Warning: INPUTC, OUTPUTC and BIDIRC pins not supported for pin "nRSTO" -Warning: INPUTC, OUTPUTC and BIDIRC pins not supported for pin "nFB_OE" -Warning: INPUTC, OUTPUTC and BIDIRC pins not supported for pin "FB_ALE" -Warning: INPUTC, OUTPUTC and BIDIRC pins not supported for pin "DDRCLK[3..0]" -Warning: INPUTC, OUTPUTC and BIDIRC pins not supported for pin "DDR_SYNC_66M" -Warning: INPUTC, OUTPUTC and BIDIRC pins not supported for pin "CLK33M" -Warning: INPUTC, OUTPUTC and BIDIRC pins not supported for pin "CLK25M" -Warning: INPUTC, OUTPUTC and BIDIRC pins not supported for pin "CLK_VIDEO" -Warning: INPUTC, OUTPUTC and BIDIRC pins not supported for pin "VR_D[8..0]" -Warning: INPUTC, OUTPUTC and BIDIRC pins not supported for pin "VR_BUSY" -Warning: INPUTC, OUTPUTC and BIDIRC pins not supported for pin "VG[7..0]" -Warning: INPUTC, OUTPUTC and BIDIRC pins not supported for pin "VB[7..0]" -Warning: INPUTC, OUTPUTC and BIDIRC pins not supported for pin "VR[7..0]" -Warning: INPUTC, OUTPUTC and BIDIRC pins not supported for pin "nBLANK" -Warning: INPUTC, OUTPUTC and BIDIRC pins not supported for pin "VA[12..0]" -Warning: INPUTC, OUTPUTC and BIDIRC pins not supported for pin "nVWE" -Warning: INPUTC, OUTPUTC and BIDIRC pins not supported for pin "nVCAS" -Warning: INPUTC, OUTPUTC and BIDIRC pins not supported for pin "nVRAS" -Warning: INPUTC, OUTPUTC and BIDIRC pins not supported for pin "nVCS" -Warning: INPUTC, OUTPUTC and BIDIRC pins not supported for pin "VDM[3..0]" -Warning: INPUTC, OUTPUTC and BIDIRC pins not supported for pin "nPD_VGA" -Warning: INPUTC, OUTPUTC and BIDIRC pins not supported for pin "VCKE" -Warning: INPUTC, OUTPUTC and BIDIRC pins not supported for pin "VSYNC" -Warning: INPUTC, OUTPUTC and BIDIRC pins not supported for pin "HSYNC" -Warning: INPUTC, OUTPUTC and BIDIRC pins not supported for pin "nSYNC" -Warning: INPUTC, OUTPUTC and BIDIRC pins not supported for pin "VIDEO_TA" -Warning: INPUTC, OUTPUTC and BIDIRC pins not supported for pin "PIXEL_CLK" -Warning: INPUTC, OUTPUTC and BIDIRC pins not supported for pin "BA[1..0]" -Warning: INPUTC, OUTPUTC and BIDIRC pins not supported for pin "VIDEO_RECONFIG" -Warning: INPUTC, OUTPUTC and BIDIRC pins not supported for pin "VR_WR" -Warning: INPUTC, OUTPUTC and BIDIRC pins not supported for pin "VR_RD" -Warning: INPUTC, OUTPUTC and BIDIRC pins not supported for pin "VDQS[3..0]" -Warning: INPUTC, OUTPUTC and BIDIRC pins not supported for pin "FB_AD[31..0]" -Warning: INPUTC, OUTPUTC and BIDIRC pins not supported for pin "VD[31..0]" -Info: Elaborating entity "VIDEO_MOD_MUX_CLUTCTR" for hierarchy "Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR" -Warning: Variable or input pin "nRSTO" is defined but never used -Warning: Variable or input pin "nFB_CS3" is defined but never used -Warning: Variable or input pin "nFB_BURST" is defined but never used -Info: Elaborating entity "lpm_bustri_WORD" for hierarchy "Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_bustri_WORD:$00000" -Info: Elaborating entity "lpm_bustri" for hierarchy "Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_bustri_WORD:$00000|lpm_bustri:lpm_bustri_component" -Info: Elaborated megafunction instantiation "Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_bustri_WORD:$00000|lpm_bustri:lpm_bustri_component" -Info: Instantiated megafunction "Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_bustri_WORD:$00000|lpm_bustri:lpm_bustri_component" with the following parameter: - Info: Parameter "lpm_type" = "LPM_BUSTRI" - Info: Parameter "lpm_width" = "16" -Info: Elaborating entity "BLITTER" for hierarchy "Video:Fredi_Aschwanden|BLITTER:BLITTER" -Info: Elaborating entity "lpm_shiftreg6" for hierarchy "Video:Fredi_Aschwanden|lpm_shiftreg6:inst89" -Info: Elaborating entity "lpm_shiftreg" for hierarchy "Video:Fredi_Aschwanden|lpm_shiftreg6:inst89|lpm_shiftreg:lpm_shiftreg_component" -Info: Elaborated megafunction instantiation "Video:Fredi_Aschwanden|lpm_shiftreg6:inst89|lpm_shiftreg:lpm_shiftreg_component" -Info: Instantiated megafunction "Video:Fredi_Aschwanden|lpm_shiftreg6:inst89|lpm_shiftreg:lpm_shiftreg_component" with the following parameter: - Info: Parameter "lpm_direction" = "RIGHT" - Info: Parameter "lpm_type" = "LPM_SHIFTREG" - Info: Parameter "lpm_width" = "5" -Info: Elaborating entity "DDR_CTR" for hierarchy "Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR" -Warning: Variable or input pin "nFB_CS2" is defined but never used -Warning: Variable or input pin "nFB_CS3" is defined but never used -Warning: Variable or input pin "nRSTO" is defined but never used -Info: Elaborating entity "lpm_bustri_BYT" for hierarchy "Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|lpm_bustri_BYT:$00002" -Info: Elaborating entity "lpm_bustri" for hierarchy "Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|lpm_bustri_BYT:$00002|lpm_bustri:lpm_bustri_component" -Info: Elaborated megafunction instantiation "Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|lpm_bustri_BYT:$00002|lpm_bustri:lpm_bustri_component" -Info: Instantiated megafunction "Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|lpm_bustri_BYT:$00002|lpm_bustri:lpm_bustri_component" with the following parameter: - Info: Parameter "lpm_type" = "LPM_BUSTRI" - Info: Parameter "lpm_width" = "8" -Info: Elaborating entity "lpm_fifo_dc0" for hierarchy "Video:Fredi_Aschwanden|lpm_fifo_dc0:inst" -Info: Elaborating entity "dcfifo" for hierarchy "Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component" -Info: Elaborated megafunction instantiation "Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component" -Info: Instantiated megafunction "Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component" with the following parameter: - Info: Parameter "intended_device_family" = "Cyclone III" - Info: Parameter "lpm_numwords" = "512" - Info: Parameter "lpm_showahead" = "OFF" - Info: Parameter "lpm_type" = "dcfifo" - Info: Parameter "lpm_width" = "128" - Info: Parameter "lpm_widthu" = "9" - Info: Parameter "overflow_checking" = "OFF" - Info: Parameter "rdsync_delaypipe" = "6" - Info: Parameter "underflow_checking" = "OFF" - Info: Parameter "use_eab" = "ON" - Info: Parameter "write_aclr_synch" = "ON" - Info: Parameter "wrsync_delaypipe" = "6" -Info: Found 1 design units, including 1 entities, in source file db/dcfifo_8fi1.tdf - Info: Found entity 1: dcfifo_8fi1 -Info: Elaborating entity "dcfifo_8fi1" for hierarchy "Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated" -Info: Found 1 design units, including 1 entities, in source file db/a_gray2bin_tgb.tdf - Info: Found entity 1: a_gray2bin_tgb -Info: Elaborating entity "a_gray2bin_tgb" for hierarchy "Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_gray2bin_tgb:wrptr_g_gray2bin" -Info: Found 1 design units, including 1 entities, in source file db/a_graycounter_s57.tdf - Info: Found entity 1: a_graycounter_s57 -Info: Elaborating entity "a_graycounter_s57" for hierarchy "Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_s57:rdptr_g1p" -Info: Found 1 design units, including 1 entities, in source file db/a_graycounter_ojc.tdf - Info: Found entity 1: a_graycounter_ojc -Info: Elaborating entity "a_graycounter_ojc" for hierarchy "Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_ojc:wrptr_g1p" -Info: Found 1 design units, including 1 entities, in source file db/a_graycounter_njc.tdf - Info: Found entity 1: a_graycounter_njc -Info: Elaborating entity "a_graycounter_njc" for hierarchy "Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_njc:wrptr_gp" -Info: Found 1 design units, including 1 entities, in source file db/altsyncram_tl31.tdf - Info: Found entity 1: altsyncram_tl31 -Info: Elaborating entity "altsyncram_tl31" for hierarchy "Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|altsyncram_tl31:fifo_ram" -Info: Found 1 design units, including 1 entities, in source file db/alt_synch_pipe_rld.tdf - Info: Found entity 1: alt_synch_pipe_rld -Info: Elaborating entity "alt_synch_pipe_rld" for hierarchy "Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|alt_synch_pipe_rld:rs_dgwp" -Info: Found 1 design units, including 1 entities, in source file db/dffpipe_qe9.tdf - Info: Found entity 1: dffpipe_qe9 -Info: Elaborating entity "dffpipe_qe9" for hierarchy "Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|alt_synch_pipe_rld:rs_dgwp|dffpipe_qe9:dffpipe15" -Info: Found 1 design units, including 1 entities, in source file db/dffpipe_9d9.tdf - Info: Found entity 1: dffpipe_9d9 -Info: Elaborating entity "dffpipe_9d9" for hierarchy "Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|dffpipe_9d9:wraclr" -Info: Found 1 design units, including 1 entities, in source file db/dffpipe_oe9.tdf - Info: Found entity 1: dffpipe_oe9 -Info: Elaborating entity "dffpipe_oe9" for hierarchy "Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|dffpipe_oe9:ws_brp" -Info: Found 1 design units, including 1 entities, in source file db/alt_synch_pipe_sld.tdf - Info: Found entity 1: alt_synch_pipe_sld -Info: Elaborating entity "alt_synch_pipe_sld" for hierarchy "Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|alt_synch_pipe_sld:ws_dgrp" -Info: Found 1 design units, including 1 entities, in source file db/dffpipe_re9.tdf - Info: Found entity 1: dffpipe_re9 -Info: Elaborating entity "dffpipe_re9" for hierarchy "Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|alt_synch_pipe_sld:ws_dgrp|dffpipe_re9:dffpipe22" -Info: Elaborating entity "lpm_shiftreg4" for hierarchy "Video:Fredi_Aschwanden|lpm_shiftreg4:inst26" -Info: Elaborating entity "lpm_shiftreg" for hierarchy "Video:Fredi_Aschwanden|lpm_shiftreg4:inst26|lpm_shiftreg:lpm_shiftreg_component" -Info: Elaborated megafunction instantiation "Video:Fredi_Aschwanden|lpm_shiftreg4:inst26|lpm_shiftreg:lpm_shiftreg_component" -Info: Instantiated megafunction "Video:Fredi_Aschwanden|lpm_shiftreg4:inst26|lpm_shiftreg:lpm_shiftreg_component" with the following parameter: - Info: Parameter "lpm_direction" = "RIGHT" - Info: Parameter "lpm_type" = "LPM_SHIFTREG" - Info: Parameter "lpm_width" = "5" -Info: Elaborating entity "lpm_muxVDM" for hierarchy "Video:Fredi_Aschwanden|lpm_muxVDM:inst100" -Info: Elaborating entity "LPM_MUX" for hierarchy "Video:Fredi_Aschwanden|lpm_muxVDM:inst100|LPM_MUX:lpm_mux_component" -Info: Elaborated megafunction instantiation "Video:Fredi_Aschwanden|lpm_muxVDM:inst100|LPM_MUX:lpm_mux_component" -Info: Instantiated megafunction "Video:Fredi_Aschwanden|lpm_muxVDM:inst100|LPM_MUX:lpm_mux_component" with the following parameter: - Info: Parameter "LPM_WIDTH" = "128" - Info: Parameter "LPM_SIZE" = "16" - Info: Parameter "LPM_WIDTHS" = "4" - Info: Parameter "LPM_PIPELINE" = "0" - Info: Parameter "LPM_TYPE" = "LPM_MUX" - Info: Parameter "LPM_HINT" = "UNUSED" -Info: Found 1 design units, including 1 entities, in source file db/mux_bbe.tdf - Info: Found entity 1: mux_bbe -Info: Elaborating entity "mux_bbe" for hierarchy "Video:Fredi_Aschwanden|lpm_muxVDM:inst100|LPM_MUX:lpm_mux_component|mux_bbe:auto_generated" -Info: Elaborating entity "lpm_ff6" for hierarchy "Video:Fredi_Aschwanden|lpm_ff6:inst94" -Info: Elaborating entity "lpm_ff" for hierarchy "Video:Fredi_Aschwanden|lpm_ff6:inst94|lpm_ff:lpm_ff_component" -Info: Elaborated megafunction instantiation "Video:Fredi_Aschwanden|lpm_ff6:inst94|lpm_ff:lpm_ff_component" -Info: Instantiated megafunction "Video:Fredi_Aschwanden|lpm_ff6:inst94|lpm_ff:lpm_ff_component" with the following parameter: - Info: Parameter "lpm_fftype" = "DFF" - Info: Parameter "lpm_type" = "LPM_FF" - Info: Parameter "lpm_width" = "128" -Info: Elaborating entity "lpm_ff1" for hierarchy "Video:Fredi_Aschwanden|lpm_ff1:inst4" -Info: Elaborating entity "lpm_ff" for hierarchy "Video:Fredi_Aschwanden|lpm_ff1:inst4|lpm_ff:lpm_ff_component" -Info: Elaborated megafunction instantiation "Video:Fredi_Aschwanden|lpm_ff1:inst4|lpm_ff:lpm_ff_component" -Info: Instantiated megafunction "Video:Fredi_Aschwanden|lpm_ff1:inst4|lpm_ff:lpm_ff_component" with the following parameter: - Info: Parameter "lpm_fftype" = "DFF" - Info: Parameter "lpm_type" = "LPM_FF" - Info: Parameter "lpm_width" = "32" -Info: Elaborating entity "altddio_bidir0" for hierarchy "Video:Fredi_Aschwanden|altddio_bidir0:inst1" -Info: Elaborating entity "altddio_bidir" for hierarchy "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component" -Info: Elaborated megafunction instantiation "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component" -Info: Instantiated megafunction "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component" with the following parameter: - Info: Parameter "extend_oe_disable" = "UNUSED" - Info: Parameter "implement_input_in_lcell" = "ON" - Info: Parameter "intended_device_family" = "Cyclone III" - Info: Parameter "invert_output" = "OFF" - Info: Parameter "lpm_type" = "altddio_bidir" - Info: Parameter "oe_reg" = "UNUSED" - Info: Parameter "power_up_high" = "OFF" - Info: Parameter "width" = "32" -Info: Found 1 design units, including 1 entities, in source file db/ddio_bidir_3jl.tdf - Info: Found entity 1: ddio_bidir_3jl -Info: Elaborating entity "ddio_bidir_3jl" for hierarchy "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated" -Info: Elaborating entity "lpm_mux5" for hierarchy "Video:Fredi_Aschwanden|lpm_mux5:inst22" -Info: Elaborating entity "LPM_MUX" for hierarchy "Video:Fredi_Aschwanden|lpm_mux5:inst22|LPM_MUX:lpm_mux_component" -Info: Elaborated megafunction instantiation "Video:Fredi_Aschwanden|lpm_mux5:inst22|LPM_MUX:lpm_mux_component" -Info: Instantiated megafunction "Video:Fredi_Aschwanden|lpm_mux5:inst22|LPM_MUX:lpm_mux_component" with the following parameter: - Info: Parameter "LPM_WIDTH" = "64" - Info: Parameter "LPM_SIZE" = "4" - Info: Parameter "LPM_WIDTHS" = "2" - Info: Parameter "LPM_PIPELINE" = "0" - Info: Parameter "LPM_TYPE" = "LPM_MUX" - Info: Parameter "LPM_HINT" = "UNUSED" -Info: Found 1 design units, including 1 entities, in source file db/mux_58e.tdf - Info: Found entity 1: mux_58e -Info: Elaborating entity "mux_58e" for hierarchy "Video:Fredi_Aschwanden|lpm_mux5:inst22|LPM_MUX:lpm_mux_component|mux_58e:auto_generated" -Info: Elaborating entity "lpm_ff0" for hierarchy "Video:Fredi_Aschwanden|lpm_ff0:inst14" -Info: Elaborating entity "lpm_ff" for hierarchy "Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component" -Info: Elaborated megafunction instantiation "Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component" -Info: Instantiated megafunction "Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component" with the following parameter: - Info: Parameter "lpm_fftype" = "DFF" - Info: Parameter "lpm_type" = "LPM_FF" - Info: Parameter "lpm_width" = "32" -Info: Elaborating entity "lpm_bustri_LONG" for hierarchy "Video:Fredi_Aschwanden|lpm_bustri_LONG:inst108" -Info: Elaborating entity "lpm_bustri" for hierarchy "Video:Fredi_Aschwanden|lpm_bustri_LONG:inst108|lpm_bustri:lpm_bustri_component" -Info: Elaborated megafunction instantiation "Video:Fredi_Aschwanden|lpm_bustri_LONG:inst108|lpm_bustri:lpm_bustri_component" -Info: Instantiated megafunction "Video:Fredi_Aschwanden|lpm_bustri_LONG:inst108|lpm_bustri:lpm_bustri_component" with the following parameter: - Info: Parameter "lpm_type" = "LPM_BUSTRI" - Info: Parameter "lpm_width" = "32" -Info: Elaborating entity "lpm_latch0" for hierarchy "Video:Fredi_Aschwanden|lpm_latch0:inst27" -Info: Elaborating entity "lpm_latch" for hierarchy "Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component" -Info: Elaborated megafunction instantiation "Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component" -Info: Instantiated megafunction "Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component" with the following parameter: - Info: Parameter "lpm_type" = "LPM_LATCH" - Info: Parameter "lpm_width" = "32" -Info: Elaborating entity "lpm_bustri3" for hierarchy "Video:Fredi_Aschwanden|lpm_bustri3:inst66" -Info: Elaborating entity "lpm_bustri" for hierarchy "Video:Fredi_Aschwanden|lpm_bustri3:inst66|lpm_bustri:lpm_bustri_component" -Info: Elaborated megafunction instantiation "Video:Fredi_Aschwanden|lpm_bustri3:inst66|lpm_bustri:lpm_bustri_component" -Info: Instantiated megafunction "Video:Fredi_Aschwanden|lpm_bustri3:inst66|lpm_bustri:lpm_bustri_component" with the following parameter: - Info: Parameter "lpm_type" = "LPM_BUSTRI" - Info: Parameter "lpm_width" = "6" -Info: Elaborating entity "altdpram1" for hierarchy "Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_RED" -Info: Elaborating entity "altsyncram" for hierarchy "Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_RED|altsyncram:altsyncram_component" -Info: Elaborated megafunction instantiation "Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_RED|altsyncram:altsyncram_component" -Info: Instantiated megafunction "Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_RED|altsyncram:altsyncram_component" with the following parameter: - Info: Parameter "address_reg_b" = "CLOCK1" - Info: Parameter "clock_enable_input_a" = "BYPASS" - Info: Parameter "clock_enable_input_b" = "BYPASS" - Info: Parameter "clock_enable_output_a" = "BYPASS" - Info: Parameter "clock_enable_output_b" = "BYPASS" - Info: Parameter "indata_reg_b" = "CLOCK1" - Info: Parameter "intended_device_family" = "Cyclone III" - Info: Parameter "lpm_type" = "altsyncram" - Info: Parameter "numwords_a" = "256" - Info: Parameter "numwords_b" = "256" - Info: Parameter "operation_mode" = "BIDIR_DUAL_PORT" - Info: Parameter "outdata_aclr_a" = "NONE" - Info: Parameter "outdata_aclr_b" = "NONE" - Info: Parameter "outdata_reg_a" = "CLOCK0" - Info: Parameter "outdata_reg_b" = "CLOCK1" - Info: Parameter "power_up_uninitialized" = "FALSE" - Info: Parameter "read_during_write_mode_port_a" = "OLD_DATA" - Info: Parameter "read_during_write_mode_port_b" = "OLD_DATA" - Info: Parameter "widthad_a" = "8" - Info: Parameter "widthad_b" = "8" - Info: Parameter "width_a" = "6" - Info: Parameter "width_b" = "6" - Info: Parameter "width_byteena_a" = "1" - Info: Parameter "width_byteena_b" = "1" - Info: Parameter "wrcontrol_wraddress_reg_b" = "CLOCK1" -Info: Found 1 design units, including 1 entities, in source file db/altsyncram_lf92.tdf - Info: Found entity 1: altsyncram_lf92 -Info: Elaborating entity "altsyncram_lf92" for hierarchy "Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_RED|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated" -Info: Elaborating entity "lpm_shiftreg0" for hierarchy "Video:Fredi_Aschwanden|lpm_shiftreg0:sr0" -Info: Elaborating entity "lpm_shiftreg" for hierarchy "Video:Fredi_Aschwanden|lpm_shiftreg0:sr0|lpm_shiftreg:lpm_shiftreg_component" -Info: Elaborated megafunction instantiation "Video:Fredi_Aschwanden|lpm_shiftreg0:sr0|lpm_shiftreg:lpm_shiftreg_component" -Info: Instantiated megafunction "Video:Fredi_Aschwanden|lpm_shiftreg0:sr0|lpm_shiftreg:lpm_shiftreg_component" with the following parameter: - Info: Parameter "lpm_direction" = "LEFT" - Info: Parameter "lpm_type" = "LPM_SHIFTREG" - Info: Parameter "lpm_width" = "16" -Info: Elaborating entity "MUX41" for hierarchy "Video:Fredi_Aschwanden|MUX41:inst45" -Info: Elaborated megafunction instantiation "Video:Fredi_Aschwanden|MUX41:inst45" -Info: Elaborating entity "lpm_muxDZ" for hierarchy "Video:Fredi_Aschwanden|lpm_muxDZ:inst62" -Info: Elaborating entity "LPM_MUX" for hierarchy "Video:Fredi_Aschwanden|lpm_muxDZ:inst62|LPM_MUX:lpm_mux_component" -Info: Elaborated megafunction instantiation "Video:Fredi_Aschwanden|lpm_muxDZ:inst62|LPM_MUX:lpm_mux_component" -Info: Instantiated megafunction "Video:Fredi_Aschwanden|lpm_muxDZ:inst62|LPM_MUX:lpm_mux_component" with the following parameter: - Info: Parameter "LPM_WIDTH" = "128" - Info: Parameter "LPM_SIZE" = "2" - Info: Parameter "LPM_WIDTHS" = "1" - Info: Parameter "LPM_PIPELINE" = "1" - Info: Parameter "LPM_TYPE" = "LPM_MUX" - Info: Parameter "LPM_HINT" = "UNUSED" -Info: Found 1 design units, including 1 entities, in source file db/mux_dcf.tdf - Info: Found entity 1: mux_dcf -Info: Elaborating entity "mux_dcf" for hierarchy "Video:Fredi_Aschwanden|lpm_muxDZ:inst62|LPM_MUX:lpm_mux_component|mux_dcf:auto_generated" -Info: Elaborating entity "lpm_fifoDZ" for hierarchy "Video:Fredi_Aschwanden|lpm_fifoDZ:inst63" -Info: Elaborating entity "scfifo" for hierarchy "Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component" -Info: Elaborated megafunction instantiation "Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component" -Info: Instantiated megafunction "Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component" with the following parameter: - Info: Parameter "add_ram_output_register" = "OFF" - Info: Parameter "intended_device_family" = "Cyclone III" - Info: Parameter "lpm_numwords" = "128" - Info: Parameter "lpm_showahead" = "ON" - Info: Parameter "lpm_type" = "scfifo" - Info: Parameter "lpm_width" = "128" - Info: Parameter "lpm_widthu" = "7" - Info: Parameter "overflow_checking" = "OFF" - Info: Parameter "underflow_checking" = "OFF" - Info: Parameter "use_eab" = "ON" -Info: Found 1 design units, including 1 entities, in source file db/scfifo_lk21.tdf - Info: Found entity 1: scfifo_lk21 -Info: Elaborating entity "scfifo_lk21" for hierarchy "Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_lk21:auto_generated" -Info: Found 1 design units, including 1 entities, in source file db/a_dpfifo_oq21.tdf - Info: Found entity 1: a_dpfifo_oq21 -Info: Elaborating entity "a_dpfifo_oq21" for hierarchy "Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_lk21:auto_generated|a_dpfifo_oq21:dpfifo" -Info: Found 1 design units, including 1 entities, in source file db/altsyncram_gj81.tdf - Info: Found entity 1: altsyncram_gj81 -Info: Elaborating entity "altsyncram_gj81" for hierarchy "Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_lk21:auto_generated|a_dpfifo_oq21:dpfifo|altsyncram_gj81:FIFOram" -Info: Found 1 design units, including 1 entities, in source file db/cmpr_br8.tdf - Info: Found entity 1: cmpr_br8 -Info: Elaborating entity "cmpr_br8" for hierarchy "Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_lk21:auto_generated|a_dpfifo_oq21:dpfifo|cmpr_br8:almost_full_comparer" -Info: Elaborating entity "cmpr_br8" for hierarchy "Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_lk21:auto_generated|a_dpfifo_oq21:dpfifo|cmpr_br8:three_comparison" -Info: Found 1 design units, including 1 entities, in source file db/cntr_omb.tdf - Info: Found entity 1: cntr_omb -Info: Elaborating entity "cntr_omb" for hierarchy "Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_lk21:auto_generated|a_dpfifo_oq21:dpfifo|cntr_omb:rd_ptr_msb" -Info: Found 1 design units, including 1 entities, in source file db/cntr_5n7.tdf - Info: Found entity 1: cntr_5n7 -Info: Elaborating entity "cntr_5n7" for hierarchy "Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_lk21:auto_generated|a_dpfifo_oq21:dpfifo|cntr_5n7:usedw_counter" -Info: Found 1 design units, including 1 entities, in source file db/cntr_pmb.tdf - Info: Found entity 1: cntr_pmb -Info: Elaborating entity "cntr_pmb" for hierarchy "Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_lk21:auto_generated|a_dpfifo_oq21:dpfifo|cntr_pmb:wr_ptr" -Info: Elaborating entity "lpm_bustri1" for hierarchy "Video:Fredi_Aschwanden|lpm_bustri1:inst51" -Info: Elaborating entity "lpm_bustri" for hierarchy "Video:Fredi_Aschwanden|lpm_bustri1:inst51|lpm_bustri:lpm_bustri_component" -Info: Elaborated megafunction instantiation "Video:Fredi_Aschwanden|lpm_bustri1:inst51|lpm_bustri:lpm_bustri_component" -Info: Instantiated megafunction "Video:Fredi_Aschwanden|lpm_bustri1:inst51|lpm_bustri:lpm_bustri_component" with the following parameter: - Info: Parameter "lpm_type" = "LPM_BUSTRI" - Info: Parameter "lpm_width" = "3" -Info: Elaborating entity "altdpram0" for hierarchy "Video:Fredi_Aschwanden|altdpram0:ST_CLUT_RED" -Info: Elaborating entity "altsyncram" for hierarchy "Video:Fredi_Aschwanden|altdpram0:ST_CLUT_RED|altsyncram:altsyncram_component" -Info: Elaborated megafunction instantiation "Video:Fredi_Aschwanden|altdpram0:ST_CLUT_RED|altsyncram:altsyncram_component" -Info: Instantiated megafunction "Video:Fredi_Aschwanden|altdpram0:ST_CLUT_RED|altsyncram:altsyncram_component" with the following parameter: - Info: Parameter "address_reg_b" = "CLOCK1" - Info: Parameter "clock_enable_input_a" = "BYPASS" - Info: Parameter "clock_enable_input_b" = "BYPASS" - Info: Parameter "clock_enable_output_a" = "BYPASS" - Info: Parameter "clock_enable_output_b" = "BYPASS" - Info: Parameter "indata_reg_b" = "CLOCK1" - Info: Parameter "intended_device_family" = "Cyclone III" - Info: Parameter "lpm_type" = "altsyncram" - Info: Parameter "numwords_a" = "16" - Info: Parameter "numwords_b" = "16" - Info: Parameter "operation_mode" = "BIDIR_DUAL_PORT" - Info: Parameter "outdata_aclr_a" = "NONE" - Info: Parameter "outdata_aclr_b" = "NONE" - Info: Parameter "outdata_reg_a" = "CLOCK0" - Info: Parameter "outdata_reg_b" = "CLOCK1" - Info: Parameter "power_up_uninitialized" = "FALSE" - Info: Parameter "read_during_write_mode_port_a" = "OLD_DATA" - Info: Parameter "read_during_write_mode_port_b" = "OLD_DATA" - Info: Parameter "widthad_a" = "4" - Info: Parameter "widthad_b" = "4" - Info: Parameter "width_a" = "3" - Info: Parameter "width_b" = "3" - Info: Parameter "width_byteena_a" = "1" - Info: Parameter "width_byteena_b" = "1" - Info: Parameter "wrcontrol_wraddress_reg_b" = "CLOCK1" -Info: Found 1 design units, including 1 entities, in source file db/altsyncram_rb92.tdf - Info: Found entity 1: altsyncram_rb92 -Info: Elaborating entity "altsyncram_rb92" for hierarchy "Video:Fredi_Aschwanden|altdpram0:ST_CLUT_RED|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated" -Info: Elaborating entity "altdpram2" for hierarchy "Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55" -Info: Elaborating entity "altsyncram" for hierarchy "Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55|altsyncram:altsyncram_component" -Info: Elaborated megafunction instantiation "Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55|altsyncram:altsyncram_component" -Info: Instantiated megafunction "Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55|altsyncram:altsyncram_component" with the following parameter: - Info: Parameter "address_reg_b" = "CLOCK1" - Info: Parameter "clock_enable_input_a" = "BYPASS" - Info: Parameter "clock_enable_input_b" = "BYPASS" - Info: Parameter "clock_enable_output_a" = "BYPASS" - Info: Parameter "clock_enable_output_b" = "BYPASS" - Info: Parameter "indata_reg_b" = "CLOCK1" - Info: Parameter "intended_device_family" = "Cyclone III" - Info: Parameter "lpm_type" = "altsyncram" - Info: Parameter "numwords_a" = "256" - Info: Parameter "numwords_b" = "256" - Info: Parameter "operation_mode" = "BIDIR_DUAL_PORT" - Info: Parameter "outdata_aclr_a" = "NONE" - Info: Parameter "outdata_aclr_b" = "NONE" - Info: Parameter "outdata_reg_a" = "CLOCK0" - Info: Parameter "outdata_reg_b" = "CLOCK1" - Info: Parameter "power_up_uninitialized" = "FALSE" - Info: Parameter "read_during_write_mode_port_a" = "OLD_DATA" - Info: Parameter "read_during_write_mode_port_b" = "OLD_DATA" - Info: Parameter "widthad_a" = "8" - Info: Parameter "widthad_b" = "8" - Info: Parameter "width_a" = "8" - Info: Parameter "width_b" = "8" - Info: Parameter "width_byteena_a" = "1" - Info: Parameter "width_byteena_b" = "1" - Info: Parameter "wrcontrol_wraddress_reg_b" = "CLOCK1" -Info: Found 1 design units, including 1 entities, in source file db/altsyncram_pf92.tdf - Info: Found entity 1: altsyncram_pf92 -Info: Elaborating entity "altsyncram_pf92" for hierarchy "Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated" -Info: Elaborating entity "lpm_mux3" for hierarchy "Video:Fredi_Aschwanden|lpm_mux3:inst102" -Info: Elaborating entity "LPM_MUX" for hierarchy "Video:Fredi_Aschwanden|lpm_mux3:inst102|LPM_MUX:lpm_mux_component" -Info: Elaborated megafunction instantiation "Video:Fredi_Aschwanden|lpm_mux3:inst102|LPM_MUX:lpm_mux_component" -Info: Instantiated megafunction "Video:Fredi_Aschwanden|lpm_mux3:inst102|LPM_MUX:lpm_mux_component" with the following parameter: - Info: Parameter "LPM_WIDTH" = "1" - Info: Parameter "LPM_SIZE" = "2" - Info: Parameter "LPM_WIDTHS" = "1" - Info: Parameter "LPM_PIPELINE" = "0" - Info: Parameter "LPM_TYPE" = "LPM_MUX" - Info: Parameter "LPM_HINT" = "UNUSED" -Info: Found 1 design units, including 1 entities, in source file db/mux_96e.tdf - Info: Found entity 1: mux_96e -Info: Elaborating entity "mux_96e" for hierarchy "Video:Fredi_Aschwanden|lpm_mux3:inst102|LPM_MUX:lpm_mux_component|mux_96e:auto_generated" -Info: Elaborating entity "lpm_ff5" for hierarchy "Video:Fredi_Aschwanden|lpm_ff5:inst11" -Info: Elaborating entity "lpm_ff" for hierarchy "Video:Fredi_Aschwanden|lpm_ff5:inst11|lpm_ff:lpm_ff_component" -Info: Elaborated megafunction instantiation "Video:Fredi_Aschwanden|lpm_ff5:inst11|lpm_ff:lpm_ff_component" -Info: Instantiated megafunction "Video:Fredi_Aschwanden|lpm_ff5:inst11|lpm_ff:lpm_ff_component" with the following parameter: - Info: Parameter "lpm_fftype" = "DFF" - Info: Parameter "lpm_type" = "LPM_FF" - Info: Parameter "lpm_width" = "8" -Info: Elaborating entity "lpm_mux2" for hierarchy "Video:Fredi_Aschwanden|lpm_mux2:inst25" -Info: Elaborating entity "LPM_MUX" for hierarchy "Video:Fredi_Aschwanden|lpm_mux2:inst25|LPM_MUX:lpm_mux_component" -Info: Elaborated megafunction instantiation "Video:Fredi_Aschwanden|lpm_mux2:inst25|LPM_MUX:lpm_mux_component" -Info: Instantiated megafunction "Video:Fredi_Aschwanden|lpm_mux2:inst25|LPM_MUX:lpm_mux_component" with the following parameter: - Info: Parameter "LPM_WIDTH" = "8" - Info: Parameter "LPM_SIZE" = "16" - Info: Parameter "LPM_WIDTHS" = "4" - Info: Parameter "LPM_PIPELINE" = "2" - Info: Parameter "LPM_TYPE" = "LPM_MUX" - Info: Parameter "LPM_HINT" = "UNUSED" -Info: Found 1 design units, including 1 entities, in source file db/mux_mpe.tdf - Info: Found entity 1: mux_mpe -Info: Elaborating entity "mux_mpe" for hierarchy "Video:Fredi_Aschwanden|lpm_mux2:inst25|LPM_MUX:lpm_mux_component|mux_mpe:auto_generated" -Info: Elaborating entity "lpm_mux4" for hierarchy "Video:Fredi_Aschwanden|lpm_mux4:inst81" -Info: Elaborating entity "LPM_MUX" for hierarchy "Video:Fredi_Aschwanden|lpm_mux4:inst81|LPM_MUX:lpm_mux_component" -Info: Elaborated megafunction instantiation "Video:Fredi_Aschwanden|lpm_mux4:inst81|LPM_MUX:lpm_mux_component" -Info: Instantiated megafunction "Video:Fredi_Aschwanden|lpm_mux4:inst81|LPM_MUX:lpm_mux_component" with the following parameter: - Info: Parameter "LPM_WIDTH" = "7" - Info: Parameter "LPM_SIZE" = "2" - Info: Parameter "LPM_WIDTHS" = "1" - Info: Parameter "LPM_PIPELINE" = "0" - Info: Parameter "LPM_TYPE" = "LPM_MUX" - Info: Parameter "LPM_HINT" = "UNUSED" -Info: Found 1 design units, including 1 entities, in source file db/mux_f6e.tdf - Info: Found entity 1: mux_f6e -Info: Elaborating entity "mux_f6e" for hierarchy "Video:Fredi_Aschwanden|lpm_mux4:inst81|LPM_MUX:lpm_mux_component|mux_f6e:auto_generated" -Info: Elaborating entity "lpm_constant3" for hierarchy "Video:Fredi_Aschwanden|lpm_constant3:inst82" -Info: Elaborating entity "lpm_constant" for hierarchy "Video:Fredi_Aschwanden|lpm_constant3:inst82|lpm_constant:lpm_constant_component" -Info: Elaborated megafunction instantiation "Video:Fredi_Aschwanden|lpm_constant3:inst82|lpm_constant:lpm_constant_component" -Info: Instantiated megafunction "Video:Fredi_Aschwanden|lpm_constant3:inst82|lpm_constant:lpm_constant_component" with the following parameter: - Info: Parameter "lpm_cvalue" = "0" - Info: Parameter "lpm_hint" = "ENABLE_RUNTIME_MOD=NO" - Info: Parameter "lpm_type" = "LPM_CONSTANT" - Info: Parameter "lpm_width" = "7" -Info: Elaborating entity "altddio_out2" for hierarchy "Video:Fredi_Aschwanden|altddio_out2:inst5" -Info: Elaborating entity "altddio_out" for hierarchy "Video:Fredi_Aschwanden|altddio_out2:inst5|altddio_out:altddio_out_component" -Info: Elaborated megafunction instantiation "Video:Fredi_Aschwanden|altddio_out2:inst5|altddio_out:altddio_out_component" -Info: Instantiated megafunction "Video:Fredi_Aschwanden|altddio_out2:inst5|altddio_out:altddio_out_component" with the following parameter: - Info: Parameter "extend_oe_disable" = "UNUSED" - Info: Parameter "intended_device_family" = "Cyclone III" - Info: Parameter "invert_output" = "OFF" - Info: Parameter "lpm_type" = "altddio_out" - Info: Parameter "oe_reg" = "UNUSED" - Info: Parameter "power_up_high" = "OFF" - Info: Parameter "width" = "24" -Info: Found 1 design units, including 1 entities, in source file db/ddio_out_o2f.tdf - Info: Found entity 1: ddio_out_o2f -Info: Elaborating entity "ddio_out_o2f" for hierarchy "Video:Fredi_Aschwanden|altddio_out2:inst5|altddio_out:altddio_out_component|ddio_out_o2f:auto_generated" -Info: Elaborating entity "lpm_mux6" for hierarchy "Video:Fredi_Aschwanden|lpm_mux6:inst7" -Info: Elaborating entity "LPM_MUX" for hierarchy "Video:Fredi_Aschwanden|lpm_mux6:inst7|LPM_MUX:lpm_mux_component" -Info: Elaborated megafunction instantiation "Video:Fredi_Aschwanden|lpm_mux6:inst7|LPM_MUX:lpm_mux_component" -Info: Instantiated megafunction "Video:Fredi_Aschwanden|lpm_mux6:inst7|LPM_MUX:lpm_mux_component" with the following parameter: - Info: Parameter "LPM_WIDTH" = "24" - Info: Parameter "LPM_SIZE" = "8" - Info: Parameter "LPM_WIDTHS" = "3" - Info: Parameter "LPM_PIPELINE" = "2" - Info: Parameter "LPM_TYPE" = "LPM_MUX" - Info: Parameter "LPM_HINT" = "UNUSED" -Info: Found 1 design units, including 1 entities, in source file db/mux_kpe.tdf - Info: Found entity 1: mux_kpe -Info: Elaborating entity "mux_kpe" for hierarchy "Video:Fredi_Aschwanden|lpm_mux6:inst7|LPM_MUX:lpm_mux_component|mux_kpe:auto_generated" -Info: Elaborating entity "lpm_ff3" for hierarchy "Video:Fredi_Aschwanden|lpm_ff3:inst49" -Info: Elaborating entity "lpm_ff" for hierarchy "Video:Fredi_Aschwanden|lpm_ff3:inst49|lpm_ff:lpm_ff_component" -Info: Elaborated megafunction instantiation "Video:Fredi_Aschwanden|lpm_ff3:inst49|lpm_ff:lpm_ff_component" -Info: Instantiated megafunction "Video:Fredi_Aschwanden|lpm_ff3:inst49|lpm_ff:lpm_ff_component" with the following parameter: - Info: Parameter "lpm_fftype" = "DFF" - Info: Parameter "lpm_type" = "LPM_FF" - Info: Parameter "lpm_width" = "24" -Info: Elaborating entity "lpm_constant0" for hierarchy "Video:Fredi_Aschwanden|lpm_constant0:inst59" -Info: Elaborating entity "lpm_constant" for hierarchy "Video:Fredi_Aschwanden|lpm_constant0:inst59|lpm_constant:lpm_constant_component" -Info: Elaborated megafunction instantiation "Video:Fredi_Aschwanden|lpm_constant0:inst59|lpm_constant:lpm_constant_component" -Info: Instantiated megafunction "Video:Fredi_Aschwanden|lpm_constant0:inst59|lpm_constant:lpm_constant_component" with the following parameter: - Info: Parameter "lpm_cvalue" = "0" - Info: Parameter "lpm_hint" = "ENABLE_RUNTIME_MOD=NO" - Info: Parameter "lpm_type" = "LPM_CONSTANT" - Info: Parameter "lpm_width" = "5" -Info: Elaborating entity "lpm_constant1" for hierarchy "Video:Fredi_Aschwanden|lpm_constant1:inst77" -Info: Elaborating entity "lpm_constant" for hierarchy "Video:Fredi_Aschwanden|lpm_constant1:inst77|lpm_constant:lpm_constant_component" -Info: Elaborated megafunction instantiation "Video:Fredi_Aschwanden|lpm_constant1:inst77|lpm_constant:lpm_constant_component" -Info: Instantiated megafunction "Video:Fredi_Aschwanden|lpm_constant1:inst77|lpm_constant:lpm_constant_component" with the following parameter: - Info: Parameter "lpm_cvalue" = "0" - Info: Parameter "lpm_hint" = "ENABLE_RUNTIME_MOD=NO" - Info: Parameter "lpm_type" = "LPM_CONSTANT" - Info: Parameter "lpm_width" = "2" -Info: Elaborating entity "lpm_ff4" for hierarchy "Video:Fredi_Aschwanden|lpm_ff4:inst10" -Info: Elaborating entity "lpm_ff" for hierarchy "Video:Fredi_Aschwanden|lpm_ff4:inst10|lpm_ff:lpm_ff_component" -Info: Elaborated megafunction instantiation "Video:Fredi_Aschwanden|lpm_ff4:inst10|lpm_ff:lpm_ff_component" -Info: Instantiated megafunction "Video:Fredi_Aschwanden|lpm_ff4:inst10|lpm_ff:lpm_ff_component" with the following parameter: - Info: Parameter "lpm_fftype" = "DFF" - Info: Parameter "lpm_type" = "LPM_FF" - Info: Parameter "lpm_width" = "16" -Info: Elaborating entity "lpm_mux1" for hierarchy "Video:Fredi_Aschwanden|lpm_mux1:inst24" -Info: Elaborating entity "LPM_MUX" for hierarchy "Video:Fredi_Aschwanden|lpm_mux1:inst24|LPM_MUX:lpm_mux_component" -Info: Assertion information: Value of LPM_PIPELINE parameter (4) should be lower -- use 1 for best performance/utilization -Info: Elaborated megafunction instantiation "Video:Fredi_Aschwanden|lpm_mux1:inst24|LPM_MUX:lpm_mux_component" -Info: Instantiated megafunction "Video:Fredi_Aschwanden|lpm_mux1:inst24|LPM_MUX:lpm_mux_component" with the following parameter: - Info: Parameter "LPM_WIDTH" = "16" - Info: Parameter "LPM_SIZE" = "8" - Info: Parameter "LPM_WIDTHS" = "3" - Info: Parameter "LPM_PIPELINE" = "4" - Info: Parameter "LPM_TYPE" = "LPM_MUX" - Info: Parameter "LPM_HINT" = "UNUSED" -Info: Assertion information: Value of LPM_PIPELINE parameter 4 should be lower -- use 1 for best performance/utilization -Info: Found 1 design units, including 1 entities, in source file db/mux_npe.tdf - Info: Found entity 1: mux_npe -Info: Elaborating entity "mux_npe" for hierarchy "Video:Fredi_Aschwanden|lpm_mux1:inst24|LPM_MUX:lpm_mux_component|mux_npe:auto_generated" -Info: Elaborating entity "lpm_constant2" for hierarchy "Video:Fredi_Aschwanden|lpm_constant2:inst23" -Info: Elaborating entity "lpm_constant" for hierarchy "Video:Fredi_Aschwanden|lpm_constant2:inst23|lpm_constant:lpm_constant_component" -Info: Elaborated megafunction instantiation "Video:Fredi_Aschwanden|lpm_constant2:inst23|lpm_constant:lpm_constant_component" -Info: Instantiated megafunction "Video:Fredi_Aschwanden|lpm_constant2:inst23|lpm_constant:lpm_constant_component" with the following parameter: - Info: Parameter "lpm_cvalue" = "0" - Info: Parameter "lpm_hint" = "ENABLE_RUNTIME_MOD=NO" - Info: Parameter "lpm_type" = "LPM_CONSTANT" - Info: Parameter "lpm_width" = "8" -Info: Elaborating entity "lpm_mux0" for hierarchy "Video:Fredi_Aschwanden|lpm_mux0:inst21" -Info: Elaborating entity "LPM_MUX" for hierarchy "Video:Fredi_Aschwanden|lpm_mux0:inst21|LPM_MUX:lpm_mux_component" -Info: Elaborated megafunction instantiation "Video:Fredi_Aschwanden|lpm_mux0:inst21|LPM_MUX:lpm_mux_component" -Info: Instantiated megafunction "Video:Fredi_Aschwanden|lpm_mux0:inst21|LPM_MUX:lpm_mux_component" with the following parameter: - Info: Parameter "LPM_WIDTH" = "32" - Info: Parameter "LPM_SIZE" = "4" - Info: Parameter "LPM_WIDTHS" = "2" - Info: Parameter "LPM_PIPELINE" = "4" - Info: Parameter "LPM_TYPE" = "LPM_MUX" - Info: Parameter "LPM_HINT" = "UNUSED" -Info: Found 1 design units, including 1 entities, in source file db/mux_gpe.tdf - Info: Found entity 1: mux_gpe -Info: Elaborating entity "mux_gpe" for hierarchy "Video:Fredi_Aschwanden|lpm_mux0:inst21|LPM_MUX:lpm_mux_component|mux_gpe:auto_generated" -Info: Elaborating entity "altddio_out0" for hierarchy "Video:Fredi_Aschwanden|altddio_out0:inst2" -Info: Elaborating entity "altddio_out" for hierarchy "Video:Fredi_Aschwanden|altddio_out0:inst2|altddio_out:altddio_out_component" -Info: Elaborated megafunction instantiation "Video:Fredi_Aschwanden|altddio_out0:inst2|altddio_out:altddio_out_component" -Info: Instantiated megafunction "Video:Fredi_Aschwanden|altddio_out0:inst2|altddio_out:altddio_out_component" with the following parameter: - Info: Parameter "extend_oe_disable" = "UNUSED" - Info: Parameter "intended_device_family" = "Cyclone III" - Info: Parameter "invert_output" = "ON" - Info: Parameter "lpm_type" = "altddio_out" - Info: Parameter "oe_reg" = "UNUSED" - Info: Parameter "power_up_high" = "ON" - Info: Parameter "width" = "4" -Info: Found 1 design units, including 1 entities, in source file db/ddio_out_are.tdf - Info: Found entity 1: ddio_out_are -Info: Elaborating entity "ddio_out_are" for hierarchy "Video:Fredi_Aschwanden|altddio_out0:inst2|altddio_out:altddio_out_component|ddio_out_are:auto_generated" -Info: Elaborating entity "altpll2" for hierarchy "altpll2:inst12" -Info: Elaborating entity "altpll" for hierarchy "altpll2:inst12|altpll:altpll_component" -Info: Elaborated megafunction instantiation "altpll2:inst12|altpll:altpll_component" -Info: Instantiated megafunction "altpll2:inst12|altpll:altpll_component" with the following parameter: - Info: Parameter "bandwidth_type" = "AUTO" - Info: Parameter "clk0_divide_by" = "1" - Info: Parameter "clk0_duty_cycle" = "50" - Info: Parameter "clk0_multiply_by" = "4" - Info: Parameter "clk0_phase_shift" = "5051" - Info: Parameter "clk1_divide_by" = "1" - Info: Parameter "clk1_duty_cycle" = "50" - Info: Parameter "clk1_multiply_by" = "4" - Info: Parameter "clk1_phase_shift" = "0" - Info: Parameter "clk2_divide_by" = "1" - Info: Parameter "clk2_duty_cycle" = "50" - Info: Parameter "clk2_multiply_by" = "4" - Info: Parameter "clk2_phase_shift" = "3788" - Info: Parameter "clk3_divide_by" = "1" - Info: Parameter "clk3_duty_cycle" = "50" - Info: Parameter "clk3_multiply_by" = "4" - Info: Parameter "clk3_phase_shift" = "2210" - Info: Parameter "clk4_divide_by" = "1" - Info: Parameter "clk4_duty_cycle" = "50" - Info: Parameter "clk4_multiply_by" = "2" - Info: Parameter "clk4_phase_shift" = "11364" - Info: Parameter "compensate_clock" = "CLK0" - Info: Parameter "inclk0_input_frequency" = "30303" - Info: Parameter "intended_device_family" = "Cyclone III" - Info: Parameter "lpm_type" = "altpll" - Info: Parameter "operation_mode" = "SOURCE_SYNCHRONOUS" - Info: Parameter "pll_type" = "AUTO" - Info: Parameter "port_activeclock" = "PORT_UNUSED" - Info: Parameter "port_areset" = "PORT_UNUSED" - Info: Parameter "port_clkbad0" = "PORT_UNUSED" - Info: Parameter "port_clkbad1" = "PORT_UNUSED" - Info: Parameter "port_clkloss" = "PORT_UNUSED" - Info: Parameter "port_clkswitch" = "PORT_UNUSED" - Info: Parameter "port_configupdate" = "PORT_UNUSED" - Info: Parameter "port_fbin" = "PORT_UNUSED" - Info: Parameter "port_inclk0" = "PORT_USED" - Info: Parameter "port_inclk1" = "PORT_UNUSED" - Info: Parameter "port_locked" = "PORT_UNUSED" - Info: Parameter "port_pfdena" = "PORT_UNUSED" - Info: Parameter "port_phasecounterselect" = "PORT_UNUSED" - Info: Parameter "port_phasedone" = "PORT_UNUSED" - Info: Parameter "port_phasestep" = "PORT_UNUSED" - Info: Parameter "port_phaseupdown" = "PORT_UNUSED" - Info: Parameter "port_pllena" = "PORT_UNUSED" - Info: Parameter "port_scanaclr" = "PORT_UNUSED" - Info: Parameter "port_scanclk" = "PORT_UNUSED" - Info: Parameter "port_scanclkena" = "PORT_UNUSED" - Info: Parameter "port_scandata" = "PORT_UNUSED" - Info: Parameter "port_scandataout" = "PORT_UNUSED" - Info: Parameter "port_scandone" = "PORT_UNUSED" - Info: Parameter "port_scanread" = "PORT_UNUSED" - Info: Parameter "port_scanwrite" = "PORT_UNUSED" - Info: Parameter "port_clk0" = "PORT_USED" - Info: Parameter "port_clk1" = "PORT_USED" - Info: Parameter "port_clk2" = "PORT_USED" - Info: Parameter "port_clk3" = "PORT_USED" - Info: Parameter "port_clk4" = "PORT_USED" - Info: Parameter "port_clk5" = "PORT_UNUSED" - Info: Parameter "port_clkena0" = "PORT_UNUSED" - Info: Parameter "port_clkena1" = "PORT_UNUSED" - Info: Parameter "port_clkena2" = "PORT_UNUSED" - Info: Parameter "port_clkena3" = "PORT_UNUSED" - Info: Parameter "port_clkena4" = "PORT_UNUSED" - Info: Parameter "port_clkena5" = "PORT_UNUSED" - Info: Parameter "port_extclk0" = "PORT_UNUSED" - Info: Parameter "port_extclk1" = "PORT_UNUSED" - Info: Parameter "port_extclk2" = "PORT_UNUSED" - Info: Parameter "port_extclk3" = "PORT_UNUSED" - Info: Parameter "width_clock" = "5" -Info: Found 1 design units, including 1 entities, in source file db/altpll_isv2.tdf - Info: Found entity 1: altpll_isv2 -Info: Elaborating entity "altpll_isv2" for hierarchy "altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated" -Warning: Using design file altpll4.tdf, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project - Info: Found entity 1: altpll4 -Info: Elaborating entity "altpll4" for hierarchy "altpll4:inst22" -Info: Elaborating entity "altpll" for hierarchy "altpll4:inst22|altpll:altpll_component" -Info: Elaborated megafunction instantiation "altpll4:inst22|altpll:altpll_component" -Info: Instantiated megafunction "altpll4:inst22|altpll:altpll_component" with the following parameter: - Info: Parameter "bandwidth_type" = "AUTO" - Info: Parameter "clk0_divide_by" = "1" - Info: Parameter "clk0_duty_cycle" = "50" - Info: Parameter "clk0_multiply_by" = "2" - Info: Parameter "clk0_phase_shift" = "0" - Info: Parameter "compensate_clock" = "CLK0" - Info: Parameter "inclk0_input_frequency" = "20833" - Info: Parameter "intended_device_family" = "Cyclone III" - Info: Parameter "lpm_type" = "altpll" - Info: Parameter "operation_mode" = "NORMAL" - Info: Parameter "pll_type" = "AUTO" - Info: Parameter "port_activeclock" = "PORT_UNUSED" - Info: Parameter "port_areset" = "PORT_USED" - Info: Parameter "port_clk0" = "PORT_USED" - Info: Parameter "port_clk1" = "PORT_UNUSED" - Info: Parameter "port_clk2" = "PORT_UNUSED" - Info: Parameter "port_clk3" = "PORT_UNUSED" - Info: Parameter "port_clk4" = "PORT_UNUSED" - Info: Parameter "port_clk5" = "PORT_UNUSED" - Info: Parameter "port_clkbad0" = "PORT_UNUSED" - Info: Parameter "port_clkbad1" = "PORT_UNUSED" - Info: Parameter "port_clkena0" = "PORT_UNUSED" - Info: Parameter "port_clkena1" = "PORT_UNUSED" - Info: Parameter "port_clkena2" = "PORT_UNUSED" - Info: Parameter "port_clkena3" = "PORT_UNUSED" - Info: Parameter "port_clkena4" = "PORT_UNUSED" - Info: Parameter "port_clkena5" = "PORT_UNUSED" - Info: Parameter "port_clkloss" = "PORT_UNUSED" - Info: Parameter "port_clkswitch" = "PORT_UNUSED" - Info: Parameter "port_configupdate" = "PORT_USED" - Info: Parameter "port_extclk0" = "PORT_UNUSED" - Info: Parameter "port_extclk1" = "PORT_UNUSED" - Info: Parameter "port_extclk2" = "PORT_UNUSED" - Info: Parameter "port_extclk3" = "PORT_UNUSED" - Info: Parameter "port_fbin" = "PORT_UNUSED" - Info: Parameter "port_inclk0" = "PORT_USED" - Info: Parameter "port_inclk1" = "PORT_UNUSED" - Info: Parameter "port_locked" = "PORT_USED" - Info: Parameter "port_pfdena" = "PORT_UNUSED" - Info: Parameter "port_phasecounterselect" = "PORT_UNUSED" - Info: Parameter "port_phasedone" = "PORT_UNUSED" - Info: Parameter "port_phasestep" = "PORT_UNUSED" - Info: Parameter "port_phaseupdown" = "PORT_UNUSED" - Info: Parameter "port_pllena" = "PORT_UNUSED" - Info: Parameter "port_scanaclr" = "PORT_UNUSED" - Info: Parameter "port_scanclk" = "PORT_USED" - Info: Parameter "port_scanclkena" = "PORT_USED" - Info: Parameter "port_scandata" = "PORT_USED" - Info: Parameter "port_scandataout" = "PORT_USED" - Info: Parameter "port_scandone" = "PORT_USED" - Info: Parameter "port_scanread" = "PORT_UNUSED" - Info: Parameter "port_scanwrite" = "PORT_UNUSED" - Info: Parameter "scan_chain_mif_file" = "altpll4.mif" - Info: Parameter "self_reset_on_loss_lock" = "OFF" - Info: Parameter "width_clock" = "5" - Info: Parameter "width_phasecounterselect" = "4" -Info: Found 1 design units, including 1 entities, in source file db/altpll_c6j2.tdf - Info: Found entity 1: altpll_c6j2 -Info: Elaborating entity "altpll_c6j2" for hierarchy "altpll4:inst22|altpll:altpll_component|altpll_c6j2:auto_generated" -Warning: Using design file altpll_reconfig1.tdf, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project - Info: Found entity 1: altpll_reconfig1 -Info: Elaborating entity "altpll_reconfig1" for hierarchy "altpll_reconfig1:inst7" -Warning: Using design file altpll_reconfig1_pllrcfg_t4q.tdf, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project - Info: Found entity 1: altpll_reconfig1_pllrcfg_t4q -Info: Elaborating entity "altpll_reconfig1_pllrcfg_t4q" for hierarchy "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component" -Info: Elaborating entity "altsyncram" for hierarchy "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|altsyncram:altsyncram4" -Info: Elaborated megafunction instantiation "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|altsyncram:altsyncram4" -Info: Instantiated megafunction "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|altsyncram:altsyncram4" with the following parameter: - Info: Parameter "OPERATION_MODE" = "SINGLE_PORT" - Info: Parameter "WIDTH_A" = "1" - Info: Parameter "WIDTHAD_A" = "8" - Info: Parameter "NUMWORDS_A" = "144" - Info: Parameter "WIDTH_BYTEENA_A" = "1" -Info: Found 1 design units, including 1 entities, in source file db/altsyncram_46r.tdf - Info: Found entity 1: altsyncram_46r -Info: Elaborating entity "altsyncram_46r" for hierarchy "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|altsyncram:altsyncram4|altsyncram_46r:auto_generated" -Info: Elaborating entity "lpm_add_sub" for hierarchy "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_add_sub:add_sub5" -Info: Elaborated megafunction instantiation "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_add_sub:add_sub5" -Info: Instantiated megafunction "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_add_sub:add_sub5" with the following parameter: - Info: Parameter "LPM_WIDTH" = "9" -Info: Found 1 design units, including 1 entities, in source file db/add_sub_hpa.tdf - Info: Found entity 1: add_sub_hpa -Info: Elaborating entity "add_sub_hpa" for hierarchy "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_add_sub:add_sub5|add_sub_hpa:auto_generated" -Info: Elaborating entity "lpm_add_sub" for hierarchy "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_add_sub:add_sub6" -Info: Elaborated megafunction instantiation "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_add_sub:add_sub6" -Info: Instantiated megafunction "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_add_sub:add_sub6" with the following parameter: - Info: Parameter "LPM_WIDTH" = "8" -Info: Found 1 design units, including 1 entities, in source file db/add_sub_k8a.tdf - Info: Found entity 1: add_sub_k8a -Info: Elaborating entity "add_sub_k8a" for hierarchy "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_add_sub:add_sub6|add_sub_k8a:auto_generated" -Info: Elaborating entity "lpm_compare" for hierarchy "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_compare:cmpr7" -Info: Elaborated megafunction instantiation "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_compare:cmpr7" -Info: Instantiated megafunction "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_compare:cmpr7" with the following parameter: - Info: Parameter "LPM_WIDTH" = "8" -Info: Found 1 design units, including 1 entities, in source file db/cmpr_tnd.tdf - Info: Found entity 1: cmpr_tnd -Info: Elaborating entity "cmpr_tnd" for hierarchy "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_compare:cmpr7|cmpr_tnd:auto_generated" -Info: Elaborating entity "lpm_counter" for hierarchy "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr1" -Info: Elaborated megafunction instantiation "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr1" -Info: Instantiated megafunction "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr1" with the following parameter: - Info: Parameter "LPM_DIRECTION" = "DOWN" - Info: Parameter "lpm_modulus" = "144" - Info: Parameter "lpm_port_updown" = "PORT_UNUSED" - Info: Parameter "LPM_WIDTH" = "8" -Info: Found 1 design units, including 1 entities, in source file db/cntr_30l.tdf - Info: Found entity 1: cntr_30l -Info: Elaborating entity "cntr_30l" for hierarchy "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr1|cntr_30l:auto_generated" -Info: Elaborating entity "lpm_counter" for hierarchy "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr13" -Info: Elaborated megafunction instantiation "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr13" -Info: Instantiated megafunction "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr13" with the following parameter: - Info: Parameter "LPM_DIRECTION" = "DOWN" - Info: Parameter "lpm_port_updown" = "PORT_UNUSED" - Info: Parameter "LPM_WIDTH" = "6" -Info: Found 1 design units, including 1 entities, in source file db/cntr_qij.tdf - Info: Found entity 1: cntr_qij -Info: Elaborating entity "cntr_qij" for hierarchy "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr13|cntr_qij:auto_generated" -Info: Elaborating entity "lpm_counter" for hierarchy "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr14" -Info: Elaborated megafunction instantiation "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr14" -Info: Instantiated megafunction "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr14" with the following parameter: - Info: Parameter "LPM_DIRECTION" = "DOWN" - Info: Parameter "lpm_port_updown" = "PORT_UNUSED" - Info: Parameter "LPM_WIDTH" = "5" -Info: Found 1 design units, including 1 entities, in source file db/cntr_pij.tdf - Info: Found entity 1: cntr_pij -Info: Elaborating entity "cntr_pij" for hierarchy "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr14|cntr_pij:auto_generated" -Info: Elaborating entity "lpm_counter" for hierarchy "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr2" -Info: Elaborated megafunction instantiation "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr2" -Info: Instantiated megafunction "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr2" with the following parameter: - Info: Parameter "LPM_DIRECTION" = "UP" - Info: Parameter "lpm_port_updown" = "PORT_UNUSED" - Info: Parameter "LPM_WIDTH" = "8" -Info: Found 1 design units, including 1 entities, in source file db/cntr_9cj.tdf - Info: Found entity 1: cntr_9cj -Info: Elaborating entity "cntr_9cj" for hierarchy "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr2|cntr_9cj:auto_generated" -Info: Elaborating entity "lpm_decode" for hierarchy "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_decode:decode11" -Info: Elaborated megafunction instantiation "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_decode:decode11" -Info: Instantiated megafunction "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_decode:decode11" with the following parameter: - Info: Parameter "LPM_DECODES" = "5" - Info: Parameter "LPM_WIDTH" = "3" -Info: Found 1 design units, including 1 entities, in source file db/decode_2af.tdf - Info: Found entity 1: decode_2af -Info: Elaborating entity "decode_2af" for hierarchy "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_decode:decode11|decode_2af:auto_generated" -Info: Elaborating entity "DSP" for hierarchy "DSP:Mathias_Alles" -Info: Elaborating entity "interrupt_handler" for hierarchy "interrupt_handler:nobody" -Info: Elaborating entity "lpm_counter0" for hierarchy "lpm_counter0:inst18" -Info: Elaborating entity "lpm_counter" for hierarchy "lpm_counter0:inst18|lpm_counter:lpm_counter_component" -Info: Elaborated megafunction instantiation "lpm_counter0:inst18|lpm_counter:lpm_counter_component" -Info: Instantiated megafunction "lpm_counter0:inst18|lpm_counter:lpm_counter_component" with the following parameter: - Info: Parameter "lpm_direction" = "UP" - Info: Parameter "lpm_port_updown" = "PORT_UNUSED" - Info: Parameter "lpm_type" = "LPM_COUNTER" - Info: Parameter "lpm_width" = "18" -Info: Found 1 design units, including 1 entities, in source file db/cntr_mph.tdf - Info: Found entity 1: cntr_mph -Info: Elaborating entity "cntr_mph" for hierarchy "lpm_counter0:inst18|lpm_counter:lpm_counter_component|cntr_mph:auto_generated" -Info: Elaborating entity "altddio_out3" for hierarchy "altddio_out3:inst5" -Info: Elaborating entity "altddio_out" for hierarchy "altddio_out3:inst5|altddio_out:altddio_out_component" -Info: Elaborated megafunction instantiation "altddio_out3:inst5|altddio_out:altddio_out_component" -Info: Instantiated megafunction "altddio_out3:inst5|altddio_out:altddio_out_component" with the following parameter: - Info: Parameter "extend_oe_disable" = "UNUSED" - Info: Parameter "intended_device_family" = "Cyclone III" - Info: Parameter "invert_output" = "OFF" - Info: Parameter "lpm_type" = "altddio_out" - Info: Parameter "oe_reg" = "UNUSED" - Info: Parameter "power_up_high" = "OFF" - Info: Parameter "width" = "1" -Info: Found 1 design units, including 1 entities, in source file db/ddio_out_31f.tdf - Info: Found entity 1: ddio_out_31f -Info: Elaborating entity "ddio_out_31f" for hierarchy "altddio_out3:inst5|altddio_out:altddio_out_component|ddio_out_31f:auto_generated" -Warning: Timing-Driven Synthesis is skipped because the Classic Timing Analyzer is turned on -Info: Inferred 3 megafunctions from design logic - Info: Inferred multiplier megafunction ("lpm_mult") from the following logic: "Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|op_14" - Info: Inferred multiplier megafunction ("lpm_mult") from the following logic: "Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|op_6" - Info: Inferred multiplier megafunction ("lpm_mult") from the following logic: "Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|op_12" -Info: Elaborated megafunction instantiation "Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_14" -Info: Instantiated megafunction "Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_14" with the following parameter: - Info: Parameter "LPM_WIDTHA" = "12" - Info: Parameter "LPM_WIDTHB" = "6" - Info: Parameter "LPM_WIDTHP" = "18" - Info: Parameter "LPM_WIDTHR" = "18" - Info: Parameter "LPM_WIDTHS" = "1" - Info: Parameter "LPM_REPRESENTATION" = "UNSIGNED" - Info: Parameter "INPUT_A_IS_CONSTANT" = "NO" - Info: Parameter "INPUT_B_IS_CONSTANT" = "NO" - Info: Parameter "MAXIMIZE_SPEED" = "5" -Info: Found 1 design units, including 1 entities, in source file db/mult_cat.tdf - Info: Found entity 1: mult_cat -Info: Elaborated megafunction instantiation "Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_6" -Info: Instantiated megafunction "Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_6" with the following parameter: - Info: Parameter "LPM_WIDTHA" = "12" - Info: Parameter "LPM_WIDTHB" = "5" - Info: Parameter "LPM_WIDTHP" = "17" - Info: Parameter "LPM_WIDTHR" = "17" - Info: Parameter "LPM_WIDTHS" = "1" - Info: Parameter "LPM_REPRESENTATION" = "UNSIGNED" - Info: Parameter "INPUT_A_IS_CONSTANT" = "NO" - Info: Parameter "INPUT_B_IS_CONSTANT" = "NO" - Info: Parameter "MAXIMIZE_SPEED" = "5" -Info: Found 1 design units, including 1 entities, in source file db/mult_aat.tdf - Info: Found entity 1: mult_aat -Warning: The following nodes have both tri-state and non-tri-state drivers - Warning: Inserted always-enabled tri-state buffer between "IO[17]" and its non-tri-state driver. - Warning: Inserted always-enabled tri-state buffer between "IO[16]" and its non-tri-state driver. - Warning: Inserted always-enabled tri-state buffer between "IO[15]" and its non-tri-state driver. - Warning: Inserted always-enabled tri-state buffer between "IO[14]" and its non-tri-state driver. - Warning: Inserted always-enabled tri-state buffer between "IO[13]" and its non-tri-state driver. - Warning: Inserted always-enabled tri-state buffer between "IO[12]" and its non-tri-state driver. - Warning: Inserted always-enabled tri-state buffer between "IO[11]" and its non-tri-state driver. - Warning: Inserted always-enabled tri-state buffer between "IO[10]" and its non-tri-state driver. - Warning: Inserted always-enabled tri-state buffer between "IO[9]" and its non-tri-state driver. - Warning: Inserted always-enabled tri-state buffer between "IO[8]" and its non-tri-state driver. - Warning: Inserted always-enabled tri-state buffer between "IO[7]" and its non-tri-state driver. - Warning: Inserted always-enabled tri-state buffer between "IO[6]" and its non-tri-state driver. - Warning: Inserted always-enabled tri-state buffer between "IO[5]" and its non-tri-state driver. - Warning: Inserted always-enabled tri-state buffer between "IO[4]" and its non-tri-state driver. - Warning: Inserted always-enabled tri-state buffer between "IO[3]" and its non-tri-state driver. - Warning: Inserted always-enabled tri-state buffer between "IO[2]" and its non-tri-state driver. - Warning: Inserted always-enabled tri-state buffer between "IO[1]" and its non-tri-state driver. - Warning: Inserted always-enabled tri-state buffer between "IO[0]" and its non-tri-state driver. -Info: Registers with preset signals will power-up high -Info: DEV_CLRn pin will set, and not reset, register with preset signal due to NOT Gate Push-Back -Warning: TRI or OPNDRN buffers permanently disabled - Warning: Node "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|SCSI_PAR~synth" - Warning: Node "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|nSCSI_RST~synth" - Warning: Node "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|SCSI_D[7]~synth" - Warning: Node "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|SCSI_D[6]~synth" - Warning: Node "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|SCSI_D[5]~synth" - Warning: Node "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|SCSI_D[4]~synth" - Warning: Node "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|SCSI_D[3]~synth" - Warning: Node "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|SCSI_D[2]~synth" - Warning: Node "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|SCSI_D[1]~synth" - Warning: Node "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|SCSI_D[0]~synth" -Warning: TRI or OPNDRN buffers permanently enabled - Warning: Node "IO~synth" - Warning: Node "IO~synth" - Warning: Node "IO~synth" - Warning: Node "IO~synth" - Warning: Node "IO~synth" - Warning: Node "IO~synth" - Warning: Node "IO~synth" - Warning: Node "IO~synth" - Warning: Node "IO~synth" - Warning: Node "IO~synth" - Warning: Node "IO~synth" - Warning: Node "IO~synth" - Warning: Node "IO~synth" - Warning: Node "IO~synth" - Warning: Node "IO~synth" - Warning: Node "IO~synth" - Warning: Node "IO~synth" - Warning: Node "IO~synth" - Warning: Node "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|nSCSI_SEL~synth" - Warning: Node "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|nSCSI_BUSY~synth" -Warning: Output pins are stuck at VCC or GND - Warning (13410): Pin "nACSI_ACK" is stuck at VCC - Warning (13410): Pin "nACSI_CS" is stuck at VCC - Warning (13410): Pin "ACSI_DIR" is stuck at GND - Warning (13410): Pin "nSCSI_ACK" is stuck at VCC - Warning (13410): Pin "nSCSI_ATN" is stuck at VCC - Warning (13410): Pin "SCSI_DIR" is stuck at VCC - Warning (13410): Pin "nSYNC" is stuck at GND -Info: 78 registers lost all their fanouts during netlist optimizations. The first 78 are displayed below. - Info: Register "interrupt_handler:nobody|INT_CLEAR[31]" lost all its fanouts during netlist optimizations. - Info: Register "interrupt_handler:nobody|INT_CLEAR[30]" lost all its fanouts during netlist optimizations. - Info: Register "interrupt_handler:nobody|INT_CLEAR[29]" lost all its fanouts during netlist optimizations. - Info: Register "interrupt_handler:nobody|INT_CLEAR[28]" lost all its fanouts during netlist optimizations. - Info: Register "interrupt_handler:nobody|INT_CLEAR[27]" lost all its fanouts during netlist optimizations. - Info: Register "interrupt_handler:nobody|INT_CLEAR[26]" lost all its fanouts during netlist optimizations. - Info: Register "interrupt_handler:nobody|INT_CLEAR[25]" lost all its fanouts during netlist optimizations. - Info: Register "interrupt_handler:nobody|INT_CLEAR[24]" lost all its fanouts during netlist optimizations. - Info: Register "interrupt_handler:nobody|INT_CLEAR[23]" lost all its fanouts during netlist optimizations. - Info: Register "interrupt_handler:nobody|INT_CLEAR[22]" lost all its fanouts during netlist optimizations. - Info: Register "interrupt_handler:nobody|INT_CLEAR[21]" lost all its fanouts during netlist optimizations. - Info: Register "interrupt_handler:nobody|INT_CLEAR[20]" lost all its fanouts during netlist optimizations. - Info: Register "interrupt_handler:nobody|INT_CLEAR[19]" lost all its fanouts during netlist optimizations. - Info: Register "interrupt_handler:nobody|INT_CLEAR[18]" lost all its fanouts during netlist optimizations. - Info: Register "interrupt_handler:nobody|INT_CLEAR[17]" lost all its fanouts during netlist optimizations. - Info: Register "interrupt_handler:nobody|INT_CLEAR[16]" lost all its fanouts during netlist optimizations. - Info: Register "interrupt_handler:nobody|INT_CLEAR[15]" lost all its fanouts during netlist optimizations. - Info: Register "interrupt_handler:nobody|INT_CLEAR[14]" lost all its fanouts during netlist optimizations. - Info: Register "interrupt_handler:nobody|INT_CLEAR[13]" lost all its fanouts during netlist optimizations. - Info: Register "interrupt_handler:nobody|INT_CLEAR[12]" lost all its fanouts during netlist optimizations. - Info: Register "interrupt_handler:nobody|INT_CLEAR[11]" lost all its fanouts during netlist optimizations. - Info: Register "interrupt_handler:nobody|INT_CLEAR[10]" lost all its fanouts during netlist optimizations. - Info: Register "interrupt_handler:nobody|INT_CLEAR[7]" lost all its fanouts during netlist optimizations. - Info: Register "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|FM_In" lost all its fanouts during netlist optimizations. - Info: Register "Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_lk21:auto_generated|a_dpfifo_oq21:dpfifo|cntr_5n7:usedw_counter|counter_reg_bit[6]" lost all its fanouts during netlist optimizations. - Info: Register "Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_lk21:auto_generated|a_dpfifo_oq21:dpfifo|cntr_5n7:usedw_counter|counter_reg_bit[5]" lost all its fanouts during netlist optimizations. - Info: Register "Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_lk21:auto_generated|a_dpfifo_oq21:dpfifo|cntr_5n7:usedw_counter|counter_reg_bit[4]" lost all its fanouts during netlist optimizations. - Info: Register "Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_lk21:auto_generated|a_dpfifo_oq21:dpfifo|cntr_5n7:usedw_counter|counter_reg_bit[3]" lost all its fanouts during netlist optimizations. - Info: Register "Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_lk21:auto_generated|a_dpfifo_oq21:dpfifo|cntr_5n7:usedw_counter|counter_reg_bit[2]" lost all its fanouts during netlist optimizations. - Info: Register "Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_lk21:auto_generated|a_dpfifo_oq21:dpfifo|cntr_5n7:usedw_counter|counter_reg_bit[1]" lost all its fanouts during netlist optimizations. - Info: Register "Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_lk21:auto_generated|a_dpfifo_oq21:dpfifo|cntr_5n7:usedw_counter|counter_reg_bit[0]" lost all its fanouts during netlist optimizations. - Info: Register "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|\P_WAVSTRB:TMP" lost all its fanouts during netlist optimizations. - Info: Register "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|\P_IRQ:DCD_TRANS" lost all its fanouts during netlist optimizations. - Info: Register "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|\P_IRQ:DCD_TRANS" lost all its fanouts during netlist optimizations. - Info: Register "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|AIP" lost all its fanouts during netlist optimizations. - Info: Register "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|LA" lost all its fanouts during netlist optimizations. - Info: Register "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|BSY_ERR" lost all its fanouts during netlist optimizations. - Info: Register "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|TCR[3]" lost all its fanouts during netlist optimizations. - Info: Register "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|IDR[5]" lost all its fanouts during netlist optimizations. - Info: Register "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|IDR[4]" lost all its fanouts during netlist optimizations. - Info: Register "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|IDR[3]" lost all its fanouts during netlist optimizations. - Info: Register "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|IDR[2]" lost all its fanouts during netlist optimizations. - Info: Register "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|IDR[1]" lost all its fanouts during netlist optimizations. - Info: Register "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|IDR[0]" lost all its fanouts during netlist optimizations. - Info: Register "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|\PARITY:LOCK" lost all its fanouts during netlist optimizations. - Info: Register "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|\FM_ENCODER:CNT[7]" lost all its fanouts during netlist optimizations. - Info: Register "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|\FM_ENCODER:CNT[6]" lost all its fanouts during netlist optimizations. - Info: Register "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|\FM_ENCODER:CNT[5]" lost all its fanouts during netlist optimizations. - Info: Register "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|\FM_ENCODER:CNT[4]" lost all its fanouts during netlist optimizations. - Info: Register "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|\FM_ENCODER:CNT[3]" lost all its fanouts during netlist optimizations. - Info: Register "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|\FM_ENCODER:CNT[2]" lost all its fanouts during netlist optimizations. - Info: Register "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|\FM_ENCODER:CNT[1]" lost all its fanouts during netlist optimizations. - Info: Register "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|\FM_ENCODER:CNT[0]" lost all its fanouts during netlist optimizations. - Info: Register "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|BUS_FREE" lost all its fanouts during netlist optimizations. - Info: Register "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|\REGISTERS:BSY_LOCK" lost all its fanouts during netlist optimizations. - Info: Register "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|\P_BUSFREE:TMP[2]" lost all its fanouts during netlist optimizations. - Info: Register "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|\P_BUSFREE:TMP[1]" lost all its fanouts during netlist optimizations. - Info: Register "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|\P_BUSFREE:TMP[0]" lost all its fanouts during netlist optimizations. - Info: Register "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|IDR[7]" lost all its fanouts during netlist optimizations. - Info: Register "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|IDR[6]" lost all its fanouts during netlist optimizations. - Info: Register "Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|dffpipe_oe9:ws_bwp|dffe21a[9]" lost all its fanouts during netlist optimizations. - Info: Register "Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|dffpipe_oe9:ws_brp|dffe21a[9]" lost all its fanouts during netlist optimizations. - Info: Register "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|dffpipe_gd9:rs_bwp|dffe15a[8]" lost all its fanouts during netlist optimizations. - Info: Register "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|dffpipe_pe9:rs_brp|dffe16a[10]" lost all its fanouts during netlist optimizations. - Info: Register "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|dffpipe_pe9:ws_bwp|dffe16a[10]" lost all its fanouts during netlist optimizations. - Info: Register "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|dffpipe_gd9:ws_brp|dffe15a[8]" lost all its fanouts during netlist optimizations. - Info: Register "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|DMA_STATE.IDLE" lost all its fanouts during netlist optimizations. - Info: Register "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|DMA_STATE.DMA_STEP_1" lost all its fanouts during netlist optimizations. - Info: Register "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|DMA_STATE.DMA_STEP_2" lost all its fanouts during netlist optimizations. - Info: Register "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|DMA_STATE.DMA_STEP_3" lost all its fanouts during netlist optimizations. - Info: Register "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|DMA_STATE.DMA_STEP_4" lost all its fanouts during netlist optimizations. - Info: Register "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|CTRL_STATE.IDLE" lost all its fanouts during netlist optimizations. - Info: Register "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|CTRL_STATE.DMA_SEND" lost all its fanouts during netlist optimizations. - Info: Register "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|CTRL_STATE.DMA_TARG_RCV" lost all its fanouts during netlist optimizations. - Info: Register "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|CTRL_STATE.DMA_INIT_RCV" lost all its fanouts during netlist optimizations. - Info: Register "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|CTRL_STATE.WAIT_2200ns" lost all its fanouts during netlist optimizations. - Info: Register "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|MFM_STATE.A_00" lost all its fanouts during netlist optimizations. - Info: Register "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL|\ADDER:ADDER_DATA[12]" lost all its fanouts during netlist optimizations. -Info: Found the following redundant logic cells in design - Info (17048): Logic cell "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|cuda_combout_wire[0]" - Info (17048): Logic cell "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|cuda_combout_wire[1]" - Info (17048): Logic cell "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|cuda_combout_wire[2]" -Warning: Design contains 18 input pin(s) that do not drive logic - Warning (15610): No output dependent on input pin "nFB_BURST" - Warning (15610): No output dependent on input pin "nACSI_DRQ" - Warning (15610): No output dependent on input pin "nACSI_INT" - Warning (15610): No output dependent on input pin "nSCSI_DRQ" - Warning (15610): No output dependent on input pin "nSCSI_MSG" - Warning (15610): No output dependent on input pin "nDCHG" - Warning (15610): No output dependent on input pin "SD_DATA0" - Warning (15610): No output dependent on input pin "SD_DATA1" - Warning (15610): No output dependent on input pin "SD_DATA2" - Warning (15610): No output dependent on input pin "SD_CARD_DEDECT" - Warning (15610): No output dependent on input pin "SD_WP" - Warning (15610): No output dependent on input pin "nDACK0" - Warning (15610): No output dependent on input pin "WP_CF_CARD" - Warning (15610): No output dependent on input pin "nSCSI_C_D" - Warning (15610): No output dependent on input pin "nSCSI_I_O" - Warning (15610): No output dependent on input pin "nFB_CS3" - Warning (15610): No output dependent on input pin "TOUT0" - Warning (15610): No output dependent on input pin "nMASTER" -Info: Implemented 11489 device resources after synthesis - the final resource count might be different - Info: Implemented 51 input pins - Info: Implemented 112 output pins - Info: Implemented 132 bidirectional pins - Info: Implemented 10796 logic cells - Info: Implemented 324 RAM segments - Info: Implemented 4 PLLs - Info: Implemented 6 DSP elements -Info: Quartus II Analysis & Synthesis was successful. 0 errors, 143 warnings - Info: Peak virtual memory: 347 megabytes - Info: Processing ended: Wed Dec 15 02:21:56 2010 - Info: Elapsed time: 00:01:19 - Info: Total CPU time (on all processors): 00:01:20 - - +Analysis & Synthesis report for firebee1 +Sat Oct 20 18:22:18 2012 +Quartus II 32-bit Version 12.0 Build 263 08/02/2012 Service Pack 2 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Analysis & Synthesis Summary + 3. Analysis & Synthesis Settings + 4. Parallel Compilation + 5. Analysis & Synthesis Source Files Read + 6. Analysis & Synthesis Resource Usage Summary + 7. Analysis & Synthesis Resource Utilization by Entity + 8. Analysis & Synthesis RAM Summary + 9. Analysis & Synthesis DSP Block Usage Summary + 10. Analysis & Synthesis IP Cores Summary + 11. State Machine - |firebee1|Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FB_REGDDR + 12. State Machine - |firebee1|Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|DDR_SM + 13. State Machine - |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_STATE + 14. State Machine - |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|CMD_STATE + 15. State Machine - |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|INT_STATE + 16. State Machine - |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT|TR_STATE + 17. State Machine - |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|RCV_STATE + 18. State Machine - |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|TR_STATE + 19. State Machine - |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|RCV_STATE + 20. State Machine - |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|TR_STATE + 21. State Machine - |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|RCV_STATE + 22. State Machine - |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|DMA_STATE + 23. State Machine - |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|CTRL_STATE + 24. State Machine - |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|PRECOMP + 25. State Machine - |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|MFM_STATE + 26. State Machine - |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE + 27. Registers Protected by Synthesis + 28. User-Specified and Inferred Latches + 29. Registers Removed During Synthesis + 30. Removed Registers Triggering Further Register Optimizations + 31. General Register Statistics + 32. Inverted Register Statistics + 33. Multiplexer Restructuring Statistics (Restructuring Performed) + 34. Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated + 35. Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_graycounter_k47:rdptr_g1p + 36. Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_graycounter_fic:wrptr_g1p + 37. Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|altsyncram_bi31:fifo_ram + 38. Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|alt_synch_pipe_ikd:rs_dgwp + 39. Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|alt_synch_pipe_ikd:rs_dgwp|dffpipe_hd9:dffpipe12 + 40. Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|dffpipe_gd9:ws_brp + 41. Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|dffpipe_pe9:ws_bwp + 42. Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|alt_synch_pipe_jkd:ws_dgrp + 43. Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|alt_synch_pipe_jkd:ws_dgrp|dffpipe_id9:dffpipe17 + 44. Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated + 45. Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_graycounter_j47:rdptr_g1p + 46. Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_graycounter_gic:wrptr_g1p + 47. Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram + 48. Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|dffpipe_pe9:rs_brp + 49. Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|dffpipe_gd9:rs_bwp + 50. Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|alt_synch_pipe_kkd:rs_dgwp + 51. Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|alt_synch_pipe_kkd:rs_dgwp|dffpipe_jd9:dffpipe12 + 52. Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|alt_synch_pipe_lkd:ws_dgrp + 53. Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|alt_synch_pipe_lkd:ws_dgrp|dffpipe_kd9:dffpipe15 + 54. Source assignments for Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component + 55. Source assignments for Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated + 56. Source assignments for Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_s57:rdptr_g1p + 57. Source assignments for Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_ojc:wrptr_g1p + 58. Source assignments for Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|altsyncram_tl31:fifo_ram + 59. Source assignments for Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|alt_synch_pipe_rld:rs_dgwp + 60. Source assignments for Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|alt_synch_pipe_rld:rs_dgwp|dffpipe_qe9:dffpipe12 + 61. Source assignments for Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|dffpipe_3dc:wraclr + 62. Source assignments for Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|dffpipe_oe9:ws_brp + 63. Source assignments for Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|dffpipe_oe9:ws_bwp + 64. Source assignments for Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|alt_synch_pipe_sld:ws_dgrp + 65. Source assignments for Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|alt_synch_pipe_sld:ws_dgrp|dffpipe_re9:dffpipe19 + 66. Source assignments for Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component + 67. Source assignments for Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated + 68. Source assignments for Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_RED|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated + 69. Source assignments for Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_hk21:auto_generated|a_dpfifo_oq21:dpfifo|altsyncram_gj81:FIFOram + 70. Source assignments for Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_GREEN|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated + 71. Source assignments for Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated + 72. Source assignments for Video:Fredi_Aschwanden|altdpram0:ST_CLUT_RED|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated + 73. Source assignments for Video:Fredi_Aschwanden|altdpram0:ST_CLUT_GREEN|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated + 74. Source assignments for Video:Fredi_Aschwanden|altdpram0:ST_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated + 75. Source assignments for Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated + 76. Source assignments for Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM54|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated + 77. Source assignments for Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated + 78. Source assignments for Video:Fredi_Aschwanden|altddio_out2:inst5|altddio_out:altddio_out_component + 79. Source assignments for Video:Fredi_Aschwanden|altddio_out2:inst5|altddio_out:altddio_out_component|ddio_out_o2f:auto_generated + 80. Source assignments for Video:Fredi_Aschwanden|altddio_out0:inst2|altddio_out:altddio_out_component + 81. Source assignments for Video:Fredi_Aschwanden|altddio_out0:inst2|altddio_out:altddio_out_component|ddio_out_are:auto_generated + 82. Source assignments for altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component + 83. Source assignments for altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|altsyncram:altsyncram4|altsyncram_46r:auto_generated + 84. Source assignments for altddio_out3:inst5|altddio_out:altddio_out_component + 85. Source assignments for altddio_out3:inst5|altddio_out:altddio_out_component|ddio_out_31f:auto_generated + 86. Source assignments for altddio_out3:inst6|altddio_out:altddio_out_component + 87. Source assignments for altddio_out3:inst6|altddio_out:altddio_out_component|ddio_out_31f:auto_generated + 88. Source assignments for altddio_out3:inst8|altddio_out:altddio_out_component + 89. Source assignments for altddio_out3:inst8|altddio_out:altddio_out_component|ddio_out_31f:auto_generated + 90. Source assignments for altddio_out3:inst9|altddio_out:altddio_out_component + 91. Source assignments for altddio_out3:inst9|altddio_out:altddio_out_component|ddio_out_31f:auto_generated + 92. Parameter Settings for User Entity Instance: altpll1:inst|altpll:altpll_component + 93. Parameter Settings for User Entity Instance: FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component + 94. Parameter Settings for User Entity Instance: FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component + 95. Parameter Settings for User Entity Instance: FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL + 96. Parameter Settings for User Entity Instance: altpll3:inst13|altpll:altpll_component + 97. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_bustri_WORD:$00000|lpm_bustri:lpm_bustri_component + 98. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_bustri_WORD:$00002|lpm_bustri:lpm_bustri_component + 99. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_shiftreg6:inst89|lpm_shiftreg:lpm_shiftreg_component +100. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|lpm_bustri_BYT:$00002|lpm_bustri:lpm_bustri_component +101. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|lpm_bustri_BYT:$00004|lpm_bustri:lpm_bustri_component +102. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component +103. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_shiftreg4:inst26|lpm_shiftreg:lpm_shiftreg_component +104. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_muxVDM:inst100|LPM_MUX:lpm_mux_component +105. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff6:inst94|lpm_ff:lpm_ff_component +106. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff6:inst71|lpm_ff:lpm_ff_component +107. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff1:inst4|lpm_ff:lpm_ff_component +108. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff1:inst3|lpm_ff:lpm_ff_component +109. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component +110. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_mux5:inst22|LPM_MUX:lpm_mux_component +111. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component +112. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component +113. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component +114. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component +115. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff1:inst20|lpm_ff:lpm_ff_component +116. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff1:inst12|lpm_ff:lpm_ff_component +117. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff6:inst36|lpm_ff:lpm_ff_component +118. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_bustri_LONG:inst108|lpm_bustri:lpm_bustri_component +119. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component +120. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_bustri_LONG:inst119|lpm_bustri:lpm_bustri_component +121. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff0:inst19|lpm_ff:lpm_ff_component +122. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_shiftreg6:inst92|lpm_shiftreg:lpm_shiftreg_component +123. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_bustri_LONG:inst110|lpm_bustri:lpm_bustri_component +124. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff0:inst18|lpm_ff:lpm_ff_component +125. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_bustri_LONG:inst109|lpm_bustri:lpm_bustri_component +126. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff0:inst17|lpm_ff:lpm_ff_component +127. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_bustri3:inst66|lpm_bustri:lpm_bustri_component +128. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_RED|altsyncram:altsyncram_component +129. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_shiftreg0:sr0|lpm_shiftreg:lpm_shiftreg_component +130. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_shiftreg0:sr4|lpm_shiftreg:lpm_shiftreg_component +131. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_shiftreg0:sr5|lpm_shiftreg:lpm_shiftreg_component +132. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_shiftreg0:sr6|lpm_shiftreg:lpm_shiftreg_component +133. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_shiftreg0:sr7|lpm_shiftreg:lpm_shiftreg_component +134. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_muxDZ:inst62|LPM_MUX:lpm_mux_component +135. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component +136. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_shiftreg0:sr1|lpm_shiftreg:lpm_shiftreg_component +137. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_shiftreg0:sr2|lpm_shiftreg:lpm_shiftreg_component +138. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_shiftreg0:sr3|lpm_shiftreg:lpm_shiftreg_component +139. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_bustri3:inst70|lpm_bustri:lpm_bustri_component +140. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_GREEN|altsyncram:altsyncram_component +141. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_bustri3:inst74|lpm_bustri:lpm_bustri_component +142. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_BLUE|altsyncram:altsyncram_component +143. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_bustri1:inst51|lpm_bustri:lpm_bustri_component +144. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|altdpram0:ST_CLUT_RED|altsyncram:altsyncram_component +145. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_bustri1:inst56|lpm_bustri:lpm_bustri_component +146. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|altdpram0:ST_CLUT_GREEN|altsyncram:altsyncram_component +147. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_bustri1:inst61|lpm_bustri:lpm_bustri_component +148. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|altdpram0:ST_CLUT_BLUE|altsyncram:altsyncram_component +149. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_bustri_BYT:inst58|lpm_bustri:lpm_bustri_component +150. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55|altsyncram:altsyncram_component +151. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_mux3:inst102|LPM_MUX:lpm_mux_component +152. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff5:inst11|lpm_ff:lpm_ff_component +153. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_mux2:inst25|LPM_MUX:lpm_mux_component +154. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_mux4:inst81|LPM_MUX:lpm_mux_component +155. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_constant3:inst82|lpm_constant:lpm_constant_component +156. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_bustri_BYT:inst57|lpm_bustri:lpm_bustri_component +157. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM54|altsyncram:altsyncram_component +158. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_bustri_BYT:inst53|lpm_bustri:lpm_bustri_component +159. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM|altsyncram:altsyncram_component +160. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|altddio_out2:inst5|altddio_out:altddio_out_component +161. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_mux6:inst7|LPM_MUX:lpm_mux_component +162. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff3:inst49|lpm_ff:lpm_ff_component +163. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff3:inst52|lpm_ff:lpm_ff_component +164. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_constant0:inst59|lpm_constant:lpm_constant_component +165. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_constant0:inst54|lpm_constant:lpm_constant_component +166. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_constant0:inst64|lpm_constant:lpm_constant_component +167. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff3:inst46|lpm_ff:lpm_ff_component +168. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff3:inst47|lpm_ff:lpm_ff_component +169. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_constant1:inst77|lpm_constant:lpm_constant_component +170. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_constant1:inst80|lpm_constant:lpm_constant_component +171. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_constant1:inst83|lpm_constant:lpm_constant_component +172. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff4:inst10|lpm_ff:lpm_ff_component +173. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_mux1:inst24|LPM_MUX:lpm_mux_component +174. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_constant2:inst23|lpm_constant:lpm_constant_component +175. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff1:inst9|lpm_ff:lpm_ff_component +176. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_mux0:inst21|LPM_MUX:lpm_mux_component +177. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|altddio_out0:inst2|altddio_out:altddio_out_component +178. Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff5:inst97|lpm_ff:lpm_ff_component +179. Parameter Settings for User Entity Instance: altpll2:inst12|altpll:altpll_component +180. Parameter Settings for User Entity Instance: altpll4:inst22|altpll:altpll_component +181. Parameter Settings for User Entity Instance: altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component +182. Parameter Settings for User Entity Instance: altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|altsyncram:altsyncram4 +183. Parameter Settings for User Entity Instance: altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_add_sub:add_sub5 +184. Parameter Settings for User Entity Instance: altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_add_sub:add_sub6 +185. Parameter Settings for User Entity Instance: altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_compare:cmpr7 +186. Parameter Settings for User Entity Instance: altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr1 +187. Parameter Settings for User Entity Instance: altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr12 +188. Parameter Settings for User Entity Instance: altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr13 +189. Parameter Settings for User Entity Instance: altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr14 +190. Parameter Settings for User Entity Instance: altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr15 +191. Parameter Settings for User Entity Instance: altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr2 +192. Parameter Settings for User Entity Instance: altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr3 +193. Parameter Settings for User Entity Instance: altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_decode:decode11 +194. Parameter Settings for User Entity Instance: lpm_ff0:inst1|lpm_ff:lpm_ff_component +195. Parameter Settings for User Entity Instance: interrupt_handler:nobody|lpm_bustri_BYT:$00000|lpm_bustri:lpm_bustri_component +196. Parameter Settings for User Entity Instance: interrupt_handler:nobody|lpm_bustri_BYT:$00002|lpm_bustri:lpm_bustri_component +197. Parameter Settings for User Entity Instance: interrupt_handler:nobody|lpm_bustri_BYT:$00004|lpm_bustri:lpm_bustri_component +198. Parameter Settings for User Entity Instance: interrupt_handler:nobody|lpm_bustri_BYT:$00006|lpm_bustri:lpm_bustri_component +199. Parameter Settings for User Entity Instance: lpm_counter0:inst18|lpm_counter:lpm_counter_component +200. Parameter Settings for User Entity Instance: altddio_out3:inst5|altddio_out:altddio_out_component +201. Parameter Settings for User Entity Instance: altddio_out3:inst6|altddio_out:altddio_out_component +202. Parameter Settings for User Entity Instance: altddio_out3:inst8|altddio_out:altddio_out_component +203. Parameter Settings for User Entity Instance: altddio_out3:inst9|altddio_out:altddio_out_component +204. Parameter Settings for Inferred Entity Instance: Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_14 +205. Parameter Settings for Inferred Entity Instance: Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_6 +206. Parameter Settings for Inferred Entity Instance: Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_12 +207. altpll Parameter Settings by Entity Instance +208. lpm_shiftreg Parameter Settings by Entity Instance +209. dcfifo Parameter Settings by Entity Instance +210. scfifo Parameter Settings by Entity Instance +211. altsyncram Parameter Settings by Entity Instance +212. lpm_mult Parameter Settings by Entity Instance +213. Port Connectivity Checks: "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND" +214. Port Connectivity Checks: "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP" +215. Port Connectivity Checks: "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI" +216. Port Connectivity Checks: "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD" +217. Port Connectivity Checks: "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS" +218. Port Connectivity Checks: "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI" +219. Port Connectivity Checks: "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC" +220. Elapsed Time Per Partition +221. Analysis & Synthesis Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2012 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++------------------------------------------------------------------------------------+ +; Analysis & Synthesis Summary ; ++------------------------------------+-----------------------------------------------+ +; Analysis & Synthesis Status ; Successful - Sat Oct 20 18:22:17 2012 ; +; Quartus II 32-bit Version ; 12.0 Build 263 08/02/2012 SP 2 SJ Web Edition ; +; Revision Name ; firebee1 ; +; Top-level Entity Name ; firebee1 ; +; Family ; Cyclone III ; +; Total logic elements ; 10,604 ; +; Total combinational functions ; 7,954 ; +; Dedicated logic registers ; 4,622 ; +; Total registers ; 4750 ; +; Total pins ; 295 ; +; Total virtual pins ; 0 ; +; Total memory bits ; 109,344 ; +; Embedded Multiplier 9-bit elements ; 6 ; +; Total PLLs ; 4 ; ++------------------------------------+-----------------------------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Settings ; ++----------------------------------------------------------------------------+--------------------+--------------------+ +; Option ; Setting ; Default Value ; ++----------------------------------------------------------------------------+--------------------+--------------------+ +; Device ; EP3C40F484C6 ; ; +; Top-level entity name ; firebee1 ; firebee1 ; +; Family name ; Cyclone III ; Stratix II ; +; State Machine Processing ; One-Hot ; Auto ; +; Optimization Technique ; Speed ; Balanced ; +; Use smart compilation ; Off ; Off ; +; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; +; Enable compact report table ; Off ; Off ; +; Restructure Multiplexers ; Auto ; Auto ; +; Create Debugging Nodes for IP Cores ; Off ; Off ; +; Preserve fewer node names ; On ; On ; +; Disable OpenCore Plus hardware evaluation ; Off ; Off ; +; Verilog Version ; Verilog_2001 ; Verilog_2001 ; +; VHDL Version ; VHDL_1993 ; VHDL_1993 ; +; Safe State Machine ; Off ; Off ; +; Extract Verilog State Machines ; On ; On ; +; Extract VHDL State Machines ; On ; On ; +; Ignore Verilog initial constructs ; Off ; Off ; +; Iteration limit for constant Verilog loops ; 5000 ; 5000 ; +; Iteration limit for non-constant Verilog loops ; 250 ; 250 ; +; Add Pass-Through Logic to Inferred RAMs ; On ; On ; +; Infer RAMs from Raw Logic ; On ; On ; +; Parallel Synthesis ; On ; On ; +; DSP Block Balancing ; Auto ; Auto ; +; NOT Gate Push-Back ; On ; On ; +; Power-Up Don't Care ; On ; On ; +; Remove Redundant Logic Cells ; Off ; Off ; +; Remove Duplicate Registers ; On ; On ; +; Ignore CARRY Buffers ; Off ; Off ; +; Ignore CASCADE Buffers ; Off ; Off ; +; Ignore GLOBAL Buffers ; Off ; Off ; +; Ignore ROW GLOBAL Buffers ; Off ; Off ; +; Ignore LCELL Buffers ; Off ; Off ; +; Ignore SOFT Buffers ; On ; On ; +; Limit AHDL Integers to 32 Bits ; Off ; Off ; +; Carry Chain Length ; 70 ; 70 ; +; Auto Carry Chains ; On ; On ; +; Auto Open-Drain Pins ; On ; On ; +; Perform WYSIWYG Primitive Resynthesis ; Off ; Off ; +; Auto ROM Replacement ; On ; On ; +; Auto RAM Replacement ; On ; On ; +; Auto DSP Block Replacement ; On ; On ; +; Auto Shift Register Replacement ; Auto ; Auto ; +; Allow Shift Register Merging across Hierarchies ; Auto ; Auto ; +; Auto Clock Enable Replacement ; On ; On ; +; Strict RAM Replacement ; Off ; Off ; +; Allow Synchronous Control Signals ; On ; On ; +; Force Use of Synchronous Clear Signals ; Off ; Off ; +; Auto RAM Block Balancing ; On ; On ; +; Auto RAM to Logic Cell Conversion ; Off ; Off ; +; Auto Resource Sharing ; Off ; Off ; +; Allow Any RAM Size For Recognition ; Off ; Off ; +; Allow Any ROM Size For Recognition ; Off ; Off ; +; Allow Any Shift Register Size For Recognition ; Off ; Off ; +; Use LogicLock Constraints during Resource Balancing ; On ; On ; +; Ignore translate_off and synthesis_off directives ; Off ; Off ; +; Timing-Driven Synthesis ; On ; On ; +; Report Parameter Settings ; On ; On ; +; Report Source Assignments ; On ; On ; +; Report Connectivity Checks ; On ; On ; +; Ignore Maximum Fan-Out Assignments ; Off ; Off ; +; Synchronization Register Chain Length ; 2 ; 2 ; +; PowerPlay Power Optimization ; Normal compilation ; Normal compilation ; +; HDL message level ; Level2 ; Level2 ; +; Suppress Register Optimization Related Messages ; Off ; Off ; +; Number of Removed Registers Reported in Synthesis Report ; 5000 ; 5000 ; +; Number of Swept Nodes Reported in Synthesis Report ; 5000 ; 5000 ; +; Number of Inverted Registers Reported in Synthesis Report ; 100 ; 100 ; +; Clock MUX Protection ; On ; On ; +; Auto Gated Clock Conversion ; Off ; Off ; +; Block Design Naming ; Auto ; Auto ; +; SDC constraint protection ; Off ; Off ; +; Synthesis Effort ; Auto ; Auto ; +; Shift Register Replacement - Allow Asynchronous Clear Signal ; On ; On ; +; Analysis & Synthesis Message Level ; Medium ; Medium ; +; Disable Register Merging Across Hierarchies ; Auto ; Auto ; +; Resource Aware Inference For Block RAM ; On ; On ; +; Synthesis Seed ; 1 ; 1 ; ++----------------------------------------------------------------------------+--------------------+--------------------+ + + +Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. ++-------------------------------------+ +; Parallel Compilation ; ++----------------------------+--------+ +; Processors ; Number ; ++----------------------------+--------+ +; Number detected on machine ; 4 ; +; Maximum allowed ; 1 ; ++----------------------------+--------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Source Files Read ; ++----------------------------------------------------------------+-----------------+------------------------------------+--------------------------------------------------------------------------------------------------------------------------------------+---------+ +; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; ++----------------------------------------------------------------+-----------------+------------------------------------+--------------------------------------------------------------------------------------------------------------------------------------+---------+ +; Interrupt_Handler/interrupt_handler.tdf ; yes ; User AHDL File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Interrupt_Handler/interrupt_handler.tdf ; ; +; DSP/DSP.vhd ; yes ; User VHDL File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/DSP/DSP.vhd ; ; +; FalconIO_SDCard_IDE_CF/FalconIO_SDCard_IDE_CF.vhd ; yes ; User VHDL File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/FalconIO_SDCard_IDE_CF/FalconIO_SDCard_IDE_CF.vhd ; ; +; Video/BLITTER/BLITTER.vhd ; yes ; User VHDL File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/BLITTER/BLITTER.vhd ; ; +; FalconIO_SDCard_IDE_CF/WF5380/wf5380_control.vhd ; yes ; User VHDL File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/FalconIO_SDCard_IDE_CF/WF5380/wf5380_control.vhd ; ; +; FalconIO_SDCard_IDE_CF/WF5380/wf5380_pkg.vhd ; yes ; User VHDL File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/FalconIO_SDCard_IDE_CF/WF5380/wf5380_pkg.vhd ; ; +; FalconIO_SDCard_IDE_CF/WF5380/wf5380_registers.vhd ; yes ; User VHDL File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/FalconIO_SDCard_IDE_CF/WF5380/wf5380_registers.vhd ; ; +; FalconIO_SDCard_IDE_CF/WF5380/wf5380_soc_top.vhd ; yes ; User VHDL File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/FalconIO_SDCard_IDE_CF/WF5380/wf5380_soc_top.vhd ; ; +; FalconIO_SDCard_IDE_CF/WF_FDC1772_IP/wf1772ip_am_detector.vhd ; yes ; User VHDL File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/FalconIO_SDCard_IDE_CF/WF_FDC1772_IP/wf1772ip_am_detector.vhd ; ; +; FalconIO_SDCard_IDE_CF/dcfifo0.vhd ; yes ; User Wizard-Generated File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/FalconIO_SDCard_IDE_CF/dcfifo0.vhd ; ; +; Video/DDR_CTR.tdf ; yes ; User AHDL File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/DDR_CTR.tdf ; ; +; FalconIO_SDCard_IDE_CF/WF_FDC1772_IP/wf1772ip_control.vhd ; yes ; User VHDL File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/FalconIO_SDCard_IDE_CF/WF_FDC1772_IP/wf1772ip_control.vhd ; ; +; FalconIO_SDCard_IDE_CF/WF_FDC1772_IP/wf1772ip_crc_logic.vhd ; yes ; User VHDL File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/FalconIO_SDCard_IDE_CF/WF_FDC1772_IP/wf1772ip_crc_logic.vhd ; ; +; FalconIO_SDCard_IDE_CF/WF_FDC1772_IP/wf1772ip_digital_pll.vhd ; yes ; User VHDL File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/FalconIO_SDCard_IDE_CF/WF_FDC1772_IP/wf1772ip_digital_pll.vhd ; ; +; FalconIO_SDCard_IDE_CF/WF_FDC1772_IP/wf1772ip_pkg.vhd ; yes ; User VHDL File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/FalconIO_SDCard_IDE_CF/WF_FDC1772_IP/wf1772ip_pkg.vhd ; ; +; FalconIO_SDCard_IDE_CF/WF_FDC1772_IP/wf1772ip_registers.vhd ; yes ; User VHDL File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/FalconIO_SDCard_IDE_CF/WF_FDC1772_IP/wf1772ip_registers.vhd ; ; +; FalconIO_SDCard_IDE_CF/WF_FDC1772_IP/wf1772ip_top_soc.vhd ; yes ; User VHDL File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/FalconIO_SDCard_IDE_CF/WF_FDC1772_IP/wf1772ip_top_soc.vhd ; ; +; FalconIO_SDCard_IDE_CF/WF_FDC1772_IP/wf1772ip_transceiver.vhd ; yes ; User VHDL File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/FalconIO_SDCard_IDE_CF/WF_FDC1772_IP/wf1772ip_transceiver.vhd ; ; +; FalconIO_SDCard_IDE_CF/WF_UART6850_IP/wf6850ip_ctrl_status.vhd ; yes ; User VHDL File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/FalconIO_SDCard_IDE_CF/WF_UART6850_IP/wf6850ip_ctrl_status.vhd ; ; +; FalconIO_SDCard_IDE_CF/WF_UART6850_IP/wf6850ip_receive.vhd ; yes ; User VHDL File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/FalconIO_SDCard_IDE_CF/WF_UART6850_IP/wf6850ip_receive.vhd ; ; +; FalconIO_SDCard_IDE_CF/WF_UART6850_IP/wf6850ip_top_soc.vhd ; yes ; User VHDL File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/FalconIO_SDCard_IDE_CF/WF_UART6850_IP/wf6850ip_top_soc.vhd ; ; +; FalconIO_SDCard_IDE_CF/WF_UART6850_IP/wf6850ip_transmit.vhd ; yes ; User VHDL File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/FalconIO_SDCard_IDE_CF/WF_UART6850_IP/wf6850ip_transmit.vhd ; ; +; FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_gpio.vhd ; yes ; User VHDL File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_gpio.vhd ; ; +; FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_interrupts.vhd ; yes ; User VHDL File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_interrupts.vhd ; ; +; FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_pkg.vhd ; yes ; User VHDL File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_pkg.vhd ; ; +; FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_timers.vhd ; yes ; User VHDL File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_timers.vhd ; ; +; FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_top_soc.vhd ; yes ; User VHDL File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_top_soc.vhd ; ; +; FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_usart_ctrl.vhd ; yes ; User VHDL File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_usart_ctrl.vhd ; ; +; FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_usart_rx.vhd ; yes ; User VHDL File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_usart_rx.vhd ; ; +; FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_usart_top.vhd ; yes ; User VHDL File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_usart_top.vhd ; ; +; FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_usart_tx.vhd ; yes ; User VHDL File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_usart_tx.vhd ; ; +; FalconIO_SDCard_IDE_CF/WF_SND2149_IP/wf2149ip_pkg.vhd ; yes ; User VHDL File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/FalconIO_SDCard_IDE_CF/WF_SND2149_IP/wf2149ip_pkg.vhd ; ; +; FalconIO_SDCard_IDE_CF/WF_SND2149_IP/wf2149ip_top_soc.vhd ; yes ; User VHDL File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/FalconIO_SDCard_IDE_CF/WF_SND2149_IP/wf2149ip_top_soc.vhd ; ; +; FalconIO_SDCard_IDE_CF/WF_SND2149_IP/wf2149ip_wave.vhd ; yes ; User VHDL File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/FalconIO_SDCard_IDE_CF/WF_SND2149_IP/wf2149ip_wave.vhd ; ; +; lpm_latch0.vhd ; yes ; User Wizard-Generated File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/lpm_latch0.vhd ; ; +; altpll1.vhd ; yes ; User Wizard-Generated File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/altpll1.vhd ; ; +; Video/lpm_fifoDZ.vhd ; yes ; User Wizard-Generated File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_fifoDZ.vhd ; ; +; altpll2.vhd ; yes ; User Wizard-Generated File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/altpll2.vhd ; ; +; altpll3.vhd ; yes ; User Wizard-Generated File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/altpll3.vhd ; ; +; Video/altdpram0.vhd ; yes ; User Wizard-Generated File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/altdpram0.vhd ; ; +; Video/lpm_muxDZ.vhd ; yes ; User Wizard-Generated File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_muxDZ.vhd ; ; +; Video/lpm_bustri3.vhd ; yes ; User Wizard-Generated File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_bustri3.vhd ; ; +; Video/lpm_ff0.vhd ; yes ; User Wizard-Generated File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_ff0.vhd ; ; +; Video/lpm_ff1.vhd ; yes ; User Wizard-Generated File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_ff1.vhd ; ; +; Video/lpm_ff3.vhd ; yes ; User Wizard-Generated File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_ff3.vhd ; ; +; Video/VIDEO_MOD_MUX_CLUTCTR.tdf ; yes ; User AHDL File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/VIDEO_MOD_MUX_CLUTCTR.tdf ; ; +; Video/lpm_fifo_dc0.vhd ; yes ; User Wizard-Generated File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_fifo_dc0.vhd ; ; +; Video/Video.bdf ; yes ; User Block Diagram/Schematic File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/Video.bdf ; ; +; firebee1.bdf ; yes ; User Block Diagram/Schematic File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/firebee1.bdf ; ; +; lpm_counter0.vhd ; yes ; User Wizard-Generated File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/lpm_counter0.vhd ; ; +; Video/lpm_shiftreg0.vhd ; yes ; User Wizard-Generated File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_shiftreg0.vhd ; ; +; Video/lpm_bustri1.vhd ; yes ; User Wizard-Generated File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_bustri1.vhd ; ; +; Video/altdpram1.vhd ; yes ; User Wizard-Generated File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/altdpram1.vhd ; ; +; Video/lpm_constant0.vhd ; yes ; User Wizard-Generated File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_constant0.vhd ; ; +; Video/lpm_constant1.vhd ; yes ; User Wizard-Generated File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_constant1.vhd ; ; +; Video/lpm_mux0.vhd ; yes ; User Wizard-Generated File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_mux0.vhd ; ; +; Video/lpm_mux1.vhd ; yes ; User Wizard-Generated File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_mux1.vhd ; ; +; Video/lpm_mux2.vhd ; yes ; User Wizard-Generated File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_mux2.vhd ; ; +; Video/lpm_constant2.vhd ; yes ; User Wizard-Generated File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_constant2.vhd ; ; +; Video/altdpram2.vhd ; yes ; User Wizard-Generated File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/altdpram2.vhd ; ; +; Video/lpm_mux3.vhd ; yes ; User Wizard-Generated File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_mux3.vhd ; ; +; Video/lpm_mux4.vhd ; yes ; User Wizard-Generated File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_mux4.vhd ; ; +; Video/lpm_constant3.vhd ; yes ; User Wizard-Generated File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_constant3.vhd ; ; +; lpm_bustri_LONG.vhd ; yes ; User Wizard-Generated File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/lpm_bustri_LONG.vhd ; ; +; lpm_bustri_BYT.vhd ; yes ; User Wizard-Generated File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/lpm_bustri_BYT.vhd ; ; +; lpm_bustri_WORD.vhd ; yes ; User Wizard-Generated File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/lpm_bustri_WORD.vhd ; ; +; Video/lpm_ff4.vhd ; yes ; User Wizard-Generated File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_ff4.vhd ; ; +; Video/lpm_ff5.vhd ; yes ; User Wizard-Generated File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_ff5.vhd ; ; +; Video/lpm_ff6.vhd ; yes ; User Wizard-Generated File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_ff6.vhd ; ; +; Video/altddio_bidir0.vhd ; yes ; User Wizard-Generated File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/altddio_bidir0.vhd ; ; +; Video/altddio_out0.vhd ; yes ; User Wizard-Generated File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/altddio_out0.vhd ; ; +; Video/lpm_mux5.vhd ; yes ; User Wizard-Generated File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_mux5.vhd ; ; +; Video/lpm_shiftreg6.vhd ; yes ; User Wizard-Generated File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_shiftreg6.vhd ; ; +; Video/lpm_shiftreg4.vhd ; yes ; User Wizard-Generated File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_shiftreg4.vhd ; ; +; Video/altddio_out2.vhd ; yes ; User Wizard-Generated File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/altddio_out2.vhd ; ; +; altddio_out3.vhd ; yes ; User Wizard-Generated File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/altddio_out3.vhd ; ; +; Video/lpm_mux6.vhd ; yes ; User Wizard-Generated File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_mux6.vhd ; ; +; FalconIO_SDCard_IDE_CF/FalconIO_SDCard_IDE_CF_pgk.vhd ; yes ; User VHDL File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/FalconIO_SDCard_IDE_CF/FalconIO_SDCard_IDE_CF_pgk.vhd ; ; +; FalconIO_SDCard_IDE_CF/dcfifo1.vhd ; yes ; User Wizard-Generated File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/FalconIO_SDCard_IDE_CF/dcfifo1.vhd ; ; +; Video/lpm_muxVDM.vhd ; yes ; User Wizard-Generated File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_muxVDM.vhd ; ; +; lpm_bustri_LONG.inc ; yes ; Auto-Found AHDL File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/lpm_bustri_LONG.inc ; ; +; lpm_bustri_BYT.inc ; yes ; Auto-Found AHDL File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/lpm_bustri_BYT.inc ; ; +; lpm_bustri_WORD.inc ; yes ; Auto-Found AHDL File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/lpm_bustri_WORD.inc ; ; +; altpll.tdf ; yes ; Megafunction ; /opt/altera/12.0sp2/quartus/libraries/megafunctions/altpll.tdf ; ; +; aglobal120.inc ; yes ; Megafunction ; /opt/altera/12.0sp2/quartus/libraries/megafunctions/aglobal120.inc ; ; +; stratix_pll.inc ; yes ; Megafunction ; /opt/altera/12.0sp2/quartus/libraries/megafunctions/stratix_pll.inc ; ; +; stratixii_pll.inc ; yes ; Megafunction ; /opt/altera/12.0sp2/quartus/libraries/megafunctions/stratixii_pll.inc ; ; +; cycloneii_pll.inc ; yes ; Megafunction ; /opt/altera/12.0sp2/quartus/libraries/megafunctions/cycloneii_pll.inc ; ; +; db/altpll_8tp2.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/altpll_8tp2.tdf ; ; +; dcfifo_mixed_widths.tdf ; yes ; Megafunction ; /opt/altera/12.0sp2/quartus/libraries/megafunctions/dcfifo_mixed_widths.tdf ; ; +; db/dcfifo_0hh1.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/dcfifo_0hh1.tdf ; ; +; db/a_gray2bin_lfb.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/a_gray2bin_lfb.tdf ; ; +; db/a_graycounter_k47.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/a_graycounter_k47.tdf ; ; +; db/a_graycounter_fic.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/a_graycounter_fic.tdf ; ; +; db/altsyncram_bi31.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/altsyncram_bi31.tdf ; ; +; db/alt_synch_pipe_ikd.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/alt_synch_pipe_ikd.tdf ; ; +; db/dffpipe_hd9.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/dffpipe_hd9.tdf ; ; +; db/dffpipe_gd9.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/dffpipe_gd9.tdf ; ; +; db/dffpipe_pe9.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/dffpipe_pe9.tdf ; ; +; db/alt_synch_pipe_jkd.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/alt_synch_pipe_jkd.tdf ; ; +; db/dffpipe_id9.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/dffpipe_id9.tdf ; ; +; db/cmpr_256.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/cmpr_256.tdf ; ; +; db/cmpr_156.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/cmpr_156.tdf ; ; +; db/cntr_t2e.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/cntr_t2e.tdf ; ; +; db/mux_a18.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/mux_a18.tdf ; ; +; db/dcfifo_3fh1.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/dcfifo_3fh1.tdf ; ; +; db/a_graycounter_j47.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/a_graycounter_j47.tdf ; ; +; db/a_graycounter_gic.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/a_graycounter_gic.tdf ; ; +; db/altsyncram_ci31.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/altsyncram_ci31.tdf ; ; +; db/alt_synch_pipe_kkd.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/alt_synch_pipe_kkd.tdf ; ; +; db/dffpipe_jd9.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/dffpipe_jd9.tdf ; ; +; db/alt_synch_pipe_lkd.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/alt_synch_pipe_lkd.tdf ; ; +; db/dffpipe_kd9.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/dffpipe_kd9.tdf ; ; +; db/altpll_jvs2.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/altpll_jvs2.tdf ; ; +; lpm_bustri.tdf ; yes ; Megafunction ; /opt/altera/12.0sp2/quartus/libraries/megafunctions/lpm_bustri.tdf ; ; +; lpm_shiftreg.tdf ; yes ; Megafunction ; /opt/altera/12.0sp2/quartus/libraries/megafunctions/lpm_shiftreg.tdf ; ; +; lpm_constant.inc ; yes ; Megafunction ; /opt/altera/12.0sp2/quartus/libraries/megafunctions/lpm_constant.inc ; ; +; dffeea.inc ; yes ; Megafunction ; /opt/altera/12.0sp2/quartus/libraries/megafunctions/dffeea.inc ; ; +; dcfifo.tdf ; yes ; Megafunction ; /opt/altera/12.0sp2/quartus/libraries/megafunctions/dcfifo.tdf ; ; +; lpm_counter.inc ; yes ; Megafunction ; /opt/altera/12.0sp2/quartus/libraries/megafunctions/lpm_counter.inc ; ; +; lpm_add_sub.inc ; yes ; Megafunction ; /opt/altera/12.0sp2/quartus/libraries/megafunctions/lpm_add_sub.inc ; ; +; altdpram.inc ; yes ; Megafunction ; /opt/altera/12.0sp2/quartus/libraries/megafunctions/altdpram.inc ; ; +; a_graycounter.inc ; yes ; Megafunction ; /opt/altera/12.0sp2/quartus/libraries/megafunctions/a_graycounter.inc ; ; +; a_fefifo.inc ; yes ; Megafunction ; /opt/altera/12.0sp2/quartus/libraries/megafunctions/a_fefifo.inc ; ; +; a_gray2bin.inc ; yes ; Megafunction ; /opt/altera/12.0sp2/quartus/libraries/megafunctions/a_gray2bin.inc ; ; +; dffpipe.inc ; yes ; Megafunction ; /opt/altera/12.0sp2/quartus/libraries/megafunctions/dffpipe.inc ; ; +; alt_sync_fifo.inc ; yes ; Megafunction ; /opt/altera/12.0sp2/quartus/libraries/megafunctions/alt_sync_fifo.inc ; ; +; lpm_compare.inc ; yes ; Megafunction ; /opt/altera/12.0sp2/quartus/libraries/megafunctions/lpm_compare.inc ; ; +; altsyncram_fifo.inc ; yes ; Megafunction ; /opt/altera/12.0sp2/quartus/libraries/megafunctions/altsyncram_fifo.inc ; ; +; db/dcfifo_8fi1.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/dcfifo_8fi1.tdf ; ; +; db/a_gray2bin_tgb.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/a_gray2bin_tgb.tdf ; ; +; db/a_graycounter_s57.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/a_graycounter_s57.tdf ; ; +; db/a_graycounter_ojc.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/a_graycounter_ojc.tdf ; ; +; db/altsyncram_tl31.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/altsyncram_tl31.tdf ; ; +; db/alt_synch_pipe_rld.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/alt_synch_pipe_rld.tdf ; ; +; db/dffpipe_qe9.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/dffpipe_qe9.tdf ; ; +; db/dffpipe_3dc.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/dffpipe_3dc.tdf ; ; +; db/dffpipe_oe9.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/dffpipe_oe9.tdf ; ; +; db/alt_synch_pipe_sld.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/alt_synch_pipe_sld.tdf ; ; +; db/dffpipe_re9.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/dffpipe_re9.tdf ; ; +; lpm_mux.tdf ; yes ; Megafunction ; /opt/altera/12.0sp2/quartus/libraries/megafunctions/lpm_mux.tdf ; ; +; muxlut.inc ; yes ; Megafunction ; /opt/altera/12.0sp2/quartus/libraries/megafunctions/muxlut.inc ; ; +; bypassff.inc ; yes ; Megafunction ; /opt/altera/12.0sp2/quartus/libraries/megafunctions/bypassff.inc ; ; +; altshift.inc ; yes ; Megafunction ; /opt/altera/12.0sp2/quartus/libraries/megafunctions/altshift.inc ; ; +; db/mux_bbe.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/mux_bbe.tdf ; ; +; lpm_ff.tdf ; yes ; Megafunction ; /opt/altera/12.0sp2/quartus/libraries/megafunctions/lpm_ff.tdf ; ; +; altddio_bidir.tdf ; yes ; Megafunction ; /opt/altera/12.0sp2/quartus/libraries/megafunctions/altddio_bidir.tdf ; ; +; stratix_ddio.inc ; yes ; Megafunction ; /opt/altera/12.0sp2/quartus/libraries/megafunctions/stratix_ddio.inc ; ; +; cyclone_ddio.inc ; yes ; Megafunction ; /opt/altera/12.0sp2/quartus/libraries/megafunctions/cyclone_ddio.inc ; ; +; lpm_mux.inc ; yes ; Megafunction ; /opt/altera/12.0sp2/quartus/libraries/megafunctions/lpm_mux.inc ; ; +; stratix_lcell.inc ; yes ; Megafunction ; /opt/altera/12.0sp2/quartus/libraries/megafunctions/stratix_lcell.inc ; ; +; db/ddio_bidir_3jl.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/ddio_bidir_3jl.tdf ; ; +; db/mux_58e.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/mux_58e.tdf ; ; +; lpm_latch.tdf ; yes ; Megafunction ; /opt/altera/12.0sp2/quartus/libraries/megafunctions/lpm_latch.tdf ; ; +; altsyncram.tdf ; yes ; Megafunction ; /opt/altera/12.0sp2/quartus/libraries/megafunctions/altsyncram.tdf ; ; +; stratix_ram_block.inc ; yes ; Megafunction ; /opt/altera/12.0sp2/quartus/libraries/megafunctions/stratix_ram_block.inc ; ; +; lpm_decode.inc ; yes ; Megafunction ; /opt/altera/12.0sp2/quartus/libraries/megafunctions/lpm_decode.inc ; ; +; a_rdenreg.inc ; yes ; Megafunction ; /opt/altera/12.0sp2/quartus/libraries/megafunctions/a_rdenreg.inc ; ; +; altrom.inc ; yes ; Megafunction ; /opt/altera/12.0sp2/quartus/libraries/megafunctions/altrom.inc ; ; +; altram.inc ; yes ; Megafunction ; /opt/altera/12.0sp2/quartus/libraries/megafunctions/altram.inc ; ; +; db/altsyncram_lf92.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/altsyncram_lf92.tdf ; ; +; mux41.bdf ; yes ; Megafunction ; /opt/altera/12.0sp2/quartus/libraries/others/maxplus2/mux41.bdf ; ; +; db/mux_dcf.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/mux_dcf.tdf ; ; +; scfifo.tdf ; yes ; Megafunction ; /opt/altera/12.0sp2/quartus/libraries/megafunctions/scfifo.tdf ; ; +; a_regfifo.inc ; yes ; Megafunction ; /opt/altera/12.0sp2/quartus/libraries/megafunctions/a_regfifo.inc ; ; +; a_dpfifo.inc ; yes ; Megafunction ; /opt/altera/12.0sp2/quartus/libraries/megafunctions/a_dpfifo.inc ; ; +; a_i2fifo.inc ; yes ; Megafunction ; /opt/altera/12.0sp2/quartus/libraries/megafunctions/a_i2fifo.inc ; ; +; a_fffifo.inc ; yes ; Megafunction ; /opt/altera/12.0sp2/quartus/libraries/megafunctions/a_fffifo.inc ; ; +; a_f2fifo.inc ; yes ; Megafunction ; /opt/altera/12.0sp2/quartus/libraries/megafunctions/a_f2fifo.inc ; ; +; db/scfifo_hk21.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/scfifo_hk21.tdf ; ; +; db/a_dpfifo_oq21.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/a_dpfifo_oq21.tdf ; ; +; db/altsyncram_gj81.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/altsyncram_gj81.tdf ; ; +; db/cmpr_br8.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/cmpr_br8.tdf ; ; +; db/cntr_omb.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/cntr_omb.tdf ; ; +; db/cntr_5n7.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/cntr_5n7.tdf ; ; +; db/cntr_pmb.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/cntr_pmb.tdf ; ; +; db/altsyncram_rb92.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/altsyncram_rb92.tdf ; ; +; db/altsyncram_pf92.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/altsyncram_pf92.tdf ; ; +; db/mux_96e.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/mux_96e.tdf ; ; +; db/mux_mpe.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/mux_mpe.tdf ; ; +; db/mux_f6e.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/mux_f6e.tdf ; ; +; lpm_constant.tdf ; yes ; Megafunction ; /opt/altera/12.0sp2/quartus/libraries/megafunctions/lpm_constant.tdf ; ; +; altddio_out.tdf ; yes ; Megafunction ; /opt/altera/12.0sp2/quartus/libraries/megafunctions/altddio_out.tdf ; ; +; db/ddio_out_o2f.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/ddio_out_o2f.tdf ; ; +; db/mux_kpe.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/mux_kpe.tdf ; ; +; db/mux_npe.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/mux_npe.tdf ; ; +; db/mux_gpe.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/mux_gpe.tdf ; ; +; db/ddio_out_are.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/ddio_out_are.tdf ; ; +; db/altpll_1r33.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/altpll_1r33.tdf ; ; +; altpll4.tdf ; yes ; Auto-Found Wizard-Generated File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/altpll4.tdf ; ; +; altpll.inc ; yes ; Auto-Found AHDL File ; /opt/altera/12.0sp2/quartus/libraries/megafunctions/altpll.inc ; ; +; db/altpll_r4n2.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/altpll_r4n2.tdf ; ; +; altpll_reconfig1.tdf ; yes ; Auto-Found Wizard-Generated File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/altpll_reconfig1.tdf ; ; +; altpll_reconfig1_pllrcfg_t4q.tdf ; yes ; Auto-Found AHDL File ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/altpll_reconfig1_pllrcfg_t4q.tdf ; ; +; altsyncram.inc ; yes ; Auto-Found AHDL File ; /opt/altera/12.0sp2/quartus/libraries/megafunctions/altsyncram.inc ; ; +; db/altsyncram_46r.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/altsyncram_46r.tdf ; ; +; lpm_add_sub.tdf ; yes ; Megafunction ; /opt/altera/12.0sp2/quartus/libraries/megafunctions/lpm_add_sub.tdf ; ; +; addcore.inc ; yes ; Megafunction ; /opt/altera/12.0sp2/quartus/libraries/megafunctions/addcore.inc ; ; +; look_add.inc ; yes ; Megafunction ; /opt/altera/12.0sp2/quartus/libraries/megafunctions/look_add.inc ; ; +; alt_stratix_add_sub.inc ; yes ; Megafunction ; /opt/altera/12.0sp2/quartus/libraries/megafunctions/alt_stratix_add_sub.inc ; ; +; db/add_sub_hpa.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/add_sub_hpa.tdf ; ; +; db/add_sub_k8a.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/add_sub_k8a.tdf ; ; +; lpm_compare.tdf ; yes ; Megafunction ; /opt/altera/12.0sp2/quartus/libraries/megafunctions/lpm_compare.tdf ; ; +; comptree.inc ; yes ; Megafunction ; /opt/altera/12.0sp2/quartus/libraries/megafunctions/comptree.inc ; ; +; db/cmpr_tnd.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/cmpr_tnd.tdf ; ; +; lpm_counter.tdf ; yes ; Megafunction ; /opt/altera/12.0sp2/quartus/libraries/megafunctions/lpm_counter.tdf ; ; +; cmpconst.inc ; yes ; Megafunction ; /opt/altera/12.0sp2/quartus/libraries/megafunctions/cmpconst.inc ; ; +; alt_counter_stratix.inc ; yes ; Megafunction ; /opt/altera/12.0sp2/quartus/libraries/megafunctions/alt_counter_stratix.inc ; ; +; db/cntr_30l.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/cntr_30l.tdf ; ; +; db/cntr_qij.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/cntr_qij.tdf ; ; +; db/cntr_pij.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/cntr_pij.tdf ; ; +; db/cntr_9cj.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/cntr_9cj.tdf ; ; +; lpm_decode.tdf ; yes ; Megafunction ; /opt/altera/12.0sp2/quartus/libraries/megafunctions/lpm_decode.tdf ; ; +; declut.inc ; yes ; Megafunction ; /opt/altera/12.0sp2/quartus/libraries/megafunctions/declut.inc ; ; +; db/decode_2af.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/decode_2af.tdf ; ; +; db/cntr_mph.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/cntr_mph.tdf ; ; +; db/ddio_out_31f.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/ddio_out_31f.tdf ; ; +; lpm_mult.tdf ; yes ; Megafunction ; /opt/altera/12.0sp2/quartus/libraries/megafunctions/lpm_mult.tdf ; ; +; multcore.inc ; yes ; Megafunction ; /opt/altera/12.0sp2/quartus/libraries/megafunctions/multcore.inc ; ; +; db/mult_cat.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/mult_cat.tdf ; ; +; db/mult_aat.tdf ; yes ; Auto-Generated Megafunction ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/db/mult_aat.tdf ; ; ++----------------------------------------------------------------+-----------------+------------------------------------+--------------------------------------------------------------------------------------------------------------------------------------+---------+ + + ++--------------------------------------------------------------+ +; Analysis & Synthesis Resource Usage Summary ; ++---------------------------------------------+----------------+ +; Resource ; Usage ; ++---------------------------------------------+----------------+ +; Estimated Total logic elements ; 10,604 ; +; ; ; +; Total combinational functions ; 7954 ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 4737 ; +; -- 3 input functions ; 1910 ; +; -- <=2 input functions ; 1307 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 7120 ; +; -- arithmetic mode ; 834 ; +; ; ; +; Total registers ; 4750 ; +; -- Dedicated logic registers ; 4622 ; +; -- I/O registers ; 256 ; +; ; ; +; I/O pins ; 295 ; +; Total memory bits ; 109344 ; +; Embedded Multiplier 9-bit elements ; 6 ; +; Total PLLs ; 4 ; +; -- PLLs ; 4 ; +; ; ; +; Maximum fan-out node ; MAIN_CLK~input ; +; Maximum fan-out ; 2322 ; +; Total fan-out ; 48918 ; +; Average fan-out ; 3.57 ; ++---------------------------------------------+----------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Resource Utilization by Entity ; ++-----------------------------------------------------------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------+ +; Compilation Hierarchy Node ; LC Combinationals ; LC Registers ; Memory Bits ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; Full Hierarchy Name ; Library Name ; ++-----------------------------------------------------------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------+ +; |firebee1 ; 7954 (10) ; 4622 (0) ; 109344 ; 6 ; 0 ; 3 ; 295 ; 0 ; |firebee1 ; ; +; |DSP:Mathias_Alles| ; 5 (5) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|DSP:Mathias_Alles ; ; +; |FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden| ; 3682 (613) ; 1633 (114) ; 16384 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden ; ; +; |WF1772IP_TOP_SOC:I_FDC| ; 880 (24) ; 406 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC ; ; +; |WF1772IP_AM_DETECTOR:I_AM_DETECTOR| ; 39 (39) ; 27 (27) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_AM_DETECTOR:I_AM_DETECTOR ; ; +; |WF1772IP_CONTROL:I_CONTROL| ; 471 (471) ; 197 (197) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL ; ; +; |WF1772IP_CRC_LOGIC:I_CRC_LOGIC| ; 34 (34) ; 16 (16) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CRC_LOGIC:I_CRC_LOGIC ; ; +; |WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL| ; 104 (104) ; 38 (38) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL ; ; +; |WF1772IP_REGISTERS:I_REGISTERS| ; 91 (91) ; 48 (48) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS ; ; +; |WF1772IP_TRANSCEIVER:I_TRANSCEIVER| ; 117 (117) ; 80 (80) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER ; ; +; |WF2149IP_TOP_SOC:I_SOUND| ; 480 (86) ; 210 (29) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND ; ; +; |WF2149IP_WAVE:I_PSG_WAVE| ; 394 (394) ; 181 (181) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE ; ; +; |WF5380_TOP_SOC:I_SCSI| ; 0 (0) ; 1 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI ; ; +; |WF5380_CONTROL:I_CONTROL| ; 0 (0) ; 1 (1) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL ; ; +; |WF6850IP_TOP_SOC:I_ACIA_KEYBOARD| ; 199 (2) ; 97 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD ; ; +; |WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS| ; 20 (20) ; 11 (11) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS ; ; +; |WF6850IP_RECEIVE:I_UART_RECEIVE| ; 94 (94) ; 47 (47) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE ; ; +; |WF6850IP_TRANSMIT:I_UART_TRANSMIT| ; 83 (83) ; 39 (39) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT ; ; +; |WF6850IP_TOP_SOC:I_ACIA_MIDI| ; 203 (1) ; 97 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI ; ; +; |WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS| ; 24 (24) ; 11 (11) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS ; ; +; |WF6850IP_RECEIVE:I_UART_RECEIVE| ; 94 (94) ; 47 (47) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE ; ; +; |WF6850IP_TRANSMIT:I_UART_TRANSMIT| ; 84 (84) ; 39 (39) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT ; ; +; |WF68901IP_TOP_SOC:I_MFP| ; 1114 (168) ; 460 (2) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP ; ; +; |WF68901IP_GPIO:I_GPIO| ; 19 (19) ; 24 (24) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO ; ; +; |WF68901IP_INTERRUPTS:I_INTERRUPTS| ; 271 (271) ; 128 (128) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS ; ; +; |WF68901IP_TIMERS:I_TIMERS| ; 377 (377) ; 166 (166) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS ; ; +; |WF68901IP_USART_TOP:I_USART| ; 279 (3) ; 140 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART ; ; +; |WF68901IP_USART_CTRL:I_USART_CTRL| ; 38 (38) ; 49 (49) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL ; ; +; |WF68901IP_USART_RX:I_USART_RECEIVE| ; 152 (152) ; 56 (56) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE ; ; +; |WF68901IP_USART_TX:I_USART_TRANSMIT| ; 86 (86) ; 35 (35) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT ; ; +; |dcfifo0:RDF| ; 93 (0) ; 124 (0) ; 8192 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF ; ; +; |dcfifo_mixed_widths:dcfifo_mixed_widths_component| ; 93 (0) ; 124 (0) ; 8192 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component ; ; +; |dcfifo_0hh1:auto_generated| ; 93 (16) ; 124 (42) ; 8192 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated ; ; +; |a_gray2bin_lfb:wrptr_g_gray2bin| ; 7 (7) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_gray2bin_lfb:wrptr_g_gray2bin ; ; +; |a_gray2bin_lfb:ws_dgrp_gray2bin| ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_gray2bin_lfb:ws_dgrp_gray2bin ; ; +; |a_graycounter_fic:wrptr_g1p| ; 16 (16) ; 13 (13) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_graycounter_fic:wrptr_g1p ; ; +; |a_graycounter_k47:rdptr_g1p| ; 17 (17) ; 13 (13) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_graycounter_k47:rdptr_g1p ; ; +; |alt_synch_pipe_ikd:rs_dgwp| ; 0 (0) ; 18 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|alt_synch_pipe_ikd:rs_dgwp ; ; +; |dffpipe_hd9:dffpipe12| ; 0 (0) ; 18 (18) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|alt_synch_pipe_ikd:rs_dgwp|dffpipe_hd9:dffpipe12 ; ; +; |alt_synch_pipe_jkd:ws_dgrp| ; 0 (0) ; 18 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|alt_synch_pipe_jkd:ws_dgrp ; ; +; |dffpipe_id9:dffpipe17| ; 0 (0) ; 18 (18) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|alt_synch_pipe_jkd:ws_dgrp|dffpipe_id9:dffpipe17 ; ; +; |altsyncram_bi31:fifo_ram| ; 0 (0) ; 0 (0) ; 8192 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|altsyncram_bi31:fifo_ram ; ; +; |cmpr_156:rdempty_eq_comp1_msb| ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|cmpr_156:rdempty_eq_comp1_msb ; ; +; |cmpr_156:wrfull_eq_comp1_msb| ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|cmpr_156:wrfull_eq_comp1_msb ; ; +; |cntr_t2e:cntr_b| ; 3 (3) ; 2 (2) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|cntr_t2e:cntr_b ; ; +; |dffpipe_gd9:ws_brp| ; 0 (0) ; 8 (8) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|dffpipe_gd9:ws_brp ; ; +; |dffpipe_pe9:ws_bwp| ; 0 (0) ; 10 (10) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|dffpipe_pe9:ws_bwp ; ; +; |mux_a18:rdemp_eq_comp_lsb_mux| ; 7 (7) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|mux_a18:rdemp_eq_comp_lsb_mux ; ; +; |mux_a18:rdemp_eq_comp_msb_mux| ; 5 (5) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|mux_a18:rdemp_eq_comp_msb_mux ; ; +; |mux_a18:wrfull_eq_comp_lsb_mux| ; 7 (7) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|mux_a18:wrfull_eq_comp_lsb_mux ; ; +; |mux_a18:wrfull_eq_comp_msb_mux| ; 5 (5) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|mux_a18:wrfull_eq_comp_msb_mux ; ; +; |dcfifo1:WRF| ; 100 (0) ; 124 (0) ; 8192 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF ; ; +; |dcfifo_mixed_widths:dcfifo_mixed_widths_component| ; 100 (0) ; 124 (0) ; 8192 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component ; ; +; |dcfifo_3fh1:auto_generated| ; 100 (19) ; 124 (42) ; 8192 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated ; ; +; |a_gray2bin_lfb:rdptr_g_gray2bin| ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_gray2bin_lfb:rdptr_g_gray2bin ; ; +; |a_gray2bin_lfb:rs_dgwp_gray2bin| ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_gray2bin_lfb:rs_dgwp_gray2bin ; ; +; |a_graycounter_gic:wrptr_g1p| ; 16 (16) ; 13 (13) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_graycounter_gic:wrptr_g1p ; ; +; |a_graycounter_j47:rdptr_g1p| ; 19 (19) ; 13 (13) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_graycounter_j47:rdptr_g1p ; ; +; |alt_synch_pipe_kkd:rs_dgwp| ; 0 (0) ; 18 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|alt_synch_pipe_kkd:rs_dgwp ; ; +; |dffpipe_jd9:dffpipe12| ; 0 (0) ; 18 (18) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|alt_synch_pipe_kkd:rs_dgwp|dffpipe_jd9:dffpipe12 ; ; +; |alt_synch_pipe_lkd:ws_dgrp| ; 0 (0) ; 18 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|alt_synch_pipe_lkd:ws_dgrp ; ; +; |dffpipe_kd9:dffpipe15| ; 0 (0) ; 18 (18) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|alt_synch_pipe_lkd:ws_dgrp|dffpipe_kd9:dffpipe15 ; ; +; |altsyncram_ci31:fifo_ram| ; 0 (0) ; 0 (0) ; 8192 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram ; ; +; |cmpr_156:rdempty_eq_comp1_msb| ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|cmpr_156:rdempty_eq_comp1_msb ; ; +; |cntr_t2e:cntr_b| ; 4 (4) ; 2 (2) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|cntr_t2e:cntr_b ; ; +; |dffpipe_gd9:rs_bwp| ; 0 (0) ; 8 (8) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|dffpipe_gd9:rs_bwp ; ; +; |dffpipe_pe9:rs_brp| ; 0 (0) ; 10 (10) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|dffpipe_pe9:rs_brp ; ; +; |mux_a18:rdemp_eq_comp_lsb_mux| ; 7 (7) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|mux_a18:rdemp_eq_comp_lsb_mux ; ; +; |mux_a18:rdemp_eq_comp_msb_mux| ; 5 (5) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|mux_a18:rdemp_eq_comp_msb_mux ; ; +; |mux_a18:wrfull_eq_comp_lsb_mux| ; 7 (7) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|mux_a18:wrfull_eq_comp_lsb_mux ; ; +; |mux_a18:wrfull_eq_comp_msb_mux| ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|mux_a18:wrfull_eq_comp_msb_mux ; ; +; |Video:Fredi_Aschwanden| ; 3110 (10) ; 2182 (4) ; 92816 ; 6 ; 0 ; 3 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden ; ; +; |DDR_CTR:DDR_CTR| ; 337 (305) ; 158 (158) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR ; ; +; |lpm_bustri_BYT:$00002| ; 3 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|lpm_bustri_BYT:$00002 ; ; +; |lpm_bustri:lpm_bustri_component| ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|lpm_bustri_BYT:$00002|lpm_bustri:lpm_bustri_component ; ; +; |lpm_bustri_BYT:$00004| ; 29 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|lpm_bustri_BYT:$00004 ; ; +; |lpm_bustri:lpm_bustri_component| ; 29 (29) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|lpm_bustri_BYT:$00004|lpm_bustri:lpm_bustri_component ; ; +; |VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR| ; 1260 (1006) ; 529 (529) ; 0 ; 6 ; 0 ; 3 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR ; ; +; |lpm_bustri_WORD:$00000| ; 198 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_bustri_WORD:$00000 ; ; +; |lpm_bustri:lpm_bustri_component| ; 198 (198) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_bustri_WORD:$00000|lpm_bustri:lpm_bustri_component ; ; +; |lpm_bustri_WORD:$00002| ; 56 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_bustri_WORD:$00002 ; ; +; |lpm_bustri:lpm_bustri_component| ; 56 (56) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_bustri_WORD:$00002|lpm_bustri:lpm_bustri_component ; ; +; |lpm_mult:op_12| ; 0 (0) ; 0 (0) ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_12 ; ; +; |mult_aat:auto_generated| ; 0 (0) ; 0 (0) ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_12|mult_aat:auto_generated ; ; +; |lpm_mult:op_14| ; 0 (0) ; 0 (0) ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_14 ; ; +; |mult_cat:auto_generated| ; 0 (0) ; 0 (0) ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_14|mult_cat:auto_generated ; ; +; |lpm_mult:op_6| ; 0 (0) ; 0 (0) ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_6 ; ; +; |mult_aat:auto_generated| ; 0 (0) ; 0 (0) ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_6|mult_aat:auto_generated ; ; +; |altddio_bidir0:inst1| ; 0 (0) ; 96 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altddio_bidir0:inst1 ; ; +; |altddio_bidir:altddio_bidir_component| ; 0 (0) ; 96 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component ; ; +; |ddio_bidir_3jl:auto_generated| ; 0 (0) ; 96 (96) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated ; ; +; |altddio_out0:inst2| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altddio_out0:inst2 ; ; +; |altddio_out:altddio_out_component| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altddio_out0:inst2|altddio_out:altddio_out_component ; ; +; |ddio_out_are:auto_generated| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altddio_out0:inst2|altddio_out:altddio_out_component|ddio_out_are:auto_generated ; ; +; |altddio_out2:inst5| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altddio_out2:inst5 ; ; +; |altddio_out:altddio_out_component| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altddio_out2:inst5|altddio_out:altddio_out_component ; ; +; |ddio_out_o2f:auto_generated| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altddio_out2:inst5|altddio_out:altddio_out_component|ddio_out_o2f:auto_generated ; ; +; |altdpram0:ST_CLUT_BLUE| ; 0 (0) ; 0 (0) ; 48 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altdpram0:ST_CLUT_BLUE ; ; +; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 48 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altdpram0:ST_CLUT_BLUE|altsyncram:altsyncram_component ; ; +; |altsyncram_rb92:auto_generated| ; 0 (0) ; 0 (0) ; 48 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altdpram0:ST_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated ; ; +; |altdpram0:ST_CLUT_GREEN| ; 0 (0) ; 0 (0) ; 48 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altdpram0:ST_CLUT_GREEN ; ; +; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 48 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altdpram0:ST_CLUT_GREEN|altsyncram:altsyncram_component ; ; +; |altsyncram_rb92:auto_generated| ; 0 (0) ; 0 (0) ; 48 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altdpram0:ST_CLUT_GREEN|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated ; ; +; |altdpram0:ST_CLUT_RED| ; 0 (0) ; 0 (0) ; 48 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altdpram0:ST_CLUT_RED ; ; +; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 48 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altdpram0:ST_CLUT_RED|altsyncram:altsyncram_component ; ; +; |altsyncram_rb92:auto_generated| ; 0 (0) ; 0 (0) ; 48 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altdpram0:ST_CLUT_RED|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated ; ; +; |altdpram1:FALCON_CLUT_BLUE| ; 0 (0) ; 0 (0) ; 1536 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_BLUE ; ; +; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 1536 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_BLUE|altsyncram:altsyncram_component ; ; +; |altsyncram_lf92:auto_generated| ; 0 (0) ; 0 (0) ; 1536 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated ; ; +; |altdpram1:FALCON_CLUT_GREEN| ; 0 (0) ; 0 (0) ; 1536 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_GREEN ; ; +; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 1536 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_GREEN|altsyncram:altsyncram_component ; ; +; |altsyncram_lf92:auto_generated| ; 0 (0) ; 0 (0) ; 1536 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_GREEN|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated ; ; +; |altdpram1:FALCON_CLUT_RED| ; 0 (0) ; 0 (0) ; 1536 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_RED ; ; +; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 1536 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_RED|altsyncram:altsyncram_component ; ; +; |altsyncram_lf92:auto_generated| ; 0 (0) ; 0 (0) ; 1536 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_RED|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated ; ; +; |altdpram2:ACP_CLUT_RAM54| ; 0 (0) ; 0 (0) ; 2048 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM54 ; ; +; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 2048 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM54|altsyncram:altsyncram_component ; ; +; |altsyncram_pf92:auto_generated| ; 0 (0) ; 0 (0) ; 2048 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM54|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated ; ; +; |altdpram2:ACP_CLUT_RAM55| ; 0 (0) ; 0 (0) ; 2048 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55 ; ; +; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 2048 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55|altsyncram:altsyncram_component ; ; +; |altsyncram_pf92:auto_generated| ; 0 (0) ; 0 (0) ; 2048 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated ; ; +; |altdpram2:ACP_CLUT_RAM| ; 0 (0) ; 0 (0) ; 2048 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM ; ; +; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 2048 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM|altsyncram:altsyncram_component ; ; +; |altsyncram_pf92:auto_generated| ; 0 (0) ; 0 (0) ; 2048 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated ; ; +; |lpm_bustri3:inst66| ; 2 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_bustri3:inst66 ; ; +; |lpm_bustri:lpm_bustri_component| ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_bustri3:inst66|lpm_bustri:lpm_bustri_component ; ; +; |lpm_bustri_BYT:inst57| ; 2 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_bustri_BYT:inst57 ; ; +; |lpm_bustri:lpm_bustri_component| ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_bustri_BYT:inst57|lpm_bustri:lpm_bustri_component ; ; +; |lpm_bustri_BYT:inst58| ; 1 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_bustri_BYT:inst58 ; ; +; |lpm_bustri:lpm_bustri_component| ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_bustri_BYT:inst58|lpm_bustri:lpm_bustri_component ; ; +; |lpm_bustri_LONG:inst119| ; 9 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_bustri_LONG:inst119 ; ; +; |lpm_bustri:lpm_bustri_component| ; 9 (9) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_bustri_LONG:inst119|lpm_bustri:lpm_bustri_component ; ; +; |lpm_ff0:inst13| ; 0 (0) ; 32 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst13 ; ; +; |lpm_ff:lpm_ff_component| ; 0 (0) ; 32 (32) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component ; ; +; |lpm_ff0:inst14| ; 0 (0) ; 32 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst14 ; ; +; |lpm_ff:lpm_ff_component| ; 0 (0) ; 32 (32) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component ; ; +; |lpm_ff0:inst15| ; 0 (0) ; 32 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst15 ; ; +; |lpm_ff:lpm_ff_component| ; 0 (0) ; 32 (32) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component ; ; +; |lpm_ff0:inst16| ; 0 (0) ; 32 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst16 ; ; +; |lpm_ff:lpm_ff_component| ; 0 (0) ; 32 (32) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component ; ; +; |lpm_ff0:inst17| ; 0 (0) ; 32 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst17 ; ; +; |lpm_ff:lpm_ff_component| ; 0 (0) ; 32 (32) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst17|lpm_ff:lpm_ff_component ; ; +; |lpm_ff0:inst18| ; 0 (0) ; 32 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst18 ; ; +; |lpm_ff:lpm_ff_component| ; 0 (0) ; 32 (32) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst18|lpm_ff:lpm_ff_component ; ; +; |lpm_ff0:inst19| ; 0 (0) ; 32 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst19 ; ; +; |lpm_ff:lpm_ff_component| ; 0 (0) ; 32 (32) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst19|lpm_ff:lpm_ff_component ; ; +; |lpm_ff1:inst12| ; 0 (0) ; 32 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff1:inst12 ; ; +; |lpm_ff:lpm_ff_component| ; 0 (0) ; 32 (32) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff1:inst12|lpm_ff:lpm_ff_component ; ; +; |lpm_ff1:inst20| ; 0 (0) ; 32 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff1:inst20 ; ; +; |lpm_ff:lpm_ff_component| ; 0 (0) ; 32 (32) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff1:inst20|lpm_ff:lpm_ff_component ; ; +; |lpm_ff1:inst3| ; 0 (0) ; 32 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff1:inst3 ; ; +; |lpm_ff:lpm_ff_component| ; 0 (0) ; 32 (32) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff1:inst3|lpm_ff:lpm_ff_component ; ; +; |lpm_ff1:inst4| ; 0 (0) ; 32 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff1:inst4 ; ; +; |lpm_ff:lpm_ff_component| ; 0 (0) ; 32 (32) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff1:inst4|lpm_ff:lpm_ff_component ; ; +; |lpm_ff1:inst9| ; 0 (0) ; 24 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff1:inst9 ; ; +; |lpm_ff:lpm_ff_component| ; 0 (0) ; 24 (24) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff1:inst9|lpm_ff:lpm_ff_component ; ; +; |lpm_ff3:inst46| ; 0 (0) ; 18 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff3:inst46 ; ; +; |lpm_ff:lpm_ff_component| ; 0 (0) ; 18 (18) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff3:inst46|lpm_ff:lpm_ff_component ; ; +; |lpm_ff3:inst47| ; 0 (0) ; 18 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff3:inst47 ; ; +; |lpm_ff:lpm_ff_component| ; 0 (0) ; 18 (18) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff3:inst47|lpm_ff:lpm_ff_component ; ; +; |lpm_ff3:inst49| ; 0 (0) ; 9 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff3:inst49 ; ; +; |lpm_ff:lpm_ff_component| ; 0 (0) ; 9 (9) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff3:inst49|lpm_ff:lpm_ff_component ; ; +; |lpm_ff3:inst52| ; 0 (0) ; 9 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff3:inst52 ; ; +; |lpm_ff:lpm_ff_component| ; 0 (0) ; 9 (9) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff3:inst52|lpm_ff:lpm_ff_component ; ; +; |lpm_ff4:inst10| ; 0 (0) ; 16 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff4:inst10 ; ; +; |lpm_ff:lpm_ff_component| ; 0 (0) ; 16 (16) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff4:inst10|lpm_ff:lpm_ff_component ; ; +; |lpm_ff5:inst11| ; 0 (0) ; 8 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff5:inst11 ; ; +; |lpm_ff:lpm_ff_component| ; 0 (0) ; 8 (8) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff5:inst11|lpm_ff:lpm_ff_component ; ; +; |lpm_ff5:inst97| ; 0 (0) ; 5 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff5:inst97 ; ; +; |lpm_ff:lpm_ff_component| ; 0 (0) ; 5 (5) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff5:inst97|lpm_ff:lpm_ff_component ; ; +; |lpm_ff6:inst71| ; 0 (0) ; 128 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff6:inst71 ; ; +; |lpm_ff:lpm_ff_component| ; 0 (0) ; 128 (128) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff6:inst71|lpm_ff:lpm_ff_component ; ; +; |lpm_ff6:inst94| ; 0 (0) ; 128 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff6:inst94 ; ; +; |lpm_ff:lpm_ff_component| ; 0 (0) ; 128 (128) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_ff6:inst94|lpm_ff:lpm_ff_component ; ; +; |lpm_fifoDZ:inst63| ; 22 (0) ; 21 (0) ; 16384 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_fifoDZ:inst63 ; ; +; |scfifo:scfifo_component| ; 22 (0) ; 21 (0) ; 16384 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component ; ; +; |scfifo_hk21:auto_generated| ; 22 (0) ; 21 (0) ; 16384 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_hk21:auto_generated ; ; +; |a_dpfifo_oq21:dpfifo| ; 22 (9) ; 21 (8) ; 16384 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_hk21:auto_generated|a_dpfifo_oq21:dpfifo ; ; +; |altsyncram_gj81:FIFOram| ; 0 (0) ; 0 (0) ; 16384 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_hk21:auto_generated|a_dpfifo_oq21:dpfifo|altsyncram_gj81:FIFOram ; ; +; |cntr_omb:rd_ptr_msb| ; 6 (6) ; 6 (6) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_hk21:auto_generated|a_dpfifo_oq21:dpfifo|cntr_omb:rd_ptr_msb ; ; +; |cntr_pmb:wr_ptr| ; 7 (7) ; 7 (7) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_hk21:auto_generated|a_dpfifo_oq21:dpfifo|cntr_pmb:wr_ptr ; ; +; |lpm_fifo_dc0:inst| ; 69 (0) ; 108 (0) ; 65536 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst ; ; +; |dcfifo:dcfifo_component| ; 69 (0) ; 108 (0) ; 65536 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component ; ; +; |dcfifo_8fi1:auto_generated| ; 69 (13) ; 108 (30) ; 65536 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated ; ; +; |a_gray2bin_tgb:wrptr_g_gray2bin| ; 9 (9) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_gray2bin_tgb:wrptr_g_gray2bin ; ; +; |a_gray2bin_tgb:ws_dgrp_gray2bin| ; 9 (9) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_gray2bin_tgb:ws_dgrp_gray2bin ; ; +; |a_graycounter_ojc:wrptr_g1p| ; 19 (19) ; 14 (14) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_ojc:wrptr_g1p ; ; +; |a_graycounter_s57:rdptr_g1p| ; 19 (19) ; 14 (14) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_s57:rdptr_g1p ; ; +; |alt_synch_pipe_sld:ws_dgrp| ; 0 (0) ; 30 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|alt_synch_pipe_sld:ws_dgrp ; ; +; |dffpipe_re9:dffpipe19| ; 0 (0) ; 30 (30) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|alt_synch_pipe_sld:ws_dgrp|dffpipe_re9:dffpipe19 ; ; +; |altsyncram_tl31:fifo_ram| ; 0 (0) ; 0 (0) ; 65536 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|altsyncram_tl31:fifo_ram ; ; +; |dffpipe_3dc:wraclr| ; 0 (0) ; 2 (2) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|dffpipe_3dc:wraclr ; ; +; |dffpipe_oe9:ws_brp| ; 0 (0) ; 9 (9) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|dffpipe_oe9:ws_brp ; ; +; |dffpipe_oe9:ws_bwp| ; 0 (0) ; 9 (9) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|dffpipe_oe9:ws_bwp ; ; +; |lpm_latch0:inst27| ; 32 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_latch0:inst27 ; ; +; |lpm_latch:lpm_latch_component| ; 32 (32) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component ; ; +; |lpm_mux0:inst21| ; 48 (0) ; 96 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_mux0:inst21 ; ; +; |lpm_mux:lpm_mux_component| ; 48 (0) ; 96 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_mux0:inst21|lpm_mux:lpm_mux_component ; ; +; |mux_gpe:auto_generated| ; 48 (48) ; 96 (96) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_mux0:inst21|lpm_mux:lpm_mux_component|mux_gpe:auto_generated ; ; +; |lpm_mux1:inst24| ; 80 (0) ; 81 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_mux1:inst24 ; ; +; |lpm_mux:lpm_mux_component| ; 80 (0) ; 81 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_mux1:inst24|lpm_mux:lpm_mux_component ; ; +; |mux_npe:auto_generated| ; 80 (80) ; 81 (81) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_mux1:inst24|lpm_mux:lpm_mux_component|mux_npe:auto_generated ; ; +; |lpm_mux2:inst25| ; 80 (0) ; 41 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_mux2:inst25 ; ; +; |lpm_mux:lpm_mux_component| ; 80 (0) ; 41 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_mux2:inst25|lpm_mux:lpm_mux_component ; ; +; |mux_mpe:auto_generated| ; 80 (80) ; 41 (41) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_mux2:inst25|lpm_mux:lpm_mux_component|mux_mpe:auto_generated ; ; +; |lpm_mux3:inst102| ; 1 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_mux3:inst102 ; ; +; |lpm_mux:lpm_mux_component| ; 1 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_mux3:inst102|lpm_mux:lpm_mux_component ; ; +; |mux_96e:auto_generated| ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_mux3:inst102|lpm_mux:lpm_mux_component|mux_96e:auto_generated ; ; +; |lpm_mux4:inst81| ; 7 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_mux4:inst81 ; ; +; |lpm_mux:lpm_mux_component| ; 7 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_mux4:inst81|lpm_mux:lpm_mux_component ; ; +; |mux_f6e:auto_generated| ; 7 (7) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_mux4:inst81|lpm_mux:lpm_mux_component|mux_f6e:auto_generated ; ; +; |lpm_mux5:inst22| ; 64 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_mux5:inst22 ; ; +; |lpm_mux:lpm_mux_component| ; 64 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_mux5:inst22|lpm_mux:lpm_mux_component ; ; +; |mux_58e:auto_generated| ; 64 (64) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_mux5:inst22|lpm_mux:lpm_mux_component|mux_58e:auto_generated ; ; +; |lpm_mux6:inst7| ; 90 (0) ; 67 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_mux6:inst7 ; ; +; |lpm_mux:lpm_mux_component| ; 90 (0) ; 67 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_mux6:inst7|lpm_mux:lpm_mux_component ; ; +; |mux_kpe:auto_generated| ; 90 (90) ; 67 (67) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_mux6:inst7|lpm_mux:lpm_mux_component|mux_kpe:auto_generated ; ; +; |lpm_muxDZ:inst62| ; 128 (0) ; 128 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_muxDZ:inst62 ; ; +; |lpm_mux:lpm_mux_component| ; 128 (0) ; 128 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_muxDZ:inst62|lpm_mux:lpm_mux_component ; ; +; |mux_dcf:auto_generated| ; 128 (128) ; 128 (128) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_muxDZ:inst62|lpm_mux:lpm_mux_component|mux_dcf:auto_generated ; ; +; |lpm_muxVDM:inst100| ; 736 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_muxVDM:inst100 ; ; +; |lpm_mux:lpm_mux_component| ; 736 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_muxVDM:inst100|lpm_mux:lpm_mux_component ; ; +; |mux_bbe:auto_generated| ; 736 (736) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_muxVDM:inst100|lpm_mux:lpm_mux_component|mux_bbe:auto_generated ; ; +; |lpm_shiftreg0:sr0| ; 15 (0) ; 16 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr0 ; ; +; |lpm_shiftreg:lpm_shiftreg_component| ; 15 (15) ; 16 (16) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr0|lpm_shiftreg:lpm_shiftreg_component ; ; +; |lpm_shiftreg0:sr1| ; 15 (0) ; 16 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr1 ; ; +; |lpm_shiftreg:lpm_shiftreg_component| ; 15 (15) ; 16 (16) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr1|lpm_shiftreg:lpm_shiftreg_component ; ; +; |lpm_shiftreg0:sr2| ; 15 (0) ; 16 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr2 ; ; +; |lpm_shiftreg:lpm_shiftreg_component| ; 15 (15) ; 16 (16) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr2|lpm_shiftreg:lpm_shiftreg_component ; ; +; |lpm_shiftreg0:sr3| ; 15 (0) ; 16 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr3 ; ; +; |lpm_shiftreg:lpm_shiftreg_component| ; 15 (15) ; 16 (16) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr3|lpm_shiftreg:lpm_shiftreg_component ; ; +; |lpm_shiftreg0:sr4| ; 15 (0) ; 16 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr4 ; ; +; |lpm_shiftreg:lpm_shiftreg_component| ; 15 (15) ; 16 (16) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr4|lpm_shiftreg:lpm_shiftreg_component ; ; +; |lpm_shiftreg0:sr5| ; 15 (0) ; 16 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr5 ; ; +; |lpm_shiftreg:lpm_shiftreg_component| ; 15 (15) ; 16 (16) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr5|lpm_shiftreg:lpm_shiftreg_component ; ; +; |lpm_shiftreg0:sr6| ; 16 (0) ; 16 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr6 ; ; +; |lpm_shiftreg:lpm_shiftreg_component| ; 16 (16) ; 16 (16) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr6|lpm_shiftreg:lpm_shiftreg_component ; ; +; |lpm_shiftreg0:sr7| ; 16 (0) ; 16 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr7 ; ; +; |lpm_shiftreg:lpm_shiftreg_component| ; 16 (16) ; 16 (16) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr7|lpm_shiftreg:lpm_shiftreg_component ; ; +; |lpm_shiftreg4:inst26| ; 0 (0) ; 5 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg4:inst26 ; ; +; |lpm_shiftreg:lpm_shiftreg_component| ; 0 (0) ; 5 (5) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg4:inst26|lpm_shiftreg:lpm_shiftreg_component ; ; +; |lpm_shiftreg6:inst92| ; 0 (0) ; 5 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg6:inst92 ; ; +; |lpm_shiftreg:lpm_shiftreg_component| ; 0 (0) ; 5 (5) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg6:inst92|lpm_shiftreg:lpm_shiftreg_component ; ; +; |mux41:inst40| ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|mux41:inst40 ; ; +; |mux41:inst41| ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|mux41:inst41 ; ; +; |mux41:inst42| ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|mux41:inst42 ; ; +; |mux41:inst43| ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|mux41:inst43 ; ; +; |mux41:inst44| ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|mux41:inst44 ; ; +; |mux41:inst45| ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|Video:Fredi_Aschwanden|mux41:inst45 ; ; +; |altddio_out3:inst5| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altddio_out3:inst5 ; ; +; |altddio_out:altddio_out_component| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altddio_out3:inst5|altddio_out:altddio_out_component ; ; +; |ddio_out_31f:auto_generated| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altddio_out3:inst5|altddio_out:altddio_out_component|ddio_out_31f:auto_generated ; ; +; |altddio_out3:inst6| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altddio_out3:inst6 ; ; +; |altddio_out:altddio_out_component| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altddio_out3:inst6|altddio_out:altddio_out_component ; ; +; |ddio_out_31f:auto_generated| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altddio_out3:inst6|altddio_out:altddio_out_component|ddio_out_31f:auto_generated ; ; +; |altddio_out3:inst8| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altddio_out3:inst8 ; ; +; |altddio_out:altddio_out_component| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altddio_out3:inst8|altddio_out:altddio_out_component ; ; +; |ddio_out_31f:auto_generated| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altddio_out3:inst8|altddio_out:altddio_out_component|ddio_out_31f:auto_generated ; ; +; |altddio_out3:inst9| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altddio_out3:inst9 ; ; +; |altddio_out:altddio_out_component| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altddio_out3:inst9|altddio_out:altddio_out_component ; ; +; |ddio_out_31f:auto_generated| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altddio_out3:inst9|altddio_out:altddio_out_component|ddio_out_31f:auto_generated ; ; +; |altpll1:inst| ; 1 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll1:inst ; ; +; |altpll:altpll_component| ; 1 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll1:inst|altpll:altpll_component ; ; +; |altpll_8tp2:auto_generated| ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated ; ; +; |altpll2:inst12| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll2:inst12 ; ; +; |altpll:altpll_component| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll2:inst12|altpll:altpll_component ; ; +; |altpll_1r33:auto_generated| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated ; ; +; |altpll3:inst13| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll3:inst13 ; ; +; |altpll:altpll_component| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll3:inst13|altpll:altpll_component ; ; +; |altpll_jvs2:auto_generated| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated ; ; +; |altpll4:inst22| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll4:inst22 ; ; +; |altpll:altpll_component| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll4:inst22|altpll:altpll_component ; ; +; |altpll_r4n2:auto_generated| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll4:inst22|altpll:altpll_component|altpll_r4n2:auto_generated ; ; +; |altpll_reconfig1:inst7| ; 304 (0) ; 128 (0) ; 144 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll_reconfig1:inst7 ; ; +; |altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component| ; 304 (206) ; 128 (80) ; 144 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component ; ; +; |altsyncram:altsyncram4| ; 0 (0) ; 0 (0) ; 144 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|altsyncram:altsyncram4 ; ; +; |altsyncram_46r:auto_generated| ; 0 (0) ; 0 (0) ; 144 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|altsyncram:altsyncram4|altsyncram_46r:auto_generated ; ; +; |lpm_compare:cmpr7| ; 3 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_compare:cmpr7 ; ; +; |cmpr_tnd:auto_generated| ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_compare:cmpr7|cmpr_tnd:auto_generated ; ; +; |lpm_counter:cntr12| ; 10 (0) ; 8 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr12 ; ; +; |cntr_30l:auto_generated| ; 10 (10) ; 8 (8) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr12|cntr_30l:auto_generated ; ; +; |lpm_counter:cntr13| ; 7 (0) ; 6 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr13 ; ; +; |cntr_qij:auto_generated| ; 7 (7) ; 6 (6) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr13|cntr_qij:auto_generated ; ; +; |lpm_counter:cntr14| ; 5 (0) ; 5 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr14 ; ; +; |cntr_pij:auto_generated| ; 5 (5) ; 5 (5) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr14|cntr_pij:auto_generated ; ; +; |lpm_counter:cntr15| ; 18 (0) ; 8 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr15 ; ; +; |cntr_30l:auto_generated| ; 18 (18) ; 8 (8) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr15|cntr_30l:auto_generated ; ; +; |lpm_counter:cntr1| ; 41 (0) ; 8 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr1 ; ; +; |cntr_30l:auto_generated| ; 41 (41) ; 8 (8) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr1|cntr_30l:auto_generated ; ; +; |lpm_counter:cntr2| ; 9 (0) ; 8 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr2 ; ; +; |cntr_9cj:auto_generated| ; 9 (9) ; 8 (8) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr2|cntr_9cj:auto_generated ; ; +; |lpm_counter:cntr3| ; 5 (0) ; 5 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr3 ; ; +; |cntr_pij:auto_generated| ; 5 (5) ; 5 (5) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr3|cntr_pij:auto_generated ; ; +; |interrupt_handler:nobody| ; 824 (744) ; 633 (633) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|interrupt_handler:nobody ; ; +; |lpm_bustri_BYT:$00000| ; 18 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|interrupt_handler:nobody|lpm_bustri_BYT:$00000 ; ; +; |lpm_bustri:lpm_bustri_component| ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|interrupt_handler:nobody|lpm_bustri_BYT:$00000|lpm_bustri:lpm_bustri_component ; ; +; |lpm_bustri_BYT:$00002| ; 23 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|interrupt_handler:nobody|lpm_bustri_BYT:$00002 ; ; +; |lpm_bustri:lpm_bustri_component| ; 23 (23) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|interrupt_handler:nobody|lpm_bustri_BYT:$00002|lpm_bustri:lpm_bustri_component ; ; +; |lpm_bustri_BYT:$00004| ; 16 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|interrupt_handler:nobody|lpm_bustri_BYT:$00004 ; ; +; |lpm_bustri:lpm_bustri_component| ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|interrupt_handler:nobody|lpm_bustri_BYT:$00004|lpm_bustri:lpm_bustri_component ; ; +; |lpm_bustri_BYT:$00006| ; 23 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|interrupt_handler:nobody|lpm_bustri_BYT:$00006 ; ; +; |lpm_bustri:lpm_bustri_component| ; 23 (23) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|interrupt_handler:nobody|lpm_bustri_BYT:$00006|lpm_bustri:lpm_bustri_component ; ; +; |lpm_counter0:inst18| ; 18 (0) ; 18 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|lpm_counter0:inst18 ; ; +; |lpm_counter:lpm_counter_component| ; 18 (0) ; 18 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|lpm_counter0:inst18|lpm_counter:lpm_counter_component ; ; +; |cntr_mph:auto_generated| ; 18 (18) ; 18 (18) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|lpm_counter0:inst18|lpm_counter:lpm_counter_component|cntr_mph:auto_generated ; ; +; |lpm_ff0:inst1| ; 0 (0) ; 28 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|lpm_ff0:inst1 ; ; +; |lpm_ff:lpm_ff_component| ; 0 (0) ; 28 (28) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |firebee1|lpm_ff0:inst1|lpm_ff:lpm_ff_component ; ; ++-----------------------------------------------------------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis RAM Summary ; ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+-------+------+ +; Name ; Type ; Mode ; Port A Depth ; Port A Width ; Port B Depth ; Port B Width ; Size ; MIF ; ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+-------+------+ +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|altsyncram_bi31:fifo_ram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 1024 ; 8 ; 256 ; 32 ; 8192 ; None ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 256 ; 32 ; 1024 ; 8 ; 8192 ; None ; +; Video:Fredi_Aschwanden|altdpram0:ST_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated|ALTSYNCRAM ; AUTO ; True Dual Port ; 16 ; 3 ; 16 ; 3 ; 48 ; None ; +; Video:Fredi_Aschwanden|altdpram0:ST_CLUT_GREEN|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated|ALTSYNCRAM ; AUTO ; True Dual Port ; 16 ; 3 ; 16 ; 3 ; 48 ; None ; +; Video:Fredi_Aschwanden|altdpram0:ST_CLUT_RED|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated|ALTSYNCRAM ; AUTO ; True Dual Port ; 16 ; 3 ; 16 ; 3 ; 48 ; None ; +; Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ALTSYNCRAM ; AUTO ; True Dual Port ; 256 ; 6 ; 256 ; 6 ; 1536 ; None ; +; Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_GREEN|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ALTSYNCRAM ; AUTO ; True Dual Port ; 256 ; 6 ; 256 ; 6 ; 1536 ; None ; +; Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_RED|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ALTSYNCRAM ; AUTO ; True Dual Port ; 256 ; 6 ; 256 ; 6 ; 1536 ; None ; +; Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM54|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ALTSYNCRAM ; AUTO ; True Dual Port ; 256 ; 8 ; 256 ; 8 ; 2048 ; None ; +; Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ALTSYNCRAM ; AUTO ; True Dual Port ; 256 ; 8 ; 256 ; 8 ; 2048 ; None ; +; Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ALTSYNCRAM ; AUTO ; True Dual Port ; 256 ; 8 ; 256 ; 8 ; 2048 ; None ; +; Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_hk21:auto_generated|a_dpfifo_oq21:dpfifo|altsyncram_gj81:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 128 ; 128 ; 128 ; 128 ; 16384 ; None ; +; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|altsyncram_tl31:fifo_ram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 512 ; 128 ; 512 ; 128 ; 65536 ; None ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|altsyncram:altsyncram4|altsyncram_46r:auto_generated|ALTSYNCRAM ; AUTO ; Single Port ; 144 ; 1 ; -- ; -- ; 144 ; None ; ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+-------+------+ + + ++-----------------------------------------------------+ +; Analysis & Synthesis DSP Block Usage Summary ; ++---------------------------------------+-------------+ +; Statistic ; Number Used ; ++---------------------------------------+-------------+ +; Simple Multipliers (9-bit) ; 0 ; +; Simple Multipliers (18-bit) ; 3 ; +; Embedded Multiplier Blocks ; -- ; +; Embedded Multiplier 9-bit elements ; 6 ; +; Signed Embedded Multipliers ; 0 ; +; Unsigned Embedded Multipliers ; 3 ; +; Mixed Sign Embedded Multipliers ; 0 ; +; Variable Sign Embedded Multipliers ; 0 ; +; Dedicated Input Shift Register Chains ; 0 ; ++---------------------------------------+-------------+ +Note: number of Embedded Multiplier Blocks used is only available after a successful fit. + + +The IP Core highlighted in red is outdated. Regenerate the outdated IP with the current version of the Quartus II software and the MegaWizard interface. ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis IP Cores Summary ; ++--------+-----------------+---------+--------------+--------------+-----------------------------------------------------------------------------------------------------+----------------------------------------------------------------------------------------------------------+ +; Vendor ; IP Core Name ; Version ; Release Date ; License Type ; Entity Instance ; IP Include File ; ++--------+-----------------+---------+--------------+--------------+-----------------------------------------------------------------------------------------------------+----------------------------------------------------------------------------------------------------------+ +; Altera ; LPM_FIFO+ ; 9.1 ; N/A ; N/A ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/FalconIO_SDCard_IDE_CF/dcfifo0.vhd ; +; Altera ; LPM_FIFO+ ; 9.1 ; N/A ; N/A ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/FalconIO_SDCard_IDE_CF/dcfifo1.vhd ; +; Altera ; LPM_BUSTRI ; 8.1 ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|lpm_bustri_BYT:$00002 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/lpm_bustri_BYT.vhd ; +; Altera ; LPM_BUSTRI ; 8.1 ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|lpm_bustri_BYT:$00004 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/lpm_bustri_BYT.vhd ; +; Altera ; LPM_BUSTRI ; 8.1 ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_bustri_WORD:$00000 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/lpm_bustri_WORD.vhd ; +; Altera ; LPM_BUSTRI ; 8.1 ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_bustri_WORD:$00002 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/lpm_bustri_WORD.vhd ; +; Altera ; ALTDDIO_BIDIR ; 8.1 ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|altddio_bidir0:inst1 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/altddio_bidir0.vhd ; +; Altera ; ALTDDIO_OUT ; 8.1 ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|altddio_out0:inst2 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/altddio_out0.vhd ; +; Altera ; ALTDDIO_OUT ; 8.1 ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|altddio_out2:inst5 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/altddio_out2.vhd ; +; Altera ; LPM_RAM_DP+ ; 8.1 ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|altdpram0:ST_CLUT_BLUE ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/altdpram0.vhd ; +; Altera ; LPM_RAM_DP+ ; 8.1 ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|altdpram0:ST_CLUT_GREEN ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/altdpram0.vhd ; +; Altera ; LPM_RAM_DP+ ; 8.1 ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|altdpram0:ST_CLUT_RED ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/altdpram0.vhd ; +; Altera ; LPM_RAM_DP+ ; 8.1 ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_BLUE ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/altdpram1.vhd ; +; Altera ; LPM_RAM_DP+ ; 8.1 ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_GREEN ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/altdpram1.vhd ; +; Altera ; LPM_RAM_DP+ ; 8.1 ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_RED ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/altdpram1.vhd ; +; Altera ; LPM_RAM_DP+ ; 8.1 ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/altdpram2.vhd ; +; Altera ; LPM_RAM_DP+ ; 8.1 ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM54 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/altdpram2.vhd ; +; Altera ; LPM_RAM_DP+ ; 8.1 ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/altdpram2.vhd ; +; Altera ; LPM_BUSTRI ; 8.1 ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_bustri1:inst51 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_bustri1.vhd ; +; Altera ; LPM_BUSTRI ; 8.1 ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_bustri1:inst56 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_bustri1.vhd ; +; Altera ; LPM_BUSTRI ; 8.1 ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_bustri1:inst61 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_bustri1.vhd ; +; Altera ; LPM_BUSTRI ; N/A ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_bustri3:inst66 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_bustri3.vhd ; +; Altera ; LPM_BUSTRI ; N/A ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_bustri3:inst70 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_bustri3.vhd ; +; Altera ; LPM_BUSTRI ; N/A ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_bustri3:inst74 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_bustri3.vhd ; +; Altera ; LPM_BUSTRI ; 8.1 ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_bustri_BYT:inst53 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/lpm_bustri_BYT.vhd ; +; Altera ; LPM_BUSTRI ; 8.1 ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_bustri_BYT:inst57 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/lpm_bustri_BYT.vhd ; +; Altera ; LPM_BUSTRI ; 8.1 ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_bustri_BYT:inst58 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/lpm_bustri_BYT.vhd ; +; Altera ; LPM_BUSTRI ; 8.1 ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_bustri_LONG:inst108 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/lpm_bustri_LONG.vhd ; +; Altera ; LPM_BUSTRI ; 8.1 ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_bustri_LONG:inst109 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/lpm_bustri_LONG.vhd ; +; Altera ; LPM_BUSTRI ; 8.1 ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_bustri_LONG:inst110 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/lpm_bustri_LONG.vhd ; +; Altera ; LPM_BUSTRI ; 8.1 ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_bustri_LONG:inst119 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/lpm_bustri_LONG.vhd ; +; Altera ; LPM_CONSTANT ; 8.1 ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_constant0:inst54 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_constant0.vhd ; +; Altera ; LPM_CONSTANT ; 8.1 ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_constant0:inst59 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_constant0.vhd ; +; Altera ; LPM_CONSTANT ; 8.1 ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_constant0:inst64 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_constant0.vhd ; +; Altera ; LPM_CONSTANT ; 8.1 ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_constant1:inst77 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_constant1.vhd ; +; Altera ; LPM_CONSTANT ; 8.1 ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_constant1:inst80 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_constant1.vhd ; +; Altera ; LPM_CONSTANT ; 8.1 ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_constant1:inst83 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_constant1.vhd ; +; Altera ; LPM_CONSTANT ; 8.1 ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_constant2:inst23 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_constant2.vhd ; +; Altera ; LPM_CONSTANT ; 8.1 ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_constant3:inst82 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_constant3.vhd ; +; Altera ; LPM_FF ; N/A ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst13 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_ff0.vhd ; +; Altera ; LPM_FF ; N/A ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst14 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_ff0.vhd ; +; Altera ; LPM_FF ; N/A ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst15 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_ff0.vhd ; +; Altera ; LPM_FF ; N/A ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst16 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_ff0.vhd ; +; Altera ; LPM_FF ; N/A ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst17 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_ff0.vhd ; +; Altera ; LPM_FF ; N/A ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst18 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_ff0.vhd ; +; Altera ; LPM_FF ; N/A ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_ff0:inst19 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_ff0.vhd ; +; Altera ; LPM_FF ; N/A ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_ff1:inst12 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_ff1.vhd ; +; Altera ; LPM_FF ; N/A ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_ff1:inst20 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_ff1.vhd ; +; Altera ; LPM_FF ; N/A ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_ff1:inst3 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_ff1.vhd ; +; Altera ; LPM_FF ; N/A ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_ff1:inst4 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_ff1.vhd ; +; Altera ; LPM_FF ; N/A ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_ff1:inst9 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_ff1.vhd ; +; Altera ; LPM_FF ; N/A ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_ff3:inst46 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_ff3.vhd ; +; Altera ; LPM_FF ; N/A ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_ff3:inst47 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_ff3.vhd ; +; Altera ; LPM_FF ; N/A ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_ff3:inst49 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_ff3.vhd ; +; Altera ; LPM_FF ; N/A ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_ff3:inst52 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_ff3.vhd ; +; Altera ; LPM_FF ; 8.1 ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_ff4:inst10 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_ff4.vhd ; +; Altera ; LPM_FF ; 8.1 ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_ff5:inst11 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_ff5.vhd ; +; Altera ; LPM_FF ; 8.1 ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_ff5:inst97 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_ff5.vhd ; +; Altera ; LPM_FF ; 8.1 ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_ff6:inst36 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_ff6.vhd ; +; Altera ; LPM_FF ; 8.1 ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_ff6:inst71 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_ff6.vhd ; +; Altera ; LPM_FF ; 8.1 ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_ff6:inst94 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_ff6.vhd ; +; Altera ; LPM_FIFO+ ; N/A ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_fifoDZ:inst63 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_fifoDZ.vhd ; +; Altera ; LPM_FIFO+ ; N/A ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_fifo_dc0.vhd ; +; Altera ; LPM_LATCH ; N/A ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_latch0:inst27 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/lpm_latch0.vhd ; +; Altera ; LPM_MUX ; 8.1 ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_mux0:inst21 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_mux0.vhd ; +; Altera ; LPM_MUX ; 8.1 ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_mux1:inst24 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_mux1.vhd ; +; Altera ; LPM_MUX ; 8.1 ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_mux2:inst25 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_mux2.vhd ; +; Altera ; LPM_MUX ; 8.1 ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_mux3:inst102 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_mux3.vhd ; +; Altera ; LPM_MUX ; 8.1 ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_mux4:inst81 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_mux4.vhd ; +; Altera ; LPM_MUX ; 8.1 ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_mux5:inst22 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_mux5.vhd ; +; Altera ; LPM_MUX ; 8.1 ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_mux6:inst7 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_mux6.vhd ; +; Altera ; LPM_MUX ; 9.1 ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_muxDZ:inst62 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_muxDZ.vhd ; +; Altera ; LPM_MUX ; 9.1 ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_muxVDM:inst100 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_muxVDM.vhd ; +; Altera ; LPM_SHIFTREG ; 8.1 ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr0 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_shiftreg0.vhd ; +; Altera ; LPM_SHIFTREG ; 8.1 ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr1 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_shiftreg0.vhd ; +; Altera ; LPM_SHIFTREG ; 8.1 ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr2 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_shiftreg0.vhd ; +; Altera ; LPM_SHIFTREG ; 8.1 ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr3 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_shiftreg0.vhd ; +; Altera ; LPM_SHIFTREG ; 8.1 ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr4 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_shiftreg0.vhd ; +; Altera ; LPM_SHIFTREG ; 8.1 ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr5 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_shiftreg0.vhd ; +; Altera ; LPM_SHIFTREG ; 8.1 ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr6 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_shiftreg0.vhd ; +; Altera ; LPM_SHIFTREG ; 8.1 ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg0:sr7 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_shiftreg0.vhd ; +; Altera ; LPM_SHIFTREG ; 8.1 ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg4:inst26 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_shiftreg4.vhd ; +; Altera ; LPM_SHIFTREG ; 8.1 ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg6:inst89 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_shiftreg6.vhd ; +; Altera ; LPM_SHIFTREG ; 8.1 ; N/A ; N/A ; |firebee1|Video:Fredi_Aschwanden|lpm_shiftreg6:inst92 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_shiftreg6.vhd ; +; Altera ; ALTDDIO_OUT ; 8.1 ; N/A ; N/A ; |firebee1|altddio_out3:inst5 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/altddio_out3.vhd ; +; Altera ; ALTDDIO_OUT ; 8.1 ; N/A ; N/A ; |firebee1|altddio_out3:inst6 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/altddio_out3.vhd ; +; Altera ; ALTDDIO_OUT ; 8.1 ; N/A ; N/A ; |firebee1|altddio_out3:inst8 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/altddio_out3.vhd ; +; Altera ; ALTDDIO_OUT ; 8.1 ; N/A ; N/A ; |firebee1|altddio_out3:inst9 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/altddio_out3.vhd ; +; Altera ; ALTPLL ; N/A ; N/A ; N/A ; |firebee1|altpll1:inst ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/altpll1.vhd ; +; Altera ; ALTPLL ; N/A ; N/A ; N/A ; |firebee1|altpll2:inst12 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/altpll2.vhd ; +; Altera ; ALTPLL ; N/A ; N/A ; N/A ; |firebee1|altpll3:inst13 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/altpll3.vhd ; +; Altera ; ALTPLL ; N/A ; N/A ; N/A ; |firebee1|altpll4:inst22 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/altpll4.tdf ; +; Altera ; ALTPLL_RECONFIG ; N/A ; N/A ; N/A ; |firebee1|altpll_reconfig1:inst7 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/altpll_reconfig1.tdf ; +; Altera ; LPM_BUSTRI ; 8.1 ; N/A ; N/A ; |firebee1|interrupt_handler:nobody|lpm_bustri_BYT:$00000 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/lpm_bustri_BYT.vhd ; +; Altera ; LPM_BUSTRI ; 8.1 ; N/A ; N/A ; |firebee1|interrupt_handler:nobody|lpm_bustri_BYT:$00002 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/lpm_bustri_BYT.vhd ; +; Altera ; LPM_BUSTRI ; 8.1 ; N/A ; N/A ; |firebee1|interrupt_handler:nobody|lpm_bustri_BYT:$00004 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/lpm_bustri_BYT.vhd ; +; Altera ; LPM_BUSTRI ; 8.1 ; N/A ; N/A ; |firebee1|interrupt_handler:nobody|lpm_bustri_BYT:$00006 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/lpm_bustri_BYT.vhd ; +; Altera ; LPM_COUNTER ; 8.1 ; N/A ; N/A ; |firebee1|lpm_counter0:inst18 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/lpm_counter0.vhd ; +; Altera ; LPM_FF ; N/A ; N/A ; N/A ; |firebee1|lpm_ff0:inst1 ; /home/mfro/Dokumente/Development/workspace/firebee/trunk/FPGA_quartus/Video/lpm_ff0.vhd ; ++--------+-----------------+---------+--------------+--------------+-----------------------------------------------------------------------------------------------------+----------------------------------------------------------------------------------------------------------+ + + +Encoding Type: One-Hot ++----------------------------------------------------------------------------+ +; State Machine - |firebee1|Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|FB_REGDDR ; ++---------+-------+-------+-------+-------+----------------------------------+ +; Name ; FR_S3 ; FR_S2 ; FR_S1 ; FR_S0 ; FR_WAIT ; ++---------+-------+-------+-------+-------+----------------------------------+ +; FR_WAIT ; 0 ; 0 ; 0 ; 0 ; 0 ; +; FR_S0 ; 0 ; 0 ; 0 ; 1 ; 1 ; +; FR_S1 ; 0 ; 0 ; 1 ; 0 ; 1 ; +; FR_S2 ; 0 ; 1 ; 0 ; 0 ; 1 ; +; FR_S3 ; 1 ; 0 ; 0 ; 0 ; 1 ; ++---------+-------+-------+-------+-------+----------------------------------+ + + +Encoding Type: One-Hot ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; State Machine - |firebee1|Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|DDR_SM ; ++---------+-------+-------+-------+-------+-------+--------+--------+---------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+-------+-------+-------+-------+-------+-------+-------+-------+-------+-------+-------+--------+--------+-------+ +; Name ; DS_R6 ; DS_R5 ; DS_R4 ; DS_R3 ; DS_R2 ; DS_CB8 ; DS_CB6 ; DS_T10F ; DS_T9F ; DS_T8F ; DS_T7F ; DS_T6F ; DS_T5F ; DS_T4F ; DS_T9W ; DS_T8W ; DS_T7W ; DS_T6W ; DS_T5W ; DS_T4W ; DS_T5R ; DS_T4R ; DS_C7 ; DS_C6 ; DS_C5 ; DS_C4 ; DS_C3 ; DS_C2 ; DS_N8 ; DS_N7 ; DS_N6 ; DS_N5 ; DS_T3 ; DS_T2B ; DS_T2A ; DS_T1 ; ++---------+-------+-------+-------+-------+-------+--------+--------+---------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+-------+-------+-------+-------+-------+-------+-------+-------+-------+-------+-------+--------+--------+-------+ +; DS_T1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; DS_T2A ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 1 ; +; DS_T2B ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 1 ; +; DS_T3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 1 ; +; DS_N5 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 1 ; +; DS_N6 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; DS_N7 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; DS_N8 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; DS_C2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; DS_C3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; DS_C4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; DS_C5 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; DS_C6 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; DS_C7 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; DS_T4R ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; DS_T5R ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; DS_T4W ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; DS_T5W ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; DS_T6W ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; DS_T7W ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; DS_T8W ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; DS_T9W ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; DS_T4F ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; DS_T5F ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; DS_T6F ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; DS_T7F ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; DS_T8F ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; DS_T9F ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; DS_T10F ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; DS_CB6 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; DS_CB8 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; DS_R2 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; DS_R3 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; DS_R4 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; DS_R5 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; DS_R6 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; ++---------+-------+-------+-------+-------+-------+--------+--------+---------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+-------+-------+-------+-------+-------+-------+-------+-------+-------+-------+-------+--------+--------+-------+ + + +Encoding Type: One-Hot ++-----------------------------------------------------------------------------------------------------------------------------------------------------------+ +; State Machine - |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FCF_STATE ; ++--------------------+------------------+------------------+------------------+------------------+------------------+------------------+--------------------+ +; Name ; FCF_STATE.FCF_T7 ; FCF_STATE.FCF_T6 ; FCF_STATE.FCF_T3 ; FCF_STATE.FCF_T2 ; FCF_STATE.FCF_T1 ; FCF_STATE.FCF_T0 ; FCF_STATE.FCF_IDLE ; ++--------------------+------------------+------------------+------------------+------------------+------------------+------------------+--------------------+ +; FCF_STATE.FCF_IDLE ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; FCF_STATE.FCF_T0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 1 ; +; FCF_STATE.FCF_T1 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 1 ; +; FCF_STATE.FCF_T2 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 1 ; +; FCF_STATE.FCF_T3 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 1 ; +; FCF_STATE.FCF_T6 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; FCF_STATE.FCF_T7 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; ++--------------------+------------------+------------------+------------------+------------------+------------------+------------------+--------------------+ + + +Encoding Type: One-Hot ++---------------------------------------------------------------------------------------------------+ +; State Machine - |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|CMD_STATE ; ++----------------+--------------+--------------+--------------+-------------------------------------+ +; Name ; CMD_STATE.T7 ; CMD_STATE.T6 ; CMD_STATE.T1 ; CMD_STATE.IDLE ; ++----------------+--------------+--------------+--------------+-------------------------------------+ +; CMD_STATE.IDLE ; 0 ; 0 ; 0 ; 0 ; +; CMD_STATE.T1 ; 0 ; 0 ; 1 ; 1 ; +; CMD_STATE.T6 ; 0 ; 1 ; 0 ; 1 ; +; CMD_STATE.T7 ; 1 ; 0 ; 0 ; 1 ; ++----------------+--------------+--------------+--------------+-------------------------------------+ + + +Encoding Type: One-Hot ++-------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; State Machine - |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|INT_STATE ; ++----------------------+----------------------+-------------------+-------------------------------------------------------------------------------------------+ +; Name ; INT_STATE.VECTOR_OUT ; INT_STATE.REQUEST ; INT_STATE.SCAN ; ++----------------------+----------------------+-------------------+-------------------------------------------------------------------------------------------+ +; INT_STATE.SCAN ; 0 ; 0 ; 0 ; +; INT_STATE.REQUEST ; 0 ; 1 ; 1 ; +; INT_STATE.VECTOR_OUT ; 1 ; 0 ; 1 ; ++----------------------+----------------------+-------------------+-------------------------------------------------------------------------------------------+ + + +Encoding Type: One-Hot ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; State Machine - |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT|TR_STATE ; ++----------------------+----------------+----------------+-----------------+-------------------+----------------+--------------------+----------------------+------------------------------+ +; Name ; TR_STATE.STOP2 ; TR_STATE.STOP1 ; TR_STATE.PARITY ; TR_STATE.SHIFTOUT ; TR_STATE.START ; TR_STATE.LOAD_SHFT ; TR_STATE.CHECK_BREAK ; TR_STATE.IDLE ; ++----------------------+----------------+----------------+-----------------+-------------------+----------------+--------------------+----------------------+------------------------------+ +; TR_STATE.IDLE ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; TR_STATE.CHECK_BREAK ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 1 ; +; TR_STATE.LOAD_SHFT ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 1 ; +; TR_STATE.START ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 1 ; +; TR_STATE.SHIFTOUT ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 1 ; +; TR_STATE.PARITY ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; TR_STATE.STOP1 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; TR_STATE.STOP2 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; ++----------------------+----------------+----------------+-----------------+-------------------+----------------+--------------------+----------------------+------------------------------+ + + +Encoding Type: One-Hot ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; State Machine - |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|RCV_STATE ; ++----------------------+----------------+-----------------+-----------------+------------------+------------------+----------------------+-------------------------------------------------+ +; Name ; RCV_STATE.SYNC ; RCV_STATE.STOP2 ; RCV_STATE.STOP1 ; RCV_STATE.PARITY ; RCV_STATE.SAMPLE ; RCV_STATE.WAIT_START ; RCV_STATE.IDLE ; ++----------------------+----------------+-----------------+-----------------+------------------+------------------+----------------------+-------------------------------------------------+ +; RCV_STATE.IDLE ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; RCV_STATE.WAIT_START ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 1 ; +; RCV_STATE.SAMPLE ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 1 ; +; RCV_STATE.PARITY ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 1 ; +; RCV_STATE.STOP1 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 1 ; +; RCV_STATE.STOP2 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; RCV_STATE.SYNC ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; ++----------------------+----------------+-----------------+-----------------+------------------+------------------+----------------------+-------------------------------------------------+ + + +Encoding Type: One-Hot ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; State Machine - |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|TR_STATE ; ++--------------------+----------------+----------------+-----------------+-------------------+----------------+--------------------+------------------------------+ +; Name ; TR_STATE.STOP2 ; TR_STATE.STOP1 ; TR_STATE.PARITY ; TR_STATE.SHIFTOUT ; TR_STATE.START ; TR_STATE.LOAD_SHFT ; TR_STATE.IDLE ; ++--------------------+----------------+----------------+-----------------+-------------------+----------------+--------------------+------------------------------+ +; TR_STATE.IDLE ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; TR_STATE.LOAD_SHFT ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 1 ; +; TR_STATE.START ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 1 ; +; TR_STATE.SHIFTOUT ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 1 ; +; TR_STATE.PARITY ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 1 ; +; TR_STATE.STOP1 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; TR_STATE.STOP2 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; ++--------------------+----------------+----------------+-----------------+-------------------+----------------+--------------------+------------------------------+ + + +Encoding Type: One-Hot ++----------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; State Machine - |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|RCV_STATE ; ++----------------------+----------------+-----------------+-----------------+------------------+------------------+----------------------+-----------------------+ +; Name ; RCV_STATE.SYNC ; RCV_STATE.STOP2 ; RCV_STATE.STOP1 ; RCV_STATE.PARITY ; RCV_STATE.SAMPLE ; RCV_STATE.WAIT_START ; RCV_STATE.IDLE ; ++----------------------+----------------+-----------------+-----------------+------------------+------------------+----------------------+-----------------------+ +; RCV_STATE.IDLE ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; RCV_STATE.WAIT_START ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 1 ; +; RCV_STATE.SAMPLE ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 1 ; +; RCV_STATE.PARITY ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 1 ; +; RCV_STATE.STOP1 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 1 ; +; RCV_STATE.STOP2 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; RCV_STATE.SYNC ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; ++----------------------+----------------+-----------------+-----------------+------------------+------------------+----------------------+-----------------------+ + + +Encoding Type: One-Hot ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; State Machine - |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|TR_STATE ; ++--------------------+----------------+----------------+-----------------+-------------------+----------------+--------------------+----------------------------------+ +; Name ; TR_STATE.STOP2 ; TR_STATE.STOP1 ; TR_STATE.PARITY ; TR_STATE.SHIFTOUT ; TR_STATE.START ; TR_STATE.LOAD_SHFT ; TR_STATE.IDLE ; ++--------------------+----------------+----------------+-----------------+-------------------+----------------+--------------------+----------------------------------+ +; TR_STATE.IDLE ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; TR_STATE.LOAD_SHFT ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 1 ; +; TR_STATE.START ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 1 ; +; TR_STATE.SHIFTOUT ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 1 ; +; TR_STATE.PARITY ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 1 ; +; TR_STATE.STOP1 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; TR_STATE.STOP2 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; ++--------------------+----------------+----------------+-----------------+-------------------+----------------+--------------------+----------------------------------+ + + +Encoding Type: One-Hot ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; State Machine - |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|RCV_STATE ; ++----------------------+----------------+-----------------+-----------------+------------------+------------------+----------------------+---------------------------+ +; Name ; RCV_STATE.SYNC ; RCV_STATE.STOP2 ; RCV_STATE.STOP1 ; RCV_STATE.PARITY ; RCV_STATE.SAMPLE ; RCV_STATE.WAIT_START ; RCV_STATE.IDLE ; ++----------------------+----------------+-----------------+-----------------+------------------+------------------+----------------------+---------------------------+ +; RCV_STATE.IDLE ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; RCV_STATE.WAIT_START ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 1 ; +; RCV_STATE.SAMPLE ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 1 ; +; RCV_STATE.PARITY ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 1 ; +; RCV_STATE.STOP1 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 1 ; +; RCV_STATE.STOP2 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; RCV_STATE.SYNC ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; ++----------------------+----------------+-----------------+-----------------+------------------+------------------+----------------------+---------------------------+ + + +Encoding Type: One-Hot ++--------------------------------------------------------------------------------------------------------------------------------------------------+ +; State Machine - |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|DMA_STATE ; ++----------------------+----------------------+----------------------+----------------------+----------------------+-------------------------------+ +; Name ; DMA_STATE.DMA_STEP_4 ; DMA_STATE.DMA_STEP_3 ; DMA_STATE.DMA_STEP_2 ; DMA_STATE.DMA_STEP_1 ; DMA_STATE.IDLE ; ++----------------------+----------------------+----------------------+----------------------+----------------------+-------------------------------+ +; DMA_STATE.IDLE ; 0 ; 0 ; 0 ; 0 ; 0 ; +; DMA_STATE.DMA_STEP_1 ; 0 ; 0 ; 0 ; 1 ; 1 ; +; DMA_STATE.DMA_STEP_2 ; 0 ; 0 ; 1 ; 0 ; 1 ; +; DMA_STATE.DMA_STEP_3 ; 0 ; 1 ; 0 ; 0 ; 1 ; +; DMA_STATE.DMA_STEP_4 ; 1 ; 0 ; 0 ; 0 ; 1 ; ++----------------------+----------------------+----------------------+----------------------+----------------------+-------------------------------+ + + +Encoding Type: One-Hot ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; State Machine - |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|CTRL_STATE ; ++-------------------------+-------------------------+-------------------------+---------------------+------------------------+-----------------------+-----------------+ +; Name ; CTRL_STATE.DMA_INIT_RCV ; CTRL_STATE.DMA_TARG_RCV ; CTRL_STATE.DMA_SEND ; CTRL_STATE.WAIT_2200ns ; CTRL_STATE.WAIT_800ns ; CTRL_STATE.IDLE ; ++-------------------------+-------------------------+-------------------------+---------------------+------------------------+-----------------------+-----------------+ +; CTRL_STATE.IDLE ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; CTRL_STATE.WAIT_800ns ; 0 ; 0 ; 0 ; 0 ; 1 ; 1 ; +; CTRL_STATE.WAIT_2200ns ; 0 ; 0 ; 0 ; 1 ; 0 ; 1 ; +; CTRL_STATE.DMA_SEND ; 0 ; 0 ; 1 ; 0 ; 0 ; 1 ; +; CTRL_STATE.DMA_TARG_RCV ; 0 ; 1 ; 0 ; 0 ; 0 ; 1 ; +; CTRL_STATE.DMA_INIT_RCV ; 1 ; 0 ; 0 ; 0 ; 0 ; 1 ; ++-------------------------+-------------------------+-------------------------+---------------------+------------------------+-----------------------+-----------------+ + + +Encoding Type: One-Hot ++-----------------------------------------------------------------------------------------------------------------------------------------------------------+ +; State Machine - |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|PRECOMP ; ++-----------------+--------------+---------------+----------------------------------------------------------------------------------------------------------+ +; Name ; PRECOMP.LATE ; PRECOMP.EARLY ; PRECOMP.NOMINAL ; ++-----------------+--------------+---------------+----------------------------------------------------------------------------------------------------------+ +; PRECOMP.NOMINAL ; 0 ; 0 ; 0 ; +; PRECOMP.EARLY ; 0 ; 1 ; 1 ; +; PRECOMP.LATE ; 1 ; 0 ; 1 ; ++-----------------+--------------+---------------+----------------------------------------------------------------------------------------------------------+ + + +Encoding Type: One-Hot ++-------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; State Machine - |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|MFM_STATE ; ++----------------+----------------+----------------+----------------------------------------------------------------------------------------------------------+ +; Name ; MFM_STATE.C_10 ; MFM_STATE.B_01 ; MFM_STATE.A_00 ; ++----------------+----------------+----------------+----------------------------------------------------------------------------------------------------------+ +; MFM_STATE.A_00 ; 0 ; 0 ; 0 ; +; MFM_STATE.B_01 ; 0 ; 1 ; 1 ; +; MFM_STATE.C_10 ; 1 ; 0 ; 1 ; ++----------------+----------------+----------------+----------------------------------------------------------------------------------------------------------+ + + +Encoding Type: One-Hot ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; State Machine - |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE ; ++----------------------------+-------------------------+----------------------+-----------------------+------------------------+--------------------------+------------------------+------------------------+---------------------+------------------------+--------------------------+-----------------------+-------------------------+------------------------+----------------------------+--------------------+-----------------------+-----------------------+----------------------------+----------------------+------------------------+----------------------------+-------------------------+-----------------------+-----------------+--------------------+---------------------+---------------------+-----------------------+---------------------------+----------------------+------------------------+--------------------+------------------------+------------------------+-------------------------+-----------------------+---------------------------+-----------------------+----------------------+-----------------------+------------------------+---------------------------+---------------------+---------------------------+-----------------------+------------------------+------------------------+------------------------+---------------------------+-----------------------+------------------------+-------------------------+-------------------+-------------------------+-------------------------+---------------------------+-----------------------+-------------------------+-----------------------+-------------------------+-------------------+-------------------+------------------------+------------------------+--------------------------+------------------------+-----------------------+---------------------------+------------------+----------------------+------------------+----------------+----------------+ +; Name ; CMD_STATE.T3_VERIFY_CRC ; CMD_STATE.T3_LOAD_SR ; CMD_STATE.T3_CHECK_RD ; CMD_STATE.T3_SET_DRQ_2 ; CMD_STATE.T3_LOAD_DATA_2 ; CMD_STATE.T3_SHIFT_ADR ; CMD_STATE.T3_VERIFY_AM ; CMD_STATE.T3_RD_ADR ; CMD_STATE.T3_SET_DRQ_1 ; CMD_STATE.T3_LOAD_DATA_1 ; CMD_STATE.T3_CHECK_DR ; CMD_STATE.T3_CHECK_BYTE ; CMD_STATE.T3_DETECT_AM ; CMD_STATE.T3_CHECK_INDEX_3 ; CMD_STATE.T3_SHIFT ; CMD_STATE.T3_RD_TRACK ; CMD_STATE.T3_DATALOST ; CMD_STATE.T3_CHECK_INDEX_2 ; CMD_STATE.T3_WR_DATA ; CMD_STATE.T3_LOAD_SHFT ; CMD_STATE.T3_CHECK_INDEX_1 ; CMD_STATE.T3_VERIFY_DRQ ; CMD_STATE.T3_DELAY_B3 ; CMD_STATE.T3_WR ; CMD_STATE.T2_WR_FF ; CMD_STATE.T2_WR_CRC ; CMD_STATE.T2_WRSTAT ; CMD_STATE.T2_DATALOST ; CMD_STATE.T2_VERIFY_DRQ_3 ; CMD_STATE.T2_WR_BYTE ; CMD_STATE.T2_LOAD_SHFT ; CMD_STATE.T2_WR_AM ; CMD_STATE.T2_WR_LEADIN ; CMD_STATE.T2_DELAY_B11 ; CMD_STATE.T2_CHECK_MODE ; CMD_STATE.T2_DELAY_B1 ; CMD_STATE.T2_VERIFY_DRQ_2 ; CMD_STATE.T2_DELAY_B8 ; CMD_STATE.T2_SET_DRQ ; CMD_STATE.T2_DELAY_B2 ; CMD_STATE.T2_MULTISECT ; CMD_STATE.T2_VERIFY_CRC_2 ; CMD_STATE.T2_RDSTAT ; CMD_STATE.T2_VERIFY_DRQ_1 ; CMD_STATE.T2_NEXTBYTE ; CMD_STATE.T2_LOAD_DATA ; CMD_STATE.T2_FIRSTBYTE ; CMD_STATE.T2_VERIFY_AM ; CMD_STATE.T2_VERIFY_CRC_1 ; CMD_STATE.T2_SCAN_LEN ; CMD_STATE.T2_SCAN_SECT ; CMD_STATE.T2_SCAN_TRACK ; CMD_STATE.T2_INIT ; CMD_STATE.T2_RD_WR_SECT ; CMD_STATE.T1_VERIFY_CRC ; CMD_STATE.T1_VERIFY_DELAY ; CMD_STATE.T1_SCAN_CRC ; CMD_STATE.T1_SCAN_TRACK ; CMD_STATE.T1_SPINDOWN ; CMD_STATE.T1_STEP_DELAY ; CMD_STATE.T1_TRAP ; CMD_STATE.T1_STEP ; CMD_STATE.T1_HEAD_CTRL ; CMD_STATE.T1_CHECK_DIR ; CMD_STATE.T1_COMP_TR_DSR ; CMD_STATE.T1_LOAD_SHFT ; CMD_STATE.T1_STEPPING ; CMD_STATE.T1_SEEK_RESTORE ; CMD_STATE.DECODE ; CMD_STATE.DELAY_15MS ; CMD_STATE.SPINUP ; CMD_STATE.INIT ; CMD_STATE.IDLE ; ++----------------------------+-------------------------+----------------------+-----------------------+------------------------+--------------------------+------------------------+------------------------+---------------------+------------------------+--------------------------+-----------------------+-------------------------+------------------------+----------------------------+--------------------+-----------------------+-----------------------+----------------------------+----------------------+------------------------+----------------------------+-------------------------+-----------------------+-----------------+--------------------+---------------------+---------------------+-----------------------+---------------------------+----------------------+------------------------+--------------------+------------------------+------------------------+-------------------------+-----------------------+---------------------------+-----------------------+----------------------+-----------------------+------------------------+---------------------------+---------------------+---------------------------+-----------------------+------------------------+------------------------+------------------------+---------------------------+-----------------------+------------------------+-------------------------+-------------------+-------------------------+-------------------------+---------------------------+-----------------------+-------------------------+-----------------------+-------------------------+-------------------+-------------------+------------------------+------------------------+--------------------------+------------------------+-----------------------+---------------------------+------------------+----------------------+------------------+----------------+----------------+ +; CMD_STATE.IDLE ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; CMD_STATE.INIT ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 1 ; +; CMD_STATE.SPINUP ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 1 ; +; CMD_STATE.DELAY_15MS ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 1 ; +; CMD_STATE.DECODE ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T1_SEEK_RESTORE ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T1_STEPPING ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T1_LOAD_SHFT ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T1_COMP_TR_DSR ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T1_CHECK_DIR ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T1_HEAD_CTRL ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T1_STEP ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T1_TRAP ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T1_STEP_DELAY ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T1_SPINDOWN ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T1_SCAN_TRACK ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T1_SCAN_CRC ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T1_VERIFY_DELAY ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T1_VERIFY_CRC ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T2_RD_WR_SECT ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T2_INIT ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T2_SCAN_TRACK ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T2_SCAN_SECT ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T2_SCAN_LEN ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T2_VERIFY_CRC_1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T2_VERIFY_AM ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T2_FIRSTBYTE ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T2_LOAD_DATA ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T2_NEXTBYTE ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T2_VERIFY_DRQ_1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T2_RDSTAT ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T2_VERIFY_CRC_2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T2_MULTISECT ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T2_DELAY_B2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T2_SET_DRQ ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T2_DELAY_B8 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T2_VERIFY_DRQ_2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T2_DELAY_B1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T2_CHECK_MODE ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T2_DELAY_B11 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T2_WR_LEADIN ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T2_WR_AM ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T2_LOAD_SHFT ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T2_WR_BYTE ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T2_VERIFY_DRQ_3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T2_DATALOST ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T2_WRSTAT ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T2_WR_CRC ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T2_WR_FF ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T3_WR ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T3_DELAY_B3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T3_VERIFY_DRQ ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T3_CHECK_INDEX_1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T3_LOAD_SHFT ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T3_WR_DATA ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T3_CHECK_INDEX_2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T3_DATALOST ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T3_RD_TRACK ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T3_SHIFT ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T3_CHECK_INDEX_3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T3_DETECT_AM ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T3_CHECK_BYTE ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T3_CHECK_DR ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T3_LOAD_DATA_1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T3_SET_DRQ_1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T3_RD_ADR ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T3_VERIFY_AM ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T3_SHIFT_ADR ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T3_LOAD_DATA_2 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T3_SET_DRQ_2 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T3_CHECK_RD ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T3_LOAD_SR ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; +; CMD_STATE.T3_VERIFY_CRC ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; ++----------------------------+-------------------------+----------------------+-----------------------+------------------------+--------------------------+------------------------+------------------------+---------------------+------------------------+--------------------------+-----------------------+-------------------------+------------------------+----------------------------+--------------------+-----------------------+-----------------------+----------------------------+----------------------+------------------------+----------------------------+-------------------------+-----------------------+-----------------+--------------------+---------------------+---------------------+-----------------------+---------------------------+----------------------+------------------------+--------------------+------------------------+------------------------+-------------------------+-----------------------+---------------------------+-----------------------+----------------------+-----------------------+------------------------+---------------------------+---------------------+---------------------------+-----------------------+------------------------+------------------------+------------------------+---------------------------+-----------------------+------------------------+-------------------------+-------------------+-------------------------+-------------------------+---------------------------+-----------------------+-------------------------+-----------------------+-------------------------+-------------------+-------------------+------------------------+------------------------+--------------------------+------------------------+-----------------------+---------------------------+------------------+----------------------+------------------+----------------+----------------+ + + ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Registers Protected by Synthesis ; ++----------------------------------------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------+--------------------------------------------+ +; Register Name ; Protected by Synthesis Attribute or Preserve Register Assignment ; Not to be Touched by Netlist Optimizations ; ++----------------------------------------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------+--------------------------------------------+ +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|areset_state ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|idle_state ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[16] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[16] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[1] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[10] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[0] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|tmp_nominal_data_out_state ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[31] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[31] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|areset_init_state_1 ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr3|cntr_pij:auto_generated|counter_reg_bit[4] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr3|cntr_pij:auto_generated|counter_reg_bit[3] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr3|cntr_pij:auto_generated|counter_reg_bit[2] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr3|cntr_pij:auto_generated|counter_reg_bit[1] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr3|cntr_pij:auto_generated|counter_reg_bit[0] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|write_data_state ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|write_nominal_state ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|reconfig_wait_state ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|read_last_nominal_state ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|read_last_state ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|reset_state ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[30] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[30] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[13] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[13] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[12] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[12] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[17] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[17] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[2] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[11] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[18] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[18] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[3] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[12] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|C0_data_state ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|C1_data_state ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|C2_data_state ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|C3_data_state ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|C4_data_state ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|reconfig_post_state ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|reconfig_seq_data_state ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr14|cntr_pij:auto_generated|counter_reg_bit[4] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr14|cntr_pij:auto_generated|counter_reg_bit[3] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr14|cntr_pij:auto_generated|counter_reg_bit[2] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr14|cntr_pij:auto_generated|counter_reg_bit[1] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr14|cntr_pij:auto_generated|counter_reg_bit[0] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|configupdate3_state ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|configupdate_state ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[26] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[26] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[25] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[25] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[24] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[24] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[8] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[17] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[7] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[16] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[6] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[15] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[5] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[14] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[4] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[13] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[23] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[23] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[22] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[22] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[21] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[21] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[20] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[20] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[19] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[19] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[15] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[15] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[14] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[14] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[29] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[29] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[28] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[28] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[27] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[27] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[11] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[11] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[10] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[10] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[9] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[9] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[8] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[8] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[7] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[7] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[6] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[6] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[5] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[5] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[4] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[4] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[3] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[3] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[2] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[2] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[1] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[1] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_h[0] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[0] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[16] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[16] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|read_data_state ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|read_data_nominal_state ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|read_init_nominal_state ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|read_init_state ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[7] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|shift_reg[9] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[17] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[31] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|counter_param_latch_reg[2] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|counter_param_latch_reg[1] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|counter_param_latch_reg[0] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|counter_type_latch_reg[3] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|counter_type_latch_reg[2] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|counter_type_latch_reg[1] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|write_init_nominal_state ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|write_init_state ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|read_first_state ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|counter_type_latch_reg[0] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|read_first_nominal_state ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|reconfig_counter_state ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|reconfig_init_state ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|reconfig_seq_ena_state ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[30] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[13] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[12] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[17] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[15] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[6] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[18] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[14] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[5] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|C0_ena_state ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|C1_ena_state ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|C2_ena_state ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|C3_ena_state ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|C4_ena_state ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr13|cntr_qij:auto_generated|counter_reg_bit[5] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr13|cntr_qij:auto_generated|counter_reg_bit[4] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr13|cntr_qij:auto_generated|counter_reg_bit[3] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr13|cntr_qij:auto_generated|counter_reg_bit[2] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr13|cntr_qij:auto_generated|counter_reg_bit[1] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr13|cntr_qij:auto_generated|counter_reg_bit[0] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr1|cntr_30l:auto_generated|counter_reg_bit[0] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr2|cntr_9cj:auto_generated|counter_reg_bit[0] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr15|cntr_30l:auto_generated|counter_reg_bit[0] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr12|cntr_30l:auto_generated|counter_reg_bit[0] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr1|cntr_30l:auto_generated|counter_reg_bit[1] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr2|cntr_9cj:auto_generated|counter_reg_bit[1] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr15|cntr_30l:auto_generated|counter_reg_bit[1] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr12|cntr_30l:auto_generated|counter_reg_bit[1] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr1|cntr_30l:auto_generated|counter_reg_bit[2] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr2|cntr_9cj:auto_generated|counter_reg_bit[2] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr15|cntr_30l:auto_generated|counter_reg_bit[2] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr12|cntr_30l:auto_generated|counter_reg_bit[2] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr1|cntr_30l:auto_generated|counter_reg_bit[3] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr2|cntr_9cj:auto_generated|counter_reg_bit[3] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr15|cntr_30l:auto_generated|counter_reg_bit[3] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr12|cntr_30l:auto_generated|counter_reg_bit[3] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr1|cntr_30l:auto_generated|counter_reg_bit[4] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr2|cntr_9cj:auto_generated|counter_reg_bit[4] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr15|cntr_30l:auto_generated|counter_reg_bit[4] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr12|cntr_30l:auto_generated|counter_reg_bit[4] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr1|cntr_30l:auto_generated|counter_reg_bit[5] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr2|cntr_9cj:auto_generated|counter_reg_bit[5] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr15|cntr_30l:auto_generated|counter_reg_bit[5] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr12|cntr_30l:auto_generated|counter_reg_bit[5] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr1|cntr_30l:auto_generated|counter_reg_bit[6] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr2|cntr_9cj:auto_generated|counter_reg_bit[6] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr15|cntr_30l:auto_generated|counter_reg_bit[6] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr12|cntr_30l:auto_generated|counter_reg_bit[6] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr1|cntr_30l:auto_generated|counter_reg_bit[7] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr2|cntr_9cj:auto_generated|counter_reg_bit[7] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr15|cntr_30l:auto_generated|counter_reg_bit[7] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr12|cntr_30l:auto_generated|counter_reg_bit[7] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|configupdate2_state ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[26] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[25] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[24] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[9] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[0] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[10] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[1] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[11] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[2] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[12] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[3] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[13] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[4] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[23] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[22] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[21] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[20] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[19] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[15] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[14] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[29] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[28] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[27] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[11] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[10] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[9] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[8] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[7] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[6] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[5] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[4] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[3] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[2] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[1] ; no ; yes ; +; Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[0] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|nominal_data[8] ; no ; yes ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|tmp_seq_ena_state ; no ; yes ; ++----------------------------------------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------+--------------------------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; User-Specified and Inferred Latches ; ++------------------------------------------------------------------------------------+--------------------------------------------------------------------------+------------------------+ +; Latch Name ; Latch Enable Signal ; Free of Timing Hazards ; ++------------------------------------------------------------------------------------+--------------------------------------------------------------------------+------------------------+ +; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[16] ; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[4] ; yes ; +; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[31] ; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[4] ; yes ; +; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[30] ; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[4] ; yes ; +; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[13] ; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[4] ; yes ; +; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[12] ; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[4] ; yes ; +; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[17] ; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[4] ; yes ; +; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[18] ; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[4] ; yes ; +; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[26] ; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[4] ; yes ; +; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[25] ; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[4] ; yes ; +; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[24] ; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[4] ; yes ; +; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[23] ; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[4] ; yes ; +; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[22] ; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[4] ; yes ; +; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[21] ; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[4] ; yes ; +; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[20] ; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[4] ; yes ; +; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[19] ; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[4] ; yes ; +; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[15] ; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[4] ; yes ; +; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[14] ; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[4] ; yes ; +; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[29] ; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[4] ; yes ; +; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[28] ; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[4] ; yes ; +; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[27] ; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[4] ; yes ; +; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[11] ; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[4] ; yes ; +; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[10] ; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[4] ; yes ; +; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[9] ; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[4] ; yes ; +; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[8] ; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[4] ; yes ; +; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[7] ; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[4] ; yes ; +; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[6] ; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[4] ; yes ; +; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[5] ; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[4] ; yes ; +; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[4] ; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[4] ; yes ; +; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[3] ; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[4] ; yes ; +; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[2] ; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[4] ; yes ; +; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[1] ; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[4] ; yes ; +; Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component|latches[0] ; altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|clk[4] ; yes ; +; Number of user-specified and inferred latches = 32 ; ; ; ++------------------------------------------------------------------------------------+--------------------------------------------------------------------------+------------------------+ +Note: All latches listed above may not be present at the end of synthesis due to various synthesis optimizations. + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Registers Removed During Synthesis ; ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Register name ; Reason for Removal ; ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; interrupt_handler:nobody|INT_LATCH[31] ; Stuck at GND due to stuck port clock ; +; interrupt_handler:nobody|INT_CLEAR[31] ; Lost fanout ; +; interrupt_handler:nobody|INT_LATCH[30] ; Stuck at GND due to stuck port clock ; +; interrupt_handler:nobody|INT_CLEAR[30] ; Lost fanout ; +; interrupt_handler:nobody|INT_LATCH[29] ; Stuck at GND due to stuck port clock ; +; interrupt_handler:nobody|INT_CLEAR[29] ; Lost fanout ; +; interrupt_handler:nobody|INT_LATCH[28] ; Stuck at GND due to stuck port clock ; +; interrupt_handler:nobody|INT_CLEAR[28] ; Lost fanout ; +; interrupt_handler:nobody|INT_LATCH[27] ; Stuck at GND due to stuck port clock ; +; interrupt_handler:nobody|INT_CLEAR[27] ; Lost fanout ; +; interrupt_handler:nobody|INT_LATCH[26] ; Stuck at GND due to stuck port clock ; +; interrupt_handler:nobody|INT_CLEAR[26] ; Lost fanout ; +; interrupt_handler:nobody|INT_LATCH[25] ; Stuck at GND due to stuck port clock ; +; interrupt_handler:nobody|INT_CLEAR[25] ; Lost fanout ; +; interrupt_handler:nobody|INT_LATCH[24] ; Stuck at GND due to stuck port clock ; +; interrupt_handler:nobody|INT_CLEAR[24] ; Lost fanout ; +; interrupt_handler:nobody|INT_LATCH[23] ; Stuck at GND due to stuck port clock ; +; interrupt_handler:nobody|INT_CLEAR[23] ; Lost fanout ; +; interrupt_handler:nobody|INT_LATCH[22] ; Stuck at GND due to stuck port clock ; +; interrupt_handler:nobody|INT_CLEAR[22] ; Lost fanout ; +; interrupt_handler:nobody|INT_LATCH[21] ; Stuck at GND due to stuck port clock ; +; interrupt_handler:nobody|INT_CLEAR[21] ; Lost fanout ; +; interrupt_handler:nobody|INT_LATCH[20] ; Stuck at GND due to stuck port clock ; +; interrupt_handler:nobody|INT_CLEAR[20] ; Lost fanout ; +; interrupt_handler:nobody|INT_LATCH[19] ; Stuck at GND due to stuck port clock ; +; interrupt_handler:nobody|INT_CLEAR[19] ; Lost fanout ; +; interrupt_handler:nobody|INT_LATCH[18] ; Stuck at GND due to stuck port clock ; +; interrupt_handler:nobody|INT_CLEAR[18] ; Lost fanout ; +; interrupt_handler:nobody|INT_LATCH[17] ; Stuck at GND due to stuck port clock ; +; interrupt_handler:nobody|INT_CLEAR[17] ; Lost fanout ; +; interrupt_handler:nobody|INT_LATCH[16] ; Stuck at GND due to stuck port clock ; +; interrupt_handler:nobody|INT_CLEAR[16] ; Lost fanout ; +; interrupt_handler:nobody|INT_LATCH[15] ; Stuck at GND due to stuck port clock ; +; interrupt_handler:nobody|INT_CLEAR[15] ; Lost fanout ; +; interrupt_handler:nobody|INT_LATCH[14] ; Stuck at GND due to stuck port clock ; +; interrupt_handler:nobody|INT_CLEAR[14] ; Lost fanout ; +; interrupt_handler:nobody|INT_LATCH[13] ; Stuck at GND due to stuck port clock ; +; interrupt_handler:nobody|INT_CLEAR[13] ; Lost fanout ; +; interrupt_handler:nobody|INT_LATCH[12] ; Stuck at GND due to stuck port clock ; +; interrupt_handler:nobody|INT_CLEAR[12] ; Lost fanout ; +; interrupt_handler:nobody|INT_LATCH[11] ; Stuck at GND due to stuck port clock ; +; interrupt_handler:nobody|INT_CLEAR[11] ; Lost fanout ; +; interrupt_handler:nobody|INT_LATCH[10] ; Stuck at GND due to stuck port clock ; +; interrupt_handler:nobody|INT_CLEAR[10] ; Lost fanout ; +; interrupt_handler:nobody|INT_LATCH[7] ; Stuck at GND due to stuck port clock ; +; interrupt_handler:nobody|INT_CLEAR[7] ; Lost fanout ; +; interrupt_handler:nobody|WERTE[7][13] ; Stuck at VCC due to stuck port data_in ; +; interrupt_handler:nobody|WERTE[6][10] ; Stuck at GND due to stuck port clear ; +; interrupt_handler:nobody|WERTE[2][11] ; Stuck at VCC due to stuck port data_in ; +; interrupt_handler:nobody|WERTE[1][11] ; Stuck at VCC due to stuck port data_in ; +; interrupt_handler:nobody|WERTE[0][11] ; Stuck at VCC due to stuck port data_in ; +; Video:Fredi_Aschwanden|lpm_ff3:inst47|lpm_ff:lpm_ff_component|dffs[0,1,8,9,16,17] ; Stuck at GND due to stuck port data_in ; +; Video:Fredi_Aschwanden|lpm_ff3:inst46|lpm_ff:lpm_ff_component|dffs[0,1,8,9,16,17] ; Stuck at GND due to stuck port data_in ; +; Video:Fredi_Aschwanden|lpm_ff3:inst52|lpm_ff:lpm_ff_component|dffs[0..4,8..12,16..20] ; Stuck at GND due to stuck port data_in ; +; Video:Fredi_Aschwanden|lpm_ff3:inst49|lpm_ff:lpm_ff_component|dffs[0..4,8..12,16..20] ; Stuck at GND due to stuck port data_in ; +; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|BLITTER_REQ ; Stuck at GND due to stuck port data_in ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|DCD_In ; Stuck at GND due to stuck port data_in ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTS_In ; Stuck at GND due to stuck port data_in ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|DCD_In ; Stuck at GND due to stuck port data_in ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTS_In ; Stuck at GND due to stuck port data_in ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|FM_In ; Lost fanout ; +; Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_hk21:auto_generated|a_dpfifo_oq21:dpfifo|cntr_5n7:usedw_counter|counter_reg_bit[0..6] ; Lost fanout ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|\P_WAVSTRB:TMP ; Lost fanout ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|\P_IRQ:DCD_TRANS ; Lost fanout ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|\P_IRQ:DCD_TRANS ; Lost fanout ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|AIP ; Lost fanout ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|LA ; Lost fanout ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|BSY_ERR ; Lost fanout ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|TCR[3] ; Lost fanout ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|IDR[0..5] ; Lost fanout ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|\PARITY:LOCK ; Lost fanout ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|\FM_ENCODER:CNT[0..7] ; Lost fanout ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|ICR[6] ; Stuck at GND due to stuck port data_in ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|MR2[0,2..5,7] ; Stuck at GND due to stuck port data_in ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|TCR[0..2] ; Stuck at GND due to stuck port data_in ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|SER[0..7] ; Stuck at GND due to stuck port data_in ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|SPER ; Stuck at GND due to stuck port data_in ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|BUS_FREE ; Lost fanout ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|\REGISTERS:BSY_LOCK ; Lost fanout ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|\P_BUSFREE:TMP[0..2] ; Lost fanout ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|IDR[6,7] ; Lost fanout ; +; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|dffpipe_oe9:ws_bwp|dffe18a[9] ; Lost fanout ; +; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|dffpipe_oe9:ws_brp|dffe18a[9] ; Lost fanout ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|dffpipe_gd9:rs_bwp|dffe15a[8] ; Lost fanout ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|dffpipe_pe9:rs_brp|dffe16a[10] ; Lost fanout ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|dffpipe_pe9:ws_bwp|dffe16a[10] ; Lost fanout ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|dffpipe_gd9:ws_brp|dffe15a[8] ; Lost fanout ; +; Video:Fredi_Aschwanden|lpm_mux2:inst25|lpm_mux:lpm_mux_component|mux_mpe:auto_generated|dffe1a[2] ; Merged with Video:Fredi_Aschwanden|lpm_mux1:inst24|lpm_mux:lpm_mux_component|mux_npe:auto_generated|dffe1a[2] ; +; Video:Fredi_Aschwanden|inst90 ; Merged with Video:Fredi_Aschwanden|inst88 ; +; Video:Fredi_Aschwanden|lpm_ff5:inst97|lpm_ff:lpm_ff_component|dffs[1..3] ; Merged with Video:Fredi_Aschwanden|lpm_ff5:inst97|lpm_ff:lpm_ff_component|dffs[0] ; +; Video:Fredi_Aschwanden|lpm_mux6:inst7|lpm_mux:lpm_mux_component|mux_kpe:auto_generated|dffe2 ; Merged with Video:Fredi_Aschwanden|lpm_mux6:inst7|lpm_mux:lpm_mux_component|mux_kpe:auto_generated|dffe18 ; +; Video:Fredi_Aschwanden|lpm_mux6:inst7|lpm_mux:lpm_mux_component|mux_kpe:auto_generated|dffe20 ; Merged with Video:Fredi_Aschwanden|lpm_mux6:inst7|lpm_mux:lpm_mux_component|mux_kpe:auto_generated|dffe18 ; +; Video:Fredi_Aschwanden|lpm_mux6:inst7|lpm_mux:lpm_mux_component|mux_kpe:auto_generated|dffe34 ; Merged with Video:Fredi_Aschwanden|lpm_mux6:inst7|lpm_mux:lpm_mux_component|mux_kpe:auto_generated|dffe18 ; +; Video:Fredi_Aschwanden|lpm_mux6:inst7|lpm_mux:lpm_mux_component|mux_kpe:auto_generated|dffe36 ; Merged with Video:Fredi_Aschwanden|lpm_mux6:inst7|lpm_mux:lpm_mux_component|mux_kpe:auto_generated|dffe18 ; +; Video:Fredi_Aschwanden|lpm_mux6:inst7|lpm_mux:lpm_mux_component|mux_kpe:auto_generated|dffe4 ; Merged with Video:Fredi_Aschwanden|lpm_mux6:inst7|lpm_mux:lpm_mux_component|mux_kpe:auto_generated|dffe18 ; +; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|SR_DDR_WR ; Merged with Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|SR_DDRWR_D_SEL ; +; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|SR_VDMP[1..3] ; Merged with Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|SR_VDMP[0] ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|\NOISEGENERATOR:N_SHFT[16] ; Merged with FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|NOISE_OUT ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|\OVERRUN:FIRST_READ ; Merged with FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|OE ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\OVERRUN:FIRST_READ ; Merged with FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|OVR ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\OVERRUN:FIRST_READ ; Merged with FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|OVR ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|MR2[6] ; Merged with FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|ICR[7] ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|ICR[1..4] ; Merged with FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|ICR[0] ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|DCD_FLAGn ; Stuck at GND due to stuck port data_in ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|DCD_FLAGn ; Stuck at GND due to stuck port data_in ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|\P_DRQ:LOCK ; Stuck at GND due to stuck port data_in ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|DMA_ACTIVE_I ; Stuck at GND due to stuck port data_in ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|ICR[0,7] ; Stuck at GND due to stuck port data_in ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|MR2[1] ; Stuck at GND due to stuck port data_in ; +; Video:Fredi_Aschwanden|lpm_mux6:inst7|lpm_mux:lpm_mux_component|mux_kpe:auto_generated|dffe18 ; Stuck at GND due to stuck port data_in ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|INT ; Stuck at GND due to stuck port data_in ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|DRQ ; Stuck at GND due to stuck port data_in ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|ODR[0..7] ; Stuck at GND due to stuck port data_in ; +; Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|BLITTER_AC ; Stuck at GND due to stuck port data_in ; +; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VSYNC_I[2] ; Stuck at GND due to stuck port data_in ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|DMA_STATE.IDLE ; Lost fanout ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|DMA_STATE.DMA_STEP_1 ; Lost fanout ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|DMA_STATE.DMA_STEP_2 ; Lost fanout ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|DMA_STATE.DMA_STEP_3 ; Lost fanout ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|DMA_STATE.DMA_STEP_4 ; Lost fanout ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|CTRL_STATE.IDLE ; Lost fanout ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|CTRL_STATE.DMA_SEND ; Lost fanout ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|CTRL_STATE.DMA_TARG_RCV ; Lost fanout ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|CTRL_STATE.DMA_INIT_RCV ; Lost fanout ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|CTRL_STATE.WAIT_2200ns ; Lost fanout ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|MFM_STATE.A_00 ; Lost fanout ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|\MFM_PRECOMPENSATION:WRITEPATTERN[0] ; Merged with FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|MFM_STATE.B_01 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|CTRL_STATE.WAIT_800ns ; Stuck at GND due to stuck port data_in ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|DATA_EN ; Stuck at GND due to stuck port data_in ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|DELAY_800ns ; Stuck at GND due to stuck port data_in ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|\DELAY_800:TMP[0..3] ; Stuck at GND due to stuck port data_in ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL|\ADDER:ADDER_DATA[12] ; Lost fanout ; +; Total Number of Removed Registers = 223 ; ; ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------+ + + ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Removed Registers Triggering Further Register Optimizations ; ++-----------------------------------------------------------------------------------------------------------------------------------------------+---------------------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Register name ; Reason for Removal ; Registers Removed due to This Register ; ++-----------------------------------------------------------------------------------------------------------------------------------------------+---------------------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|MR2[7] ; Stuck at GND ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|DRQ, ; +; ; due to stuck port data_in ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|ODR[7], ; +; ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|ODR[6], ; +; ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|ODR[5], ; +; ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|ODR[4], ; +; ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|ODR[3], ; +; ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|ODR[2], ; +; ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|ODR[1], ; +; ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|ODR[0] ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|MR2[0] ; Stuck at GND ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|BUS_FREE, ; +; ; due to stuck port data_in ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|DATA_EN, ; +; ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|DELAY_800ns, ; +; ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|\DELAY_800:TMP[0], ; +; ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|\DELAY_800:TMP[3], ; +; ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|\DELAY_800:TMP[2], ; +; ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|\DELAY_800:TMP[1] ; +; interrupt_handler:nobody|INT_LATCH[22] ; Stuck at GND ; interrupt_handler:nobody|INT_CLEAR[22], interrupt_handler:nobody|WERTE[6][10], ; +; ; due to stuck port clock ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|dffpipe_pe9:ws_bwp|dffe16a[10], ; +; ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|dffpipe_gd9:ws_brp|dffe15a[8] ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|MR2[5] ; Stuck at GND ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|SPER, ; +; ; due to stuck port data_in ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|IDR[7], ; +; ; ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|IDR[6] ; +; interrupt_handler:nobody|INT_LATCH[19] ; Stuck at GND ; interrupt_handler:nobody|INT_CLEAR[19], ; +; ; due to stuck port clock ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|TCR[3] ; +; Video:Fredi_Aschwanden|lpm_ff3:inst47|lpm_ff:lpm_ff_component|dffs[8] ; Stuck at GND ; Video:Fredi_Aschwanden|lpm_ff3:inst46|lpm_ff:lpm_ff_component|dffs[8], ; +; ; due to stuck port data_in ; Video:Fredi_Aschwanden|lpm_mux6:inst7|lpm_mux:lpm_mux_component|mux_kpe:auto_generated|dffe18 ; +; interrupt_handler:nobody|INT_LATCH[27] ; Stuck at GND ; interrupt_handler:nobody|INT_CLEAR[27] ; +; ; due to stuck port clock ; ; +; interrupt_handler:nobody|INT_LATCH[26] ; Stuck at GND ; interrupt_handler:nobody|INT_CLEAR[26] ; +; ; due to stuck port clock ; ; +; interrupt_handler:nobody|INT_LATCH[31] ; Stuck at GND ; interrupt_handler:nobody|INT_CLEAR[31] ; +; ; due to stuck port clock ; ; +; interrupt_handler:nobody|INT_LATCH[24] ; Stuck at GND ; interrupt_handler:nobody|INT_CLEAR[24] ; +; ; due to stuck port clock ; ; +; interrupt_handler:nobody|INT_LATCH[23] ; Stuck at GND ; interrupt_handler:nobody|INT_CLEAR[23] ; +; ; due to stuck port clock ; ; +; interrupt_handler:nobody|INT_LATCH[21] ; Stuck at GND ; interrupt_handler:nobody|INT_CLEAR[21] ; +; ; due to stuck port clock ; ; +; interrupt_handler:nobody|INT_LATCH[20] ; Stuck at GND ; interrupt_handler:nobody|INT_CLEAR[20] ; +; ; due to stuck port clock ; ; +; interrupt_handler:nobody|INT_LATCH[25] ; Stuck at GND ; interrupt_handler:nobody|INT_CLEAR[25] ; +; ; due to stuck port clock ; ; +; interrupt_handler:nobody|INT_LATCH[18] ; Stuck at GND ; interrupt_handler:nobody|INT_CLEAR[18] ; +; ; due to stuck port clock ; ; +; interrupt_handler:nobody|INT_LATCH[17] ; Stuck at GND ; interrupt_handler:nobody|INT_CLEAR[17] ; +; ; due to stuck port clock ; ; +; interrupt_handler:nobody|INT_LATCH[16] ; Stuck at GND ; interrupt_handler:nobody|INT_CLEAR[16] ; +; ; due to stuck port clock ; ; +; interrupt_handler:nobody|INT_LATCH[15] ; Stuck at GND ; interrupt_handler:nobody|INT_CLEAR[15] ; +; ; due to stuck port clock ; ; +; interrupt_handler:nobody|INT_LATCH[14] ; Stuck at GND ; interrupt_handler:nobody|INT_CLEAR[14] ; +; ; due to stuck port clock ; ; +; interrupt_handler:nobody|INT_LATCH[13] ; Stuck at GND ; interrupt_handler:nobody|INT_CLEAR[13] ; +; ; due to stuck port clock ; ; +; interrupt_handler:nobody|INT_LATCH[12] ; Stuck at GND ; interrupt_handler:nobody|INT_CLEAR[12] ; +; ; due to stuck port clock ; ; +; interrupt_handler:nobody|INT_LATCH[11] ; Stuck at GND ; interrupt_handler:nobody|INT_CLEAR[11] ; +; ; due to stuck port clock ; ; +; interrupt_handler:nobody|INT_LATCH[10] ; Stuck at GND ; interrupt_handler:nobody|INT_CLEAR[10] ; +; ; due to stuck port clock ; ; +; interrupt_handler:nobody|INT_LATCH[7] ; Stuck at GND ; interrupt_handler:nobody|INT_CLEAR[7] ; +; ; due to stuck port clock ; ; +; Video:Fredi_Aschwanden|lpm_ff3:inst47|lpm_ff:lpm_ff_component|dffs[17] ; Stuck at GND ; Video:Fredi_Aschwanden|lpm_ff3:inst46|lpm_ff:lpm_ff_component|dffs[17] ; +; ; due to stuck port data_in ; ; +; Video:Fredi_Aschwanden|lpm_ff3:inst47|lpm_ff:lpm_ff_component|dffs[16] ; Stuck at GND ; Video:Fredi_Aschwanden|lpm_ff3:inst46|lpm_ff:lpm_ff_component|dffs[16] ; +; ; due to stuck port data_in ; ; +; Video:Fredi_Aschwanden|lpm_ff3:inst47|lpm_ff:lpm_ff_component|dffs[9] ; Stuck at GND ; Video:Fredi_Aschwanden|lpm_ff3:inst46|lpm_ff:lpm_ff_component|dffs[9] ; +; ; due to stuck port data_in ; ; +; Video:Fredi_Aschwanden|lpm_ff3:inst47|lpm_ff:lpm_ff_component|dffs[1] ; Stuck at GND ; Video:Fredi_Aschwanden|lpm_ff3:inst46|lpm_ff:lpm_ff_component|dffs[1] ; +; ; due to stuck port data_in ; ; +; Video:Fredi_Aschwanden|lpm_ff3:inst47|lpm_ff:lpm_ff_component|dffs[0] ; Stuck at GND ; Video:Fredi_Aschwanden|lpm_ff3:inst46|lpm_ff:lpm_ff_component|dffs[0] ; +; ; due to stuck port data_in ; ; +; Video:Fredi_Aschwanden|lpm_ff3:inst52|lpm_ff:lpm_ff_component|dffs[20] ; Stuck at GND ; Video:Fredi_Aschwanden|lpm_ff3:inst49|lpm_ff:lpm_ff_component|dffs[20] ; +; ; due to stuck port data_in ; ; +; Video:Fredi_Aschwanden|lpm_ff3:inst52|lpm_ff:lpm_ff_component|dffs[19] ; Stuck at GND ; Video:Fredi_Aschwanden|lpm_ff3:inst49|lpm_ff:lpm_ff_component|dffs[19] ; +; ; due to stuck port data_in ; ; +; Video:Fredi_Aschwanden|lpm_ff3:inst52|lpm_ff:lpm_ff_component|dffs[18] ; Stuck at GND ; Video:Fredi_Aschwanden|lpm_ff3:inst49|lpm_ff:lpm_ff_component|dffs[18] ; +; ; due to stuck port data_in ; ; +; Video:Fredi_Aschwanden|lpm_ff3:inst52|lpm_ff:lpm_ff_component|dffs[17] ; Stuck at GND ; Video:Fredi_Aschwanden|lpm_ff3:inst49|lpm_ff:lpm_ff_component|dffs[17] ; +; ; due to stuck port data_in ; ; +; Video:Fredi_Aschwanden|lpm_ff3:inst52|lpm_ff:lpm_ff_component|dffs[16] ; Stuck at GND ; Video:Fredi_Aschwanden|lpm_ff3:inst49|lpm_ff:lpm_ff_component|dffs[16] ; +; ; due to stuck port data_in ; ; +; Video:Fredi_Aschwanden|lpm_ff3:inst52|lpm_ff:lpm_ff_component|dffs[12] ; Stuck at GND ; Video:Fredi_Aschwanden|lpm_ff3:inst49|lpm_ff:lpm_ff_component|dffs[12] ; +; ; due to stuck port data_in ; ; +; Video:Fredi_Aschwanden|lpm_ff3:inst52|lpm_ff:lpm_ff_component|dffs[11] ; Stuck at GND ; Video:Fredi_Aschwanden|lpm_ff3:inst49|lpm_ff:lpm_ff_component|dffs[11] ; +; ; due to stuck port data_in ; ; +; Video:Fredi_Aschwanden|lpm_ff3:inst52|lpm_ff:lpm_ff_component|dffs[10] ; Stuck at GND ; Video:Fredi_Aschwanden|lpm_ff3:inst49|lpm_ff:lpm_ff_component|dffs[10] ; +; ; due to stuck port data_in ; ; +; Video:Fredi_Aschwanden|lpm_ff3:inst52|lpm_ff:lpm_ff_component|dffs[9] ; Stuck at GND ; Video:Fredi_Aschwanden|lpm_ff3:inst49|lpm_ff:lpm_ff_component|dffs[9] ; +; ; due to stuck port data_in ; ; +; Video:Fredi_Aschwanden|lpm_ff3:inst52|lpm_ff:lpm_ff_component|dffs[8] ; Stuck at GND ; Video:Fredi_Aschwanden|lpm_ff3:inst49|lpm_ff:lpm_ff_component|dffs[8] ; +; ; due to stuck port data_in ; ; +; Video:Fredi_Aschwanden|lpm_ff3:inst52|lpm_ff:lpm_ff_component|dffs[4] ; Stuck at GND ; Video:Fredi_Aschwanden|lpm_ff3:inst49|lpm_ff:lpm_ff_component|dffs[4] ; +; ; due to stuck port data_in ; ; +; Video:Fredi_Aschwanden|lpm_ff3:inst52|lpm_ff:lpm_ff_component|dffs[3] ; Stuck at GND ; Video:Fredi_Aschwanden|lpm_ff3:inst49|lpm_ff:lpm_ff_component|dffs[3] ; +; ; due to stuck port data_in ; ; +; Video:Fredi_Aschwanden|lpm_ff3:inst52|lpm_ff:lpm_ff_component|dffs[2] ; Stuck at GND ; Video:Fredi_Aschwanden|lpm_ff3:inst49|lpm_ff:lpm_ff_component|dffs[2] ; +; ; due to stuck port data_in ; ; +; interrupt_handler:nobody|INT_LATCH[30] ; Stuck at GND ; interrupt_handler:nobody|INT_CLEAR[30] ; +; ; due to stuck port clock ; ; +; Video:Fredi_Aschwanden|lpm_ff3:inst52|lpm_ff:lpm_ff_component|dffs[0] ; Stuck at GND ; Video:Fredi_Aschwanden|lpm_ff3:inst49|lpm_ff:lpm_ff_component|dffs[0] ; +; ; due to stuck port data_in ; ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|DCD_In ; Stuck at GND ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|DCD_FLAGn ; +; ; due to stuck port data_in ; ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTS_In ; Stuck at GND ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|\P_IRQ:DCD_TRANS ; +; ; due to stuck port data_in ; ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|DCD_In ; Stuck at GND ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|DCD_FLAGn ; +; ; due to stuck port data_in ; ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTS_In ; Stuck at GND ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|\P_IRQ:DCD_TRANS ; +; ; due to stuck port data_in ; ; +; Video:Fredi_Aschwanden|lpm_ff3:inst52|lpm_ff:lpm_ff_component|dffs[1] ; Stuck at GND ; Video:Fredi_Aschwanden|lpm_ff3:inst49|lpm_ff:lpm_ff_component|dffs[1] ; +; ; due to stuck port data_in ; ; +; interrupt_handler:nobody|INT_LATCH[29] ; Stuck at GND ; interrupt_handler:nobody|INT_CLEAR[29] ; +; ; due to stuck port clock ; ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|MR2[3] ; Stuck at GND ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|INT ; +; ; due to stuck port data_in ; ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|MR2[2] ; Stuck at GND ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|ICR[0] ; +; ; due to stuck port data_in ; ; +; interrupt_handler:nobody|INT_LATCH[28] ; Stuck at GND ; interrupt_handler:nobody|INT_CLEAR[28] ; +; ; due to stuck port clock ; ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|TCR[2] ; Stuck at GND ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|DMA_ACTIVE_I ; +; ; due to stuck port data_in ; ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|CTRL_STATE.IDLE ; Lost Fanouts ; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|CTRL_STATE.WAIT_2200ns ; ++-----------------------------------------------------------------------------------------------------------------------------------------------+---------------------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ + + ++------------------------------------------------------+ +; General Register Statistics ; ++----------------------------------------------+-------+ +; Statistic ; Value ; ++----------------------------------------------+-------+ +; Total registers ; 4622 ; +; Number of registers using Synchronous Clear ; 168 ; +; Number of registers using Synchronous Load ; 234 ; +; Number of registers using Asynchronous Clear ; 1441 ; +; Number of registers using Asynchronous Load ; 0 ; +; Number of registers using Clock Enable ; 2814 ; +; Number of registers using Preset ; 0 ; ++----------------------------------------------+-------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Inverted Register Statistics ; ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+---------+ +; Inverted Register ; Fan out ; ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+---------+ +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL|PER_CNT[7] ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|TDRE ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|TDRE ; 7 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|WR_CNT[3] ; 5 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|WR_CNT[2] ; 6 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|WR_CNT[1] ; 6 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|WR_CNT[0] ; 6 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|IRQ_ACIAn ; 2 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|rdemp_eq_comp_lsb_aeb ; 1 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|rdemp_eq_comp_msb_aeb ; 1 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_graycounter_k47:rdptr_g1p|counter5a0 ; 8 ; +; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_ojc:wrptr_g1p|counter8a0 ; 6 ; +; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|reset_state ; 2 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|rdemp_eq_comp_lsb_aeb ; 1 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|rdemp_eq_comp_msb_aeb ; 1 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_graycounter_k47:rdptr_g1p|parity6 ; 4 ; +; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_ojc:wrptr_g1p|parity9 ; 3 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_graycounter_gic:wrptr_g1p|counter8a0 ; 8 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_graycounter_gic:wrptr_g1p|parity9 ; 4 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_graycounter_j47:rdptr_g1p|sub_parity6a0 ; 1 ; +; FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_graycounter_fic:wrptr_g1p|sub_parity9a0 ; 1 ; +; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_s57:rdptr_g1p|counter5a0 ; 7 ; +; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_s57:rdptr_g1p|parity6 ; 3 ; +; Total number of inverted registers = 23 ; ; ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+---------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Multiplexer Restructuring Statistics (Restructuring Performed) ; ++--------------------+-----------+---------------+----------------------+------------------------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------------+ +; Multiplexer Inputs ; Bus Width ; Baseline Area ; Area if Restructured ; Saving if Restructured ; Registered ; Example Multiplexer Output ; ++--------------------+-----------+---------------+----------------------+------------------------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------------+ +; 3:1 ; 12 bits ; 24 LEs ; 24 LEs ; 0 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|\MUSICGENERATOR:CNT_CH_A[6] ; ; +; 3:1 ; 4 bits ; 8 LEs ; 4 LEs ; 4 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|\NOISEGENERATOR:CLK_DIV[2] ; ; +; 3:1 ; 12 bits ; 24 LEs ; 24 LEs ; 0 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|\MUSICGENERATOR:CNT_CH_B[3] ; ; +; 3:1 ; 12 bits ; 24 LEs ; 24 LEs ; 0 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|\MUSICGENERATOR:CNT_CH_C[0] ; ; +; 3:1 ; 8 bits ; 16 LEs ; 8 LEs ; 8 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|SHIFT_REG[0] ; ; +; 3:1 ; 8 bits ; 16 LEs ; 8 LEs ; 8 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG[0] ; ; +; 3:1 ; 2 bits ; 4 LEs ; 0 LEs ; 4 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\P_SAMPLE:FLT_TMP[0] ; ; +; 3:1 ; 8 bits ; 16 LEs ; 8 LEs ; 8 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|SHIFT_REG[0] ; ; +; 3:1 ; 8 bits ; 16 LEs ; 8 LEs ; 8 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS|CTRL_REG[4] ; ; +; 3:1 ; 2 bits ; 4 LEs ; 0 LEs ; 4 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\P_SAMPLE:FLT_TMP[1] ; ; +; 3:1 ; 8 bits ; 16 LEs ; 8 LEs ; 8 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|SHIFT_REG[4] ; ; +; 3:1 ; 8 bits ; 16 LEs ; 0 LEs ; 16 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|COMMAND_REG[5] ; ; +; 3:1 ; 16 bits ; 32 LEs ; 16 LEs ; 16 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_AM_DETECTOR:I_AM_DETECTOR|SHIFT[7] ; ; +; 3:1 ; 5 bits ; 10 LEs ; 5 LEs ; 5 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_AM_DETECTOR:I_AM_DETECTOR|\MFM_SYNCLOCK:TMP[2] ; ; +; 3:1 ; 4 bits ; 8 LEs ; 4 LEs ; 4 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|\PRESCALE_D:PRESCALE[7] ; ; +; 3:1 ; 4 bits ; 8 LEs ; 4 LEs ; 4 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|\PRESCALE_C:PRESCALE[7] ; ; +; 3:1 ; 4 bits ; 8 LEs ; 4 LEs ; 4 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|\PRESCALE_B:PRESCALE[6] ; ; +; 3:1 ; 4 bits ; 8 LEs ; 4 LEs ; 4 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|\PRESCALE_A:PRESCALE[0] ; ; +; 3:1 ; 2 bits ; 4 LEs ; 0 LEs ; 4 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UCR[3] ; ; +; 3:1 ; 28 bits ; 56 LEs ; 28 LEs ; 28 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|\INDEX_COUNTER:TIMEOUT[10] ; ; +; 3:1 ; 4 bits ; 8 LEs ; 4 LEs ; 4 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|\INDEX_COUNTER:CNT[2] ; ; +; 4:1 ; 4 bits ; 8 LEs ; 4 LEs ; 4 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|SHIFT_REG[7] ; ; +; 4:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|SHIFT_REG[2] ; ; +; 4:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|SECTOR_REG[3] ; ; +; 4:1 ; 7 bits ; 14 LEs ; 14 LEs ; 0 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|SHIFT_REG[2] ; ; +; 3:1 ; 3 bits ; 6 LEs ; 3 LEs ; 3 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|BITCNT[2] ; ; +; 3:1 ; 3 bits ; 6 LEs ; 3 LEs ; 3 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT|BITCNT[0] ; ; +; 4:1 ; 7 bits ; 14 LEs ; 14 LEs ; 0 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|SHIFT_REG[1] ; ; +; 3:1 ; 3 bits ; 6 LEs ; 3 LEs ; 3 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|BITCNT[2] ; ; +; 4:1 ; 5 bits ; 10 LEs ; 5 LEs ; 5 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT|\CLKDIV:CLK_DIVCNT[4] ; ; +; 4:1 ; 2 bits ; 4 LEs ; 2 LEs ; 2 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|\P_SAMPLE:LOW_FLT[0] ; ; +; 4:1 ; 2 bits ; 4 LEs ; 4 LEs ; 0 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|\P_SAMPLE:HI_FLT[0] ; ; +; 4:1 ; 5 bits ; 10 LEs ; 10 LEs ; 0 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|\CLKDIV:CLK_DIVCNT[4] ; ; +; 3:1 ; 3 bits ; 6 LEs ; 3 LEs ; 3 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|BITCNT[0] ; ; +; 3:1 ; 3 bits ; 6 LEs ; 3 LEs ; 3 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|BITCNT[0] ; ; +; 4:1 ; 8 bits ; 16 LEs ; 8 LEs ; 8 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|\RESTORE_TRAP:STEP_CNT[4] ; ; +; 4:1 ; 7 bits ; 14 LEs ; 7 LEs ; 7 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG[6] ; ; +; 4:1 ; 7 bits ; 14 LEs ; 7 LEs ; 7 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|DATA_REG[0] ; ; +; 4:1 ; 7 bits ; 14 LEs ; 14 LEs ; 0 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|DATA_REG[6] ; ; +; 4:1 ; 7 bits ; 14 LEs ; 14 LEs ; 0 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|DATA_REG[1] ; ; +; 4:1 ; 5 bits ; 10 LEs ; 10 LEs ; 0 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|AMKB_REG[0] ; ; +; 4:1 ; 3 bits ; 6 LEs ; 3 LEs ; 3 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|BITCNT[1] ; ; +; 3:1 ; 4 bits ; 8 LEs ; 4 LEs ; 4 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|SECT_LEN[10] ; ; +; 5:1 ; 21 bits ; 63 LEs ; 42 LEs ; 21 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|\CLK_MASK:MASK_SHFT[15] ; ; +; 5:1 ; 2 bits ; 6 LEs ; 4 LEs ; 2 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|\CLK_MASK:MASK_SHFT[19] ; ; +; 5:1 ; 5 bits ; 15 LEs ; 10 LEs ; 5 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT|SHIFT_REG[4] ; ; +; 5:1 ; 3 bits ; 9 LEs ; 3 LEs ; 6 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|\P_START_BIT:TMP[2] ; ; +; 4:1 ; 31 bits ; 62 LEs ; 62 LEs ; 0 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|AM_SHFT[28] ; ; +; 5:1 ; 3 bits ; 9 LEs ; 6 LEs ; 3 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|\P_SAMPLE:TIMER[1] ; ; +; 6:1 ; 5 bits ; 20 LEs ; 10 LEs ; 10 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|\NOISEGENERATOR:CNT_NOISE[1] ; ; +; 5:1 ; 8 bits ; 24 LEs ; 16 LEs ; 8 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|DATA_REG[3] ; ; +; 10:1 ; 4 bits ; 24 LEs ; 24 LEs ; 0 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|\PRESCALE_A:PRESCALE[1] ; ; +; 10:1 ; 4 bits ; 24 LEs ; 24 LEs ; 0 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|\PRESCALE_D:PRESCALE[2] ; ; +; 10:1 ; 4 bits ; 24 LEs ; 24 LEs ; 0 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|\PRESCALE_B:PRESCALE[2] ; ; +; 10:1 ; 4 bits ; 24 LEs ; 24 LEs ; 0 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|\PRESCALE_C:PRESCALE[5] ; ; +; 5:1 ; 8 bits ; 24 LEs ; 16 LEs ; 8 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_D[6] ; ; +; 5:1 ; 8 bits ; 24 LEs ; 16 LEs ; 8 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_C[6] ; ; +; 7:1 ; 7 bits ; 28 LEs ; 14 LEs ; 14 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_TRANSMIT:I_UART_TRANSMIT|\CLKDIV:CLK_DIVCNT[4] ; ; +; 7:1 ; 7 bits ; 28 LEs ; 21 LEs ; 7 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[6] ; ; +; 7:1 ; 7 bits ; 28 LEs ; 14 LEs ; 14 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|\CLKDIV:CLK_DIVCNT[4] ; ; +; 7:1 ; 7 bits ; 28 LEs ; 21 LEs ; 7 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|\CLKDIV:CLK_DIVCNT[2] ; ; +; 6:1 ; 8 bits ; 32 LEs ; 16 LEs ; 16 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS|TRACK_REG[6] ; ; +; 7:1 ; 2 bits ; 8 LEs ; 4 LEs ; 4 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|nIDE_RD~reg0 ; ; +; 7:1 ; 13 bits ; 52 LEs ; 52 LEs ; 0 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CRC_LOGIC:I_CRC_LOGIC|CRC_SHIFT[1] ; ; +; 6:1 ; 20 bits ; 80 LEs ; 20 LEs ; 60 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|\P_DELAY:DELCNT[1] ; ; +; 11:1 ; 2 bits ; 14 LEs ; 12 LEs ; 2 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL|\PHASE_DECODER:PHASE_AMOUNT[1] ; ; +; 8:1 ; 5 bits ; 25 LEs ; 20 LEs ; 5 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL|UDR[3] ; ; +; 9:1 ; 2 bits ; 12 LEs ; 8 LEs ; 4 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CRC_LOGIC:I_CRC_LOGIC|CRC_SHIFT[5] ; ; +; 14:1 ; 5 bits ; 45 LEs ; 15 LEs ; 30 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|VOL_ENV[4] ; ; +; 11:1 ; 8 bits ; 56 LEs ; 16 LEs ; 40 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_B[6] ; ; +; 11:1 ; 8 bits ; 56 LEs ; 16 LEs ; 40 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS|TIMER_A[2] ; ; +; 17:1 ; 4 bits ; 44 LEs ; 40 LEs ; 4 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|VECT_NUMBER[2] ; ; +; 17:1 ; 4 bits ; 44 LEs ; 0 LEs ; 44 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|VECT_NUMBER[6] ; ; +; 3:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_MID[4] ; ; +; 3:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_LOW[1] ; ; +; 3:1 ; 24 bits ; 48 LEs ; 48 LEs ; 0 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[2] ; ; +; 3:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|DMA_BYT_CNT[16] ; ; +; 4:1 ; 4 bits ; 8 LEs ; 4 LEs ; 4 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|WR_CNT[2] ; ; +; 3:1 ; 2 bits ; 4 LEs ; 2 LEs ; 2 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE ; ; +; 3:1 ; 2 bits ; 4 LEs ; 4 LEs ; 0 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE|RCV_NEXT_STATE ; ; +; 3:1 ; 5 bits ; 10 LEs ; 10 LEs ; 0 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CMD_STATE ; ; +; 3:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|INDEXCNT ; ; +; 3:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|FB_AD[20] ; ; +; 3:1 ; 6 bits ; 12 LEs ; 12 LEs ; 0 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|DATA_OUT[4] ; ; +; 3:1 ; 6 bits ; 12 LEs ; 12 LEs ; 0 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|DATA_OUT[7] ; ; +; 3:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|CNT ; ; +; 3:1 ; 2 bits ; 4 LEs ; 4 LEs ; 0 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI|WF6850IP_RECEIVE:I_UART_RECEIVE|RCV_NEXT_STATE ; ; +; 3:1 ; 2 bits ; 4 LEs ; 4 LEs ; 0 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE|RCV_NEXT_STATE ; ; +; 3:1 ; 2 bits ; 4 LEs ; 2 LEs ; 2 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL|NEXT_CMD_STATE ; ; +; 3:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL|TMP ; ; +; 3:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|AMPLITUDE_A[2] ; ; +; 3:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|AMPLITUDE_B[4] ; ; +; 3:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE|AMPLITUDE_C[4] ; ; +; 16:1 ; 8 bits ; 80 LEs ; 24 LEs ; 56 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|DATA_OUT[0] ; ; +; 4:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL|ADDER_IN[4] ; ; +; 64:1 ; 3 bits ; 126 LEs ; 126 LEs ; 0 LEs ; |firebee1|interrupt_handler:nobody|_ ; ; +; 17:1 ; 3 bits ; 33 LEs ; 18 LEs ; 15 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|DA_OUT[6] ; ; +; 18:1 ; 4 bits ; 48 LEs ; 44 LEs ; 4 LEs ; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|DA_OUT[2] ; ; ++--------------------+-----------+---------------+----------------------+------------------------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated ; ++---------------------------------------+------------------------+------+-------------------------------------------------------------------------------------------------------+ +; Assignment ; Value ; From ; To ; ++---------------------------------------+------------------------+------+-------------------------------------------------------------------------------------------------------+ +; AUTO_SHIFT_REGISTER_RECOGNITION ; OFF ; - ; - ; +; REMOVE_DUPLICATE_REGISTERS ; OFF ; - ; - ; +; SYNCHRONIZER_IDENTIFICATION ; OFF ; - ; - ; +; SYNCHRONIZATION_REGISTER_CHAIN_LENGTH ; 3 ; - ; - ; +; SYNCHRONIZER_IDENTIFICATION ; FORCED_IF_ASYNCHRONOUS ; - ; rdemp_eq_comp_lsb_aeb ; +; POWER_UP_LEVEL ; HIGH ; - ; rdemp_eq_comp_lsb_aeb ; +; SYNCHRONIZER_IDENTIFICATION ; FORCED_IF_ASYNCHRONOUS ; - ; rdemp_eq_comp_msb_aeb ; +; POWER_UP_LEVEL ; HIGH ; - ; rdemp_eq_comp_msb_aeb ; +; SYNCHRONIZER_IDENTIFICATION ; FORCED_IF_ASYNCHRONOUS ; - ; rs_dgwp_reg ; +; SYNCHRONIZER_IDENTIFICATION ; FORCED_IF_ASYNCHRONOUS ; - ; wrfull_eq_comp_lsb_mux_reg ; +; SYNCHRONIZER_IDENTIFICATION ; FORCED_IF_ASYNCHRONOUS ; - ; wrfull_eq_comp_msb_mux_reg ; +; SYNCHRONIZER_IDENTIFICATION ; FORCED_IF_ASYNCHRONOUS ; - ; ws_dgrp_reg ; ++---------------------------------------+------------------------+------+-------------------------------------------------------------------------------------------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_graycounter_k47:rdptr_g1p ; ++----------------+-------+------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Assignment ; Value ; From ; To ; ++----------------+-------+------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; POWER_UP_LEVEL ; HIGH ; - ; counter5a0 ; +; POWER_UP_LEVEL ; HIGH ; - ; parity6 ; ++----------------+-------+------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_graycounter_fic:wrptr_g1p ; ++----------------+-------+------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Assignment ; Value ; From ; To ; ++----------------+-------+------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; POWER_UP_LEVEL ; HIGH ; - ; sub_parity9a0 ; +; POWER_UP_LEVEL ; LOW ; - ; parity8 ; ++----------------+-------+------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ + + ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|altsyncram_bi31:fifo_ram ; ++---------------------------------+--------------------+------+------------------------------------------------------------------------------------------------------------------------------------------+ +; Assignment ; Value ; From ; To ; ++---------------------------------+--------------------+------+------------------------------------------------------------------------------------------------------------------------------------------+ +; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; - ; - ; ++---------------------------------+--------------------+------+------------------------------------------------------------------------------------------------------------------------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|alt_synch_pipe_ikd:rs_dgwp ; ++-----------------------------+------------------------+------+--------------------------------------------------------------------------------------------------------------------------------------------+ +; Assignment ; Value ; From ; To ; ++-----------------------------+------------------------+------+--------------------------------------------------------------------------------------------------------------------------------------------+ +; X_ON_VIOLATION_OPTION ; OFF ; - ; - ; +; SYNCHRONIZER_IDENTIFICATION ; FORCED_IF_ASYNCHRONOUS ; - ; - ; ++-----------------------------+------------------------+------+--------------------------------------------------------------------------------------------------------------------------------------------+ + + ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|alt_synch_pipe_ikd:rs_dgwp|dffpipe_hd9:dffpipe12 ; ++---------------------------------+-------+------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Assignment ; Value ; From ; To ; ++---------------------------------+-------+------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; AUTO_SHIFT_REGISTER_RECOGNITION ; OFF ; - ; - ; ++---------------------------------+-------+------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ + + ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|dffpipe_gd9:ws_brp ; ++---------------------------------+-------+------+-------------------------------------------------------------------------------------------------------------------------------------------------+ +; Assignment ; Value ; From ; To ; ++---------------------------------+-------+------+-------------------------------------------------------------------------------------------------------------------------------------------------+ +; AUTO_SHIFT_REGISTER_RECOGNITION ; OFF ; - ; - ; ++---------------------------------+-------+------+-------------------------------------------------------------------------------------------------------------------------------------------------+ + + ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|dffpipe_pe9:ws_bwp ; ++---------------------------------+-------+------+-------------------------------------------------------------------------------------------------------------------------------------------------+ +; Assignment ; Value ; From ; To ; ++---------------------------------+-------+------+-------------------------------------------------------------------------------------------------------------------------------------------------+ +; AUTO_SHIFT_REGISTER_RECOGNITION ; OFF ; - ; - ; ++---------------------------------+-------+------+-------------------------------------------------------------------------------------------------------------------------------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|alt_synch_pipe_jkd:ws_dgrp ; ++-----------------------------+------------------------+------+--------------------------------------------------------------------------------------------------------------------------------------------+ +; Assignment ; Value ; From ; To ; ++-----------------------------+------------------------+------+--------------------------------------------------------------------------------------------------------------------------------------------+ +; X_ON_VIOLATION_OPTION ; OFF ; - ; - ; +; SYNCHRONIZER_IDENTIFICATION ; FORCED_IF_ASYNCHRONOUS ; - ; - ; ++-----------------------------+------------------------+------+--------------------------------------------------------------------------------------------------------------------------------------------+ + + ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|alt_synch_pipe_jkd:ws_dgrp|dffpipe_id9:dffpipe17 ; ++---------------------------------+-------+------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Assignment ; Value ; From ; To ; ++---------------------------------+-------+------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; AUTO_SHIFT_REGISTER_RECOGNITION ; OFF ; - ; - ; ++---------------------------------+-------+------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated ; ++---------------------------------------+------------------------+------+-------------------------------------------------------------------------------------------------------+ +; Assignment ; Value ; From ; To ; ++---------------------------------------+------------------------+------+-------------------------------------------------------------------------------------------------------+ +; AUTO_SHIFT_REGISTER_RECOGNITION ; OFF ; - ; - ; +; REMOVE_DUPLICATE_REGISTERS ; OFF ; - ; - ; +; SYNCHRONIZER_IDENTIFICATION ; OFF ; - ; - ; +; SYNCHRONIZATION_REGISTER_CHAIN_LENGTH ; 3 ; - ; - ; +; SYNCHRONIZER_IDENTIFICATION ; FORCED_IF_ASYNCHRONOUS ; - ; rdemp_eq_comp_lsb_aeb ; +; POWER_UP_LEVEL ; HIGH ; - ; rdemp_eq_comp_lsb_aeb ; +; SYNCHRONIZER_IDENTIFICATION ; FORCED_IF_ASYNCHRONOUS ; - ; rdemp_eq_comp_msb_aeb ; +; POWER_UP_LEVEL ; HIGH ; - ; rdemp_eq_comp_msb_aeb ; +; SYNCHRONIZER_IDENTIFICATION ; FORCED_IF_ASYNCHRONOUS ; - ; rs_dgwp_reg ; +; SYNCHRONIZER_IDENTIFICATION ; FORCED_IF_ASYNCHRONOUS ; - ; wrfull_eq_comp_lsb_mux_reg ; +; SYNCHRONIZER_IDENTIFICATION ; FORCED_IF_ASYNCHRONOUS ; - ; wrfull_eq_comp_msb_mux_reg ; +; SYNCHRONIZER_IDENTIFICATION ; FORCED_IF_ASYNCHRONOUS ; - ; ws_dgrp_reg ; ++---------------------------------------+------------------------+------+-------------------------------------------------------------------------------------------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_graycounter_j47:rdptr_g1p ; ++----------------+-------+------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Assignment ; Value ; From ; To ; ++----------------+-------+------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; POWER_UP_LEVEL ; HIGH ; - ; sub_parity6a0 ; +; POWER_UP_LEVEL ; LOW ; - ; parity5 ; ++----------------+-------+------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_graycounter_gic:wrptr_g1p ; ++----------------+-------+------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Assignment ; Value ; From ; To ; ++----------------+-------+------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; POWER_UP_LEVEL ; HIGH ; - ; counter8a0 ; +; POWER_UP_LEVEL ; HIGH ; - ; parity9 ; ++----------------+-------+------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ + + ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram ; ++---------------------------------+--------------------+------+------------------------------------------------------------------------------------------------------------------------------------------+ +; Assignment ; Value ; From ; To ; ++---------------------------------+--------------------+------+------------------------------------------------------------------------------------------------------------------------------------------+ +; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; - ; - ; ++---------------------------------+--------------------+------+------------------------------------------------------------------------------------------------------------------------------------------+ + + ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|dffpipe_pe9:rs_brp ; ++---------------------------------+-------+------+-------------------------------------------------------------------------------------------------------------------------------------------------+ +; Assignment ; Value ; From ; To ; ++---------------------------------+-------+------+-------------------------------------------------------------------------------------------------------------------------------------------------+ +; AUTO_SHIFT_REGISTER_RECOGNITION ; OFF ; - ; - ; ++---------------------------------+-------+------+-------------------------------------------------------------------------------------------------------------------------------------------------+ + + ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|dffpipe_gd9:rs_bwp ; ++---------------------------------+-------+------+-------------------------------------------------------------------------------------------------------------------------------------------------+ +; Assignment ; Value ; From ; To ; ++---------------------------------+-------+------+-------------------------------------------------------------------------------------------------------------------------------------------------+ +; AUTO_SHIFT_REGISTER_RECOGNITION ; OFF ; - ; - ; ++---------------------------------+-------+------+-------------------------------------------------------------------------------------------------------------------------------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|alt_synch_pipe_kkd:rs_dgwp ; ++-----------------------------+------------------------+------+--------------------------------------------------------------------------------------------------------------------------------------------+ +; Assignment ; Value ; From ; To ; ++-----------------------------+------------------------+------+--------------------------------------------------------------------------------------------------------------------------------------------+ +; X_ON_VIOLATION_OPTION ; OFF ; - ; - ; +; SYNCHRONIZER_IDENTIFICATION ; FORCED_IF_ASYNCHRONOUS ; - ; - ; ++-----------------------------+------------------------+------+--------------------------------------------------------------------------------------------------------------------------------------------+ + + ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|alt_synch_pipe_kkd:rs_dgwp|dffpipe_jd9:dffpipe12 ; ++---------------------------------+-------+------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Assignment ; Value ; From ; To ; ++---------------------------------+-------+------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; AUTO_SHIFT_REGISTER_RECOGNITION ; OFF ; - ; - ; ++---------------------------------+-------+------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|alt_synch_pipe_lkd:ws_dgrp ; ++-----------------------------+------------------------+------+--------------------------------------------------------------------------------------------------------------------------------------------+ +; Assignment ; Value ; From ; To ; ++-----------------------------+------------------------+------+--------------------------------------------------------------------------------------------------------------------------------------------+ +; X_ON_VIOLATION_OPTION ; OFF ; - ; - ; +; SYNCHRONIZER_IDENTIFICATION ; FORCED_IF_ASYNCHRONOUS ; - ; - ; ++-----------------------------+------------------------+------+--------------------------------------------------------------------------------------------------------------------------------------------+ + + ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Source assignments for FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|alt_synch_pipe_lkd:ws_dgrp|dffpipe_kd9:dffpipe15 ; ++---------------------------------+-------+------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Assignment ; Value ; From ; To ; ++---------------------------------+-------+------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; AUTO_SHIFT_REGISTER_RECOGNITION ; OFF ; - ; - ; ++---------------------------------+-------+------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ + + ++-----------------------------------------------------------------------------------------+ +; Source assignments for Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component ; ++---------------------------------+-------+------+----------------------------------------+ +; Assignment ; Value ; From ; To ; ++---------------------------------+-------+------+----------------------------------------+ +; AUTO_SHIFT_REGISTER_RECOGNITION ; OFF ; - ; - ; ++---------------------------------+-------+------+----------------------------------------+ + + ++--------------------------------------------------------------------------------------------------------------------+ +; Source assignments for Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated ; ++---------------------------------------+------------------------+------+--------------------------------------------+ +; Assignment ; Value ; From ; To ; ++---------------------------------------+------------------------+------+--------------------------------------------+ +; AUTO_SHIFT_REGISTER_RECOGNITION ; OFF ; - ; - ; +; REMOVE_DUPLICATE_REGISTERS ; OFF ; - ; - ; +; SYNCHRONIZER_IDENTIFICATION ; OFF ; - ; - ; +; SYNCHRONIZATION_REGISTER_CHAIN_LENGTH ; 4 ; - ; - ; +; SYNCHRONIZER_IDENTIFICATION ; FORCED_IF_ASYNCHRONOUS ; - ; rdemp_eq_comp_lsb_aeb ; +; POWER_UP_LEVEL ; HIGH ; - ; rdemp_eq_comp_lsb_aeb ; +; SYNCHRONIZER_IDENTIFICATION ; FORCED_IF_ASYNCHRONOUS ; - ; rdemp_eq_comp_msb_aeb ; +; POWER_UP_LEVEL ; HIGH ; - ; rdemp_eq_comp_msb_aeb ; +; SYNCHRONIZER_IDENTIFICATION ; FORCED_IF_ASYNCHRONOUS ; - ; rs_dgwp_reg ; +; SYNCHRONIZER_IDENTIFICATION ; FORCED_IF_ASYNCHRONOUS ; - ; wrfull_eq_comp_lsb_mux_reg ; +; SYNCHRONIZER_IDENTIFICATION ; FORCED_IF_ASYNCHRONOUS ; - ; wrfull_eq_comp_msb_mux_reg ; +; POWER_UP_LEVEL ; LOW ; - ; wrptr_g ; +; SYNCHRONIZER_IDENTIFICATION ; FORCED_IF_ASYNCHRONOUS ; - ; ws_dgrp_reg ; ++---------------------------------------+------------------------+------+--------------------------------------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------+ +; Source assignments for Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_s57:rdptr_g1p ; ++----------------+-------+------+----------------------------------------------------------------------------------------------------------------+ +; Assignment ; Value ; From ; To ; ++----------------+-------+------+----------------------------------------------------------------------------------------------------------------+ +; POWER_UP_LEVEL ; HIGH ; - ; counter5a0 ; +; POWER_UP_LEVEL ; HIGH ; - ; parity6 ; ++----------------+-------+------+----------------------------------------------------------------------------------------------------------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------+ +; Source assignments for Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_ojc:wrptr_g1p ; ++----------------+-------+------+----------------------------------------------------------------------------------------------------------------+ +; Assignment ; Value ; From ; To ; ++----------------+-------+------+----------------------------------------------------------------------------------------------------------------+ +; POWER_UP_LEVEL ; HIGH ; - ; counter8a0 ; +; POWER_UP_LEVEL ; HIGH ; - ; parity9 ; ++----------------+-------+------+----------------------------------------------------------------------------------------------------------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------+ +; Source assignments for Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|altsyncram_tl31:fifo_ram ; ++---------------------------------+--------------------+------+-------------------------------------------------------------------------------+ +; Assignment ; Value ; From ; To ; ++---------------------------------+--------------------+------+-------------------------------------------------------------------------------+ +; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; - ; - ; ++---------------------------------+--------------------+------+-------------------------------------------------------------------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------+ +; Source assignments for Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|alt_synch_pipe_rld:rs_dgwp ; ++-----------------------------+------------------------+------+---------------------------------------------------------------------------------+ +; Assignment ; Value ; From ; To ; ++-----------------------------+------------------------+------+---------------------------------------------------------------------------------+ +; X_ON_VIOLATION_OPTION ; OFF ; - ; - ; +; SYNCHRONIZER_IDENTIFICATION ; FORCED_IF_ASYNCHRONOUS ; - ; - ; ++-----------------------------+------------------------+------+---------------------------------------------------------------------------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Source assignments for Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|alt_synch_pipe_rld:rs_dgwp|dffpipe_qe9:dffpipe12 ; ++---------------------------------+-------+------+--------------------------------------------------------------------------------------------------------------------+ +; Assignment ; Value ; From ; To ; ++---------------------------------+-------+------+--------------------------------------------------------------------------------------------------------------------+ +; AUTO_SHIFT_REGISTER_RECOGNITION ; OFF ; - ; - ; ++---------------------------------+-------+------+--------------------------------------------------------------------------------------------------------------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------+ +; Source assignments for Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|dffpipe_3dc:wraclr ; ++---------------------------------+-------+------+--------------------------------------------------------------------------------------+ +; Assignment ; Value ; From ; To ; ++---------------------------------+-------+------+--------------------------------------------------------------------------------------+ +; AUTO_SHIFT_REGISTER_RECOGNITION ; OFF ; - ; - ; ++---------------------------------+-------+------+--------------------------------------------------------------------------------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------+ +; Source assignments for Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|dffpipe_oe9:ws_brp ; ++---------------------------------+-------+------+--------------------------------------------------------------------------------------+ +; Assignment ; Value ; From ; To ; ++---------------------------------+-------+------+--------------------------------------------------------------------------------------+ +; AUTO_SHIFT_REGISTER_RECOGNITION ; OFF ; - ; - ; ++---------------------------------+-------+------+--------------------------------------------------------------------------------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------+ +; Source assignments for Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|dffpipe_oe9:ws_bwp ; ++---------------------------------+-------+------+--------------------------------------------------------------------------------------+ +; Assignment ; Value ; From ; To ; ++---------------------------------+-------+------+--------------------------------------------------------------------------------------+ +; AUTO_SHIFT_REGISTER_RECOGNITION ; OFF ; - ; - ; ++---------------------------------+-------+------+--------------------------------------------------------------------------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------+ +; Source assignments for Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|alt_synch_pipe_sld:ws_dgrp ; ++-----------------------------+------------------------+------+---------------------------------------------------------------------------------+ +; Assignment ; Value ; From ; To ; ++-----------------------------+------------------------+------+---------------------------------------------------------------------------------+ +; X_ON_VIOLATION_OPTION ; OFF ; - ; - ; +; SYNCHRONIZER_IDENTIFICATION ; FORCED_IF_ASYNCHRONOUS ; - ; - ; ++-----------------------------+------------------------+------+---------------------------------------------------------------------------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Source assignments for Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|alt_synch_pipe_sld:ws_dgrp|dffpipe_re9:dffpipe19 ; ++---------------------------------+-------+------+--------------------------------------------------------------------------------------------------------------------+ +; Assignment ; Value ; From ; To ; ++---------------------------------+-------+------+--------------------------------------------------------------------------------------------------------------------+ +; AUTO_SHIFT_REGISTER_RECOGNITION ; OFF ; - ; - ; ++---------------------------------+-------+------+--------------------------------------------------------------------------------------------------------------------+ + + ++----------------------------------------------------------------------------------------------------------+ +; Source assignments for Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component ; ++-------------------------+-------------+------+-----------------------------------------------------------+ +; Assignment ; Value ; From ; To ; ++-------------------------+-------------+------+-----------------------------------------------------------+ +; ADV_NETLIST_OPT_ALLOWED ; NEVER_ALLOW ; - ; - ; +; PRESERVE_REGISTER ; ON ; - ; output_cell_L ; +; DDIO_OUTPUT_REGISTER ; LOW ; - ; output_cell_L ; +; DDIO_OUTPUT_REGISTER ; HIGH ; - ; mux ; +; DDIO_INPUT_REGISTER ; LOW ; - ; input_cell_L ; +; DDIO_INPUT_REGISTER ; HIGH ; - ; input_cell_H ; ++-------------------------+-------------+------+-----------------------------------------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------+ +; Source assignments for Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated ; ++-----------------------------+-------+------+-------------------------------------------------------------------------------------------+ +; Assignment ; Value ; From ; To ; ++-----------------------------+-------+------+-------------------------------------------------------------------------------------------+ +; SYNCHRONIZER_IDENTIFICATION ; OFF ; - ; - ; +; DDIO_INPUT_REGISTER ; HIGH ; - ; input_cell_h ; +; DDIO_INPUT_REGISTER ; LOW ; - ; input_cell_l ; ++-----------------------------+-------+------+-------------------------------------------------------------------------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------+ +; Source assignments for Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_RED|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated ; ++---------------------------------+--------------------+------+--------------------------------------------------------------------------+ +; Assignment ; Value ; From ; To ; ++---------------------------------+--------------------+------+--------------------------------------------------------------------------+ +; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; - ; - ; ++---------------------------------+--------------------+------+--------------------------------------------------------------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Source assignments for Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_hk21:auto_generated|a_dpfifo_oq21:dpfifo|altsyncram_gj81:FIFOram ; ++---------------------------------+--------------------+------+---------------------------------------------------------------------------------------------------+ +; Assignment ; Value ; From ; To ; ++---------------------------------+--------------------+------+---------------------------------------------------------------------------------------------------+ +; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; - ; - ; ++---------------------------------+--------------------+------+---------------------------------------------------------------------------------------------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------+ +; Source assignments for Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_GREEN|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated ; ++---------------------------------+--------------------+------+----------------------------------------------------------------------------+ +; Assignment ; Value ; From ; To ; ++---------------------------------+--------------------+------+----------------------------------------------------------------------------+ +; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; - ; - ; ++---------------------------------+--------------------+------+----------------------------------------------------------------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------+ +; Source assignments for Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated ; ++---------------------------------+--------------------+------+---------------------------------------------------------------------------+ +; Assignment ; Value ; From ; To ; ++---------------------------------+--------------------+------+---------------------------------------------------------------------------+ +; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; - ; - ; ++---------------------------------+--------------------+------+---------------------------------------------------------------------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------+ +; Source assignments for Video:Fredi_Aschwanden|altdpram0:ST_CLUT_RED|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated ; ++---------------------------------+--------------------+------+----------------------------------------------------------------------+ +; Assignment ; Value ; From ; To ; ++---------------------------------+--------------------+------+----------------------------------------------------------------------+ +; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; - ; - ; ++---------------------------------+--------------------+------+----------------------------------------------------------------------+ + + ++--------------------------------------------------------------------------------------------------------------------------------------+ +; Source assignments for Video:Fredi_Aschwanden|altdpram0:ST_CLUT_GREEN|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated ; ++---------------------------------+--------------------+------+------------------------------------------------------------------------+ +; Assignment ; Value ; From ; To ; ++---------------------------------+--------------------+------+------------------------------------------------------------------------+ +; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; - ; - ; ++---------------------------------+--------------------+------+------------------------------------------------------------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------+ +; Source assignments for Video:Fredi_Aschwanden|altdpram0:ST_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated ; ++---------------------------------+--------------------+------+-----------------------------------------------------------------------+ +; Assignment ; Value ; From ; To ; ++---------------------------------+--------------------+------+-----------------------------------------------------------------------+ +; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; - ; - ; ++---------------------------------+--------------------+------+-----------------------------------------------------------------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------+ +; Source assignments for Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated ; ++---------------------------------+--------------------+------+-------------------------------------------------------------------------+ +; Assignment ; Value ; From ; To ; ++---------------------------------+--------------------+------+-------------------------------------------------------------------------+ +; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; - ; - ; ++---------------------------------+--------------------+------+-------------------------------------------------------------------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------+ +; Source assignments for Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM54|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated ; ++---------------------------------+--------------------+------+-------------------------------------------------------------------------+ +; Assignment ; Value ; From ; To ; ++---------------------------------+--------------------+------+-------------------------------------------------------------------------+ +; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; - ; - ; ++---------------------------------+--------------------+------+-------------------------------------------------------------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------+ +; Source assignments for Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated ; ++---------------------------------+--------------------+------+-----------------------------------------------------------------------+ +; Assignment ; Value ; From ; To ; ++---------------------------------+--------------------+------+-----------------------------------------------------------------------+ +; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; - ; - ; ++---------------------------------+--------------------+------+-----------------------------------------------------------------------+ + + ++----------------------------------------------------------------------------------------------------+ +; Source assignments for Video:Fredi_Aschwanden|altddio_out2:inst5|altddio_out:altddio_out_component ; ++-------------------------+-------------+------+-----------------------------------------------------+ +; Assignment ; Value ; From ; To ; ++-------------------------+-------------+------+-----------------------------------------------------+ +; ADV_NETLIST_OPT_ALLOWED ; NEVER_ALLOW ; - ; - ; +; PRESERVE_REGISTER ; ON ; - ; output_cell_L ; +; DDIO_OUTPUT_REGISTER ; LOW ; - ; output_cell_L ; +; DDIO_OUTPUT_REGISTER ; HIGH ; - ; mux ; ++-------------------------+-------------+------+-----------------------------------------------------+ + + ++--------------------------------------------------------------------------------------------------------------------------------+ +; Source assignments for Video:Fredi_Aschwanden|altddio_out2:inst5|altddio_out:altddio_out_component|ddio_out_o2f:auto_generated ; ++-----------------------------+-------+------+-----------------------------------------------------------------------------------+ +; Assignment ; Value ; From ; To ; ++-----------------------------+-------+------+-----------------------------------------------------------------------------------+ +; SYNCHRONIZER_IDENTIFICATION ; OFF ; - ; - ; ++-----------------------------+-------+------+-----------------------------------------------------------------------------------+ + + ++----------------------------------------------------------------------------------------------------+ +; Source assignments for Video:Fredi_Aschwanden|altddio_out0:inst2|altddio_out:altddio_out_component ; ++-------------------------+-------------+------+-----------------------------------------------------+ +; Assignment ; Value ; From ; To ; ++-------------------------+-------------+------+-----------------------------------------------------+ +; ADV_NETLIST_OPT_ALLOWED ; NEVER_ALLOW ; - ; - ; +; PRESERVE_REGISTER ; ON ; - ; output_cell_L ; +; DDIO_OUTPUT_REGISTER ; LOW ; - ; output_cell_L ; +; DDIO_OUTPUT_REGISTER ; HIGH ; - ; mux ; ++-------------------------+-------------+------+-----------------------------------------------------+ + + ++--------------------------------------------------------------------------------------------------------------------------------+ +; Source assignments for Video:Fredi_Aschwanden|altddio_out0:inst2|altddio_out:altddio_out_component|ddio_out_are:auto_generated ; ++-----------------------------+-------+------+-----------------------------------------------------------------------------------+ +; Assignment ; Value ; From ; To ; ++-----------------------------+-------+------+-----------------------------------------------------------------------------------+ +; SYNCHRONIZER_IDENTIFICATION ; OFF ; - ; - ; ++-----------------------------+-------+------+-----------------------------------------------------------------------------------+ + + ++-------------------------------------------------------------------------------------------------------------------+ +; Source assignments for altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component ; ++-------------------------+-------------+------+--------------------------------------------------------------------+ +; Assignment ; Value ; From ; To ; ++-------------------------+-------------+------+--------------------------------------------------------------------+ +; ADV_NETLIST_OPT_ALLOWED ; NEVER_ALLOW ; - ; - ; +; POWER_UP_LEVEL ; LOW ; - ; idle_state ; +; POWER_UP_LEVEL ; LOW ; - ; read_data_nominal_state ; +; POWER_UP_LEVEL ; LOW ; - ; read_data_state ; +; POWER_UP_LEVEL ; LOW ; - ; read_first_nominal_state ; +; POWER_UP_LEVEL ; LOW ; - ; read_first_state ; +; POWER_UP_LEVEL ; LOW ; - ; read_init_nominal_state ; +; POWER_UP_LEVEL ; LOW ; - ; read_init_state ; +; POWER_UP_LEVEL ; LOW ; - ; read_last_nominal_state ; +; POWER_UP_LEVEL ; LOW ; - ; read_last_state ; +; POWER_UP_LEVEL ; LOW ; - ; reconfig_counter_state ; +; POWER_UP_LEVEL ; LOW ; - ; reconfig_init_state ; +; POWER_UP_LEVEL ; LOW ; - ; reconfig_post_state ; +; POWER_UP_LEVEL ; LOW ; - ; reconfig_seq_data_state ; +; POWER_UP_LEVEL ; LOW ; - ; reconfig_seq_ena_state ; +; POWER_UP_LEVEL ; LOW ; - ; reconfig_wait_state ; +; POWER_UP_LEVEL ; HIGH ; - ; reset_state ; +; POWER_UP_LEVEL ; LOW ; - ; write_data_state ; +; POWER_UP_LEVEL ; LOW ; - ; write_init_nominal_state ; +; POWER_UP_LEVEL ; LOW ; - ; write_init_state ; +; POWER_UP_LEVEL ; LOW ; - ; write_nominal_state ; ++-------------------------+-------------+------+--------------------------------------------------------------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Source assignments for altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|altsyncram:altsyncram4|altsyncram_46r:auto_generated ; ++---------------------------------+--------------------+------+----------------------------------------------------------------------------------------------------------+ +; Assignment ; Value ; From ; To ; ++---------------------------------+--------------------+------+----------------------------------------------------------------------------------------------------------+ +; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; - ; - ; ++---------------------------------+--------------------+------+----------------------------------------------------------------------------------------------------------+ + + ++-----------------------------------------------------------------------------+ +; Source assignments for altddio_out3:inst5|altddio_out:altddio_out_component ; ++-------------------------+-------------+------+------------------------------+ +; Assignment ; Value ; From ; To ; ++-------------------------+-------------+------+------------------------------+ +; ADV_NETLIST_OPT_ALLOWED ; NEVER_ALLOW ; - ; - ; +; PRESERVE_REGISTER ; ON ; - ; output_cell_L ; +; DDIO_OUTPUT_REGISTER ; LOW ; - ; output_cell_L ; +; DDIO_OUTPUT_REGISTER ; HIGH ; - ; mux ; ++-------------------------+-------------+------+------------------------------+ + + ++---------------------------------------------------------------------------------------------------------+ +; Source assignments for altddio_out3:inst5|altddio_out:altddio_out_component|ddio_out_31f:auto_generated ; ++-----------------------------+-------+------+------------------------------------------------------------+ +; Assignment ; Value ; From ; To ; ++-----------------------------+-------+------+------------------------------------------------------------+ +; SYNCHRONIZER_IDENTIFICATION ; OFF ; - ; - ; ++-----------------------------+-------+------+------------------------------------------------------------+ + + ++-----------------------------------------------------------------------------+ +; Source assignments for altddio_out3:inst6|altddio_out:altddio_out_component ; ++-------------------------+-------------+------+------------------------------+ +; Assignment ; Value ; From ; To ; ++-------------------------+-------------+------+------------------------------+ +; ADV_NETLIST_OPT_ALLOWED ; NEVER_ALLOW ; - ; - ; +; PRESERVE_REGISTER ; ON ; - ; output_cell_L ; +; DDIO_OUTPUT_REGISTER ; LOW ; - ; output_cell_L ; +; DDIO_OUTPUT_REGISTER ; HIGH ; - ; mux ; ++-------------------------+-------------+------+------------------------------+ + + ++---------------------------------------------------------------------------------------------------------+ +; Source assignments for altddio_out3:inst6|altddio_out:altddio_out_component|ddio_out_31f:auto_generated ; ++-----------------------------+-------+------+------------------------------------------------------------+ +; Assignment ; Value ; From ; To ; ++-----------------------------+-------+------+------------------------------------------------------------+ +; SYNCHRONIZER_IDENTIFICATION ; OFF ; - ; - ; ++-----------------------------+-------+------+------------------------------------------------------------+ + + ++-----------------------------------------------------------------------------+ +; Source assignments for altddio_out3:inst8|altddio_out:altddio_out_component ; ++-------------------------+-------------+------+------------------------------+ +; Assignment ; Value ; From ; To ; ++-------------------------+-------------+------+------------------------------+ +; ADV_NETLIST_OPT_ALLOWED ; NEVER_ALLOW ; - ; - ; +; PRESERVE_REGISTER ; ON ; - ; output_cell_L ; +; DDIO_OUTPUT_REGISTER ; LOW ; - ; output_cell_L ; +; DDIO_OUTPUT_REGISTER ; HIGH ; - ; mux ; ++-------------------------+-------------+------+------------------------------+ + + ++---------------------------------------------------------------------------------------------------------+ +; Source assignments for altddio_out3:inst8|altddio_out:altddio_out_component|ddio_out_31f:auto_generated ; ++-----------------------------+-------+------+------------------------------------------------------------+ +; Assignment ; Value ; From ; To ; ++-----------------------------+-------+------+------------------------------------------------------------+ +; SYNCHRONIZER_IDENTIFICATION ; OFF ; - ; - ; ++-----------------------------+-------+------+------------------------------------------------------------+ + + ++-----------------------------------------------------------------------------+ +; Source assignments for altddio_out3:inst9|altddio_out:altddio_out_component ; ++-------------------------+-------------+------+------------------------------+ +; Assignment ; Value ; From ; To ; ++-------------------------+-------------+------+------------------------------+ +; ADV_NETLIST_OPT_ALLOWED ; NEVER_ALLOW ; - ; - ; +; PRESERVE_REGISTER ; ON ; - ; output_cell_L ; +; DDIO_OUTPUT_REGISTER ; LOW ; - ; output_cell_L ; +; DDIO_OUTPUT_REGISTER ; HIGH ; - ; mux ; ++-------------------------+-------------+------+------------------------------+ + + ++---------------------------------------------------------------------------------------------------------+ +; Source assignments for altddio_out3:inst9|altddio_out:altddio_out_component|ddio_out_31f:auto_generated ; ++-----------------------------+-------+------+------------------------------------------------------------+ +; Assignment ; Value ; From ; To ; ++-----------------------------+-------+------+------------------------------------------------------------+ +; SYNCHRONIZER_IDENTIFICATION ; OFF ; - ; - ; ++-----------------------------+-------+------+------------------------------------------------------------+ + + ++-----------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: altpll1:inst|altpll:altpll_component ; ++-------------------------------+--------------------+------------------------------+ +; Parameter Name ; Value ; Type ; ++-------------------------------+--------------------+------------------------------+ +; OPERATION_MODE ; SOURCE_SYNCHRONOUS ; Untyped ; +; PLL_TYPE ; AUTO ; Untyped ; +; LPM_HINT ; UNUSED ; Untyped ; +; QUALIFY_CONF_DONE ; OFF ; Untyped ; +; COMPENSATE_CLOCK ; CLK0 ; Untyped ; +; SCAN_CHAIN ; LONG ; Untyped ; +; PRIMARY_CLOCK ; INCLK0 ; Untyped ; +; INCLK0_INPUT_FREQUENCY ; 30303 ; Signed Integer ; +; INCLK1_INPUT_FREQUENCY ; 0 ; Untyped ; +; GATE_LOCK_SIGNAL ; NO ; Untyped ; +; GATE_LOCK_COUNTER ; 0 ; Untyped ; +; LOCK_HIGH ; 1 ; Untyped ; +; LOCK_LOW ; 1 ; Untyped ; +; VALID_LOCK_MULTIPLIER ; 1 ; Untyped ; +; INVALID_LOCK_MULTIPLIER ; 5 ; Untyped ; +; SWITCH_OVER_ON_LOSSCLK ; OFF ; Untyped ; +; SWITCH_OVER_ON_GATED_LOCK ; OFF ; Untyped ; +; ENABLE_SWITCH_OVER_COUNTER ; OFF ; Untyped ; +; SKIP_VCO ; OFF ; Untyped ; +; SWITCH_OVER_COUNTER ; 0 ; Untyped ; +; SWITCH_OVER_TYPE ; AUTO ; Untyped ; +; FEEDBACK_SOURCE ; EXTCLK0 ; Untyped ; +; BANDWIDTH ; 0 ; Untyped ; +; BANDWIDTH_TYPE ; AUTO ; Untyped ; +; SPREAD_FREQUENCY ; 0 ; Untyped ; +; DOWN_SPREAD ; 0 ; Untyped ; +; SELF_RESET_ON_GATED_LOSS_LOCK ; OFF ; Untyped ; +; SELF_RESET_ON_LOSS_LOCK ; OFF ; Untyped ; +; CLK9_MULTIPLY_BY ; 0 ; Untyped ; +; CLK8_MULTIPLY_BY ; 0 ; Untyped ; +; CLK7_MULTIPLY_BY ; 0 ; Untyped ; +; CLK6_MULTIPLY_BY ; 0 ; Untyped ; +; CLK5_MULTIPLY_BY ; 1 ; Untyped ; +; CLK4_MULTIPLY_BY ; 1 ; Untyped ; +; CLK3_MULTIPLY_BY ; 1 ; Untyped ; +; CLK2_MULTIPLY_BY ; 67 ; Signed Integer ; +; CLK1_MULTIPLY_BY ; 67 ; Signed Integer ; +; CLK0_MULTIPLY_BY ; 1 ; Signed Integer ; +; CLK9_DIVIDE_BY ; 0 ; Untyped ; +; CLK8_DIVIDE_BY ; 0 ; Untyped ; +; CLK7_DIVIDE_BY ; 0 ; Untyped ; +; CLK6_DIVIDE_BY ; 0 ; Untyped ; +; CLK5_DIVIDE_BY ; 1 ; Untyped ; +; CLK4_DIVIDE_BY ; 1 ; Untyped ; +; CLK3_DIVIDE_BY ; 1 ; Untyped ; +; CLK2_DIVIDE_BY ; 90 ; Signed Integer ; +; CLK1_DIVIDE_BY ; 900 ; Signed Integer ; +; CLK0_DIVIDE_BY ; 66 ; Signed Integer ; +; CLK9_PHASE_SHIFT ; 0 ; Untyped ; +; CLK8_PHASE_SHIFT ; 0 ; Untyped ; +; CLK7_PHASE_SHIFT ; 0 ; Untyped ; +; CLK6_PHASE_SHIFT ; 0 ; Untyped ; +; CLK5_PHASE_SHIFT ; 0 ; Untyped ; +; CLK4_PHASE_SHIFT ; 0 ; Untyped ; +; CLK3_PHASE_SHIFT ; 0 ; Untyped ; +; CLK2_PHASE_SHIFT ; 0 ; Untyped ; +; CLK1_PHASE_SHIFT ; 0 ; Untyped ; +; CLK0_PHASE_SHIFT ; 0 ; Untyped ; +; CLK5_TIME_DELAY ; 0 ; Untyped ; +; CLK4_TIME_DELAY ; 0 ; Untyped ; +; CLK3_TIME_DELAY ; 0 ; Untyped ; +; CLK2_TIME_DELAY ; 0 ; Untyped ; +; CLK1_TIME_DELAY ; 0 ; Untyped ; +; CLK0_TIME_DELAY ; 0 ; Untyped ; +; CLK9_DUTY_CYCLE ; 50 ; Untyped ; +; CLK8_DUTY_CYCLE ; 50 ; Untyped ; +; CLK7_DUTY_CYCLE ; 50 ; Untyped ; +; CLK6_DUTY_CYCLE ; 50 ; Untyped ; +; CLK5_DUTY_CYCLE ; 50 ; Untyped ; +; CLK4_DUTY_CYCLE ; 50 ; Untyped ; +; CLK3_DUTY_CYCLE ; 50 ; Untyped ; +; CLK2_DUTY_CYCLE ; 50 ; Signed Integer ; +; CLK1_DUTY_CYCLE ; 50 ; Signed Integer ; +; CLK0_DUTY_CYCLE ; 50 ; Signed Integer ; +; CLK9_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK8_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK7_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK6_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK5_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK4_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK3_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK2_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK1_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK0_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK9_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; CLK8_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; CLK7_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; CLK6_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; CLK5_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; CLK4_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; CLK3_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; CLK2_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; CLK1_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; CLK0_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; LOCK_WINDOW_UI ; 0.05 ; Untyped ; +; LOCK_WINDOW_UI_BITS ; UNUSED ; Untyped ; +; VCO_RANGE_DETECTOR_LOW_BITS ; UNUSED ; Untyped ; +; VCO_RANGE_DETECTOR_HIGH_BITS ; UNUSED ; Untyped ; +; DPA_MULTIPLY_BY ; 0 ; Untyped ; +; DPA_DIVIDE_BY ; 1 ; Untyped ; +; DPA_DIVIDER ; 0 ; Untyped ; +; EXTCLK3_MULTIPLY_BY ; 1 ; Untyped ; +; EXTCLK2_MULTIPLY_BY ; 1 ; Untyped ; +; EXTCLK1_MULTIPLY_BY ; 1 ; Untyped ; +; EXTCLK0_MULTIPLY_BY ; 1 ; Untyped ; +; EXTCLK3_DIVIDE_BY ; 1 ; Untyped ; +; EXTCLK2_DIVIDE_BY ; 1 ; Untyped ; +; EXTCLK1_DIVIDE_BY ; 1 ; Untyped ; +; EXTCLK0_DIVIDE_BY ; 1 ; Untyped ; +; EXTCLK3_PHASE_SHIFT ; 0 ; Untyped ; +; EXTCLK2_PHASE_SHIFT ; 0 ; Untyped ; +; EXTCLK1_PHASE_SHIFT ; 0 ; Untyped ; +; EXTCLK0_PHASE_SHIFT ; 0 ; Untyped ; +; EXTCLK3_TIME_DELAY ; 0 ; Untyped ; +; EXTCLK2_TIME_DELAY ; 0 ; Untyped ; +; EXTCLK1_TIME_DELAY ; 0 ; Untyped ; +; EXTCLK0_TIME_DELAY ; 0 ; Untyped ; +; EXTCLK3_DUTY_CYCLE ; 50 ; Untyped ; +; EXTCLK2_DUTY_CYCLE ; 50 ; Untyped ; +; EXTCLK1_DUTY_CYCLE ; 50 ; Untyped ; +; EXTCLK0_DUTY_CYCLE ; 50 ; Untyped ; +; VCO_MULTIPLY_BY ; 0 ; Untyped ; +; VCO_DIVIDE_BY ; 0 ; Untyped ; +; SCLKOUT0_PHASE_SHIFT ; 0 ; Untyped ; +; SCLKOUT1_PHASE_SHIFT ; 0 ; Untyped ; +; VCO_MIN ; 0 ; Untyped ; +; VCO_MAX ; 0 ; Untyped ; +; VCO_CENTER ; 0 ; Untyped ; +; PFD_MIN ; 0 ; Untyped ; +; PFD_MAX ; 0 ; Untyped ; +; M_INITIAL ; 0 ; Untyped ; +; M ; 0 ; Untyped ; +; N ; 1 ; Untyped ; +; M2 ; 1 ; Untyped ; +; N2 ; 1 ; Untyped ; +; SS ; 1 ; Untyped ; +; C0_HIGH ; 0 ; Untyped ; +; C1_HIGH ; 0 ; Untyped ; +; C2_HIGH ; 0 ; Untyped ; +; C3_HIGH ; 0 ; Untyped ; +; C4_HIGH ; 0 ; Untyped ; +; C5_HIGH ; 0 ; Untyped ; +; C6_HIGH ; 0 ; Untyped ; +; C7_HIGH ; 0 ; Untyped ; +; C8_HIGH ; 0 ; Untyped ; +; C9_HIGH ; 0 ; Untyped ; +; C0_LOW ; 0 ; Untyped ; +; C1_LOW ; 0 ; Untyped ; +; C2_LOW ; 0 ; Untyped ; +; C3_LOW ; 0 ; Untyped ; +; C4_LOW ; 0 ; Untyped ; +; C5_LOW ; 0 ; Untyped ; +; C6_LOW ; 0 ; Untyped ; +; C7_LOW ; 0 ; Untyped ; +; C8_LOW ; 0 ; Untyped ; +; C9_LOW ; 0 ; Untyped ; +; C0_INITIAL ; 0 ; Untyped ; +; C1_INITIAL ; 0 ; Untyped ; +; C2_INITIAL ; 0 ; Untyped ; +; C3_INITIAL ; 0 ; Untyped ; +; C4_INITIAL ; 0 ; Untyped ; +; C5_INITIAL ; 0 ; Untyped ; +; C6_INITIAL ; 0 ; Untyped ; +; C7_INITIAL ; 0 ; Untyped ; +; C8_INITIAL ; 0 ; Untyped ; +; C9_INITIAL ; 0 ; Untyped ; +; C0_MODE ; BYPASS ; Untyped ; +; C1_MODE ; BYPASS ; Untyped ; +; C2_MODE ; BYPASS ; Untyped ; +; C3_MODE ; BYPASS ; Untyped ; +; C4_MODE ; BYPASS ; Untyped ; +; C5_MODE ; BYPASS ; Untyped ; +; C6_MODE ; BYPASS ; Untyped ; +; C7_MODE ; BYPASS ; Untyped ; +; C8_MODE ; BYPASS ; Untyped ; +; C9_MODE ; BYPASS ; Untyped ; +; C0_PH ; 0 ; Untyped ; +; C1_PH ; 0 ; Untyped ; +; C2_PH ; 0 ; Untyped ; +; C3_PH ; 0 ; Untyped ; +; C4_PH ; 0 ; Untyped ; +; C5_PH ; 0 ; Untyped ; +; C6_PH ; 0 ; Untyped ; +; C7_PH ; 0 ; Untyped ; +; C8_PH ; 0 ; Untyped ; +; C9_PH ; 0 ; Untyped ; +; L0_HIGH ; 1 ; Untyped ; +; L1_HIGH ; 1 ; Untyped ; +; G0_HIGH ; 1 ; Untyped ; +; G1_HIGH ; 1 ; Untyped ; +; G2_HIGH ; 1 ; Untyped ; +; G3_HIGH ; 1 ; Untyped ; +; E0_HIGH ; 1 ; Untyped ; +; E1_HIGH ; 1 ; Untyped ; +; E2_HIGH ; 1 ; Untyped ; +; E3_HIGH ; 1 ; Untyped ; +; L0_LOW ; 1 ; Untyped ; +; L1_LOW ; 1 ; Untyped ; +; G0_LOW ; 1 ; Untyped ; +; G1_LOW ; 1 ; Untyped ; +; G2_LOW ; 1 ; Untyped ; +; G3_LOW ; 1 ; Untyped ; +; E0_LOW ; 1 ; Untyped ; +; E1_LOW ; 1 ; Untyped ; +; E2_LOW ; 1 ; Untyped ; +; E3_LOW ; 1 ; Untyped ; +; L0_INITIAL ; 1 ; Untyped ; +; L1_INITIAL ; 1 ; Untyped ; +; G0_INITIAL ; 1 ; Untyped ; +; G1_INITIAL ; 1 ; Untyped ; +; G2_INITIAL ; 1 ; Untyped ; +; G3_INITIAL ; 1 ; Untyped ; +; E0_INITIAL ; 1 ; Untyped ; +; E1_INITIAL ; 1 ; Untyped ; +; E2_INITIAL ; 1 ; Untyped ; +; E3_INITIAL ; 1 ; Untyped ; +; L0_MODE ; BYPASS ; Untyped ; +; L1_MODE ; BYPASS ; Untyped ; +; G0_MODE ; BYPASS ; Untyped ; +; G1_MODE ; BYPASS ; Untyped ; +; G2_MODE ; BYPASS ; Untyped ; +; G3_MODE ; BYPASS ; Untyped ; +; E0_MODE ; BYPASS ; Untyped ; +; E1_MODE ; BYPASS ; Untyped ; +; E2_MODE ; BYPASS ; Untyped ; +; E3_MODE ; BYPASS ; Untyped ; +; L0_PH ; 0 ; Untyped ; +; L1_PH ; 0 ; Untyped ; +; G0_PH ; 0 ; Untyped ; +; G1_PH ; 0 ; Untyped ; +; G2_PH ; 0 ; Untyped ; +; G3_PH ; 0 ; Untyped ; +; E0_PH ; 0 ; Untyped ; +; E1_PH ; 0 ; Untyped ; +; E2_PH ; 0 ; Untyped ; +; E3_PH ; 0 ; Untyped ; +; M_PH ; 0 ; Untyped ; +; C1_USE_CASC_IN ; OFF ; Untyped ; +; C2_USE_CASC_IN ; OFF ; Untyped ; +; C3_USE_CASC_IN ; OFF ; Untyped ; +; C4_USE_CASC_IN ; OFF ; Untyped ; +; C5_USE_CASC_IN ; OFF ; Untyped ; +; C6_USE_CASC_IN ; OFF ; Untyped ; +; C7_USE_CASC_IN ; OFF ; Untyped ; +; C8_USE_CASC_IN ; OFF ; Untyped ; +; C9_USE_CASC_IN ; OFF ; Untyped ; +; CLK0_COUNTER ; G0 ; Untyped ; +; CLK1_COUNTER ; G0 ; Untyped ; +; CLK2_COUNTER ; G0 ; Untyped ; +; CLK3_COUNTER ; G0 ; Untyped ; +; CLK4_COUNTER ; G0 ; Untyped ; +; CLK5_COUNTER ; G0 ; Untyped ; +; CLK6_COUNTER ; E0 ; Untyped ; +; CLK7_COUNTER ; E1 ; Untyped ; +; CLK8_COUNTER ; E2 ; Untyped ; +; CLK9_COUNTER ; E3 ; Untyped ; +; L0_TIME_DELAY ; 0 ; Untyped ; +; L1_TIME_DELAY ; 0 ; Untyped ; +; G0_TIME_DELAY ; 0 ; Untyped ; +; G1_TIME_DELAY ; 0 ; Untyped ; +; G2_TIME_DELAY ; 0 ; Untyped ; +; G3_TIME_DELAY ; 0 ; Untyped ; +; E0_TIME_DELAY ; 0 ; Untyped ; +; E1_TIME_DELAY ; 0 ; Untyped ; +; E2_TIME_DELAY ; 0 ; Untyped ; +; E3_TIME_DELAY ; 0 ; Untyped ; +; M_TIME_DELAY ; 0 ; Untyped ; +; N_TIME_DELAY ; 0 ; Untyped ; +; EXTCLK3_COUNTER ; E3 ; Untyped ; +; EXTCLK2_COUNTER ; E2 ; Untyped ; +; EXTCLK1_COUNTER ; E1 ; Untyped ; +; EXTCLK0_COUNTER ; E0 ; Untyped ; +; ENABLE0_COUNTER ; L0 ; Untyped ; +; ENABLE1_COUNTER ; L0 ; Untyped ; +; CHARGE_PUMP_CURRENT ; 2 ; Untyped ; +; LOOP_FILTER_R ; 1.000000 ; Untyped ; +; LOOP_FILTER_C ; 5 ; Untyped ; +; CHARGE_PUMP_CURRENT_BITS ; 9999 ; Untyped ; +; LOOP_FILTER_R_BITS ; 9999 ; Untyped ; +; LOOP_FILTER_C_BITS ; 9999 ; Untyped ; +; VCO_POST_SCALE ; 0 ; Untyped ; +; CLK2_OUTPUT_FREQUENCY ; 0 ; Untyped ; +; CLK1_OUTPUT_FREQUENCY ; 0 ; Untyped ; +; CLK0_OUTPUT_FREQUENCY ; 0 ; Untyped ; +; INTENDED_DEVICE_FAMILY ; Cyclone III ; Untyped ; +; PORT_CLKENA0 ; PORT_UNUSED ; Untyped ; +; PORT_CLKENA1 ; PORT_UNUSED ; Untyped ; +; PORT_CLKENA2 ; PORT_UNUSED ; Untyped ; +; PORT_CLKENA3 ; PORT_UNUSED ; Untyped ; +; PORT_CLKENA4 ; PORT_UNUSED ; Untyped ; +; PORT_CLKENA5 ; PORT_UNUSED ; Untyped ; +; PORT_EXTCLKENA0 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_EXTCLKENA1 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_EXTCLKENA2 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_EXTCLKENA3 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_EXTCLK0 ; PORT_UNUSED ; Untyped ; +; PORT_EXTCLK1 ; PORT_UNUSED ; Untyped ; +; PORT_EXTCLK2 ; PORT_UNUSED ; Untyped ; +; PORT_EXTCLK3 ; PORT_UNUSED ; Untyped ; +; PORT_CLKBAD0 ; PORT_UNUSED ; Untyped ; +; PORT_CLKBAD1 ; PORT_UNUSED ; Untyped ; +; PORT_CLK0 ; PORT_USED ; Untyped ; +; PORT_CLK1 ; PORT_USED ; Untyped ; +; PORT_CLK2 ; PORT_USED ; Untyped ; +; PORT_CLK3 ; PORT_UNUSED ; Untyped ; +; PORT_CLK4 ; PORT_UNUSED ; Untyped ; +; PORT_CLK5 ; PORT_UNUSED ; Untyped ; +; PORT_CLK6 ; PORT_UNUSED ; Untyped ; +; PORT_CLK7 ; PORT_UNUSED ; Untyped ; +; PORT_CLK8 ; PORT_UNUSED ; Untyped ; +; PORT_CLK9 ; PORT_UNUSED ; Untyped ; +; PORT_SCANDATA ; PORT_UNUSED ; Untyped ; +; PORT_SCANDATAOUT ; PORT_UNUSED ; Untyped ; +; PORT_SCANDONE ; PORT_UNUSED ; Untyped ; +; PORT_SCLKOUT1 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_SCLKOUT0 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_ACTIVECLOCK ; PORT_UNUSED ; Untyped ; +; PORT_CLKLOSS ; PORT_UNUSED ; Untyped ; +; PORT_INCLK1 ; PORT_UNUSED ; Untyped ; +; PORT_INCLK0 ; PORT_USED ; Untyped ; +; PORT_FBIN ; PORT_UNUSED ; Untyped ; +; PORT_PLLENA ; PORT_UNUSED ; Untyped ; +; PORT_CLKSWITCH ; PORT_UNUSED ; Untyped ; +; PORT_ARESET ; PORT_UNUSED ; Untyped ; +; PORT_PFDENA ; PORT_UNUSED ; Untyped ; +; PORT_SCANCLK ; PORT_UNUSED ; Untyped ; +; PORT_SCANACLR ; PORT_UNUSED ; Untyped ; +; PORT_SCANREAD ; PORT_UNUSED ; Untyped ; +; PORT_SCANWRITE ; PORT_UNUSED ; Untyped ; +; PORT_ENABLE0 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_ENABLE1 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_LOCKED ; PORT_USED ; Untyped ; +; PORT_CONFIGUPDATE ; PORT_UNUSED ; Untyped ; +; PORT_FBOUT ; PORT_CONNECTIVITY ; Untyped ; +; PORT_PHASEDONE ; PORT_UNUSED ; Untyped ; +; PORT_PHASESTEP ; PORT_UNUSED ; Untyped ; +; PORT_PHASEUPDOWN ; PORT_UNUSED ; Untyped ; +; PORT_SCANCLKENA ; PORT_UNUSED ; Untyped ; +; PORT_PHASECOUNTERSELECT ; PORT_UNUSED ; Untyped ; +; PORT_VCOOVERRANGE ; PORT_CONNECTIVITY ; Untyped ; +; PORT_VCOUNDERRANGE ; PORT_CONNECTIVITY ; Untyped ; +; M_TEST_SOURCE ; 5 ; Untyped ; +; C0_TEST_SOURCE ; 5 ; Untyped ; +; C1_TEST_SOURCE ; 5 ; Untyped ; +; C2_TEST_SOURCE ; 5 ; Untyped ; +; C3_TEST_SOURCE ; 5 ; Untyped ; +; C4_TEST_SOURCE ; 5 ; Untyped ; +; C5_TEST_SOURCE ; 5 ; Untyped ; +; C6_TEST_SOURCE ; 5 ; Untyped ; +; C7_TEST_SOURCE ; 5 ; Untyped ; +; C8_TEST_SOURCE ; 5 ; Untyped ; +; C9_TEST_SOURCE ; 5 ; Untyped ; +; CBXI_PARAMETER ; altpll_8tp2 ; Untyped ; +; VCO_FREQUENCY_CONTROL ; AUTO ; Untyped ; +; VCO_PHASE_SHIFT_STEP ; 0 ; Untyped ; +; WIDTH_CLOCK ; 5 ; Signed Integer ; +; WIDTH_PHASECOUNTERSELECT ; 4 ; Untyped ; +; USING_FBMIMICBIDIR_PORT ; OFF ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; SCAN_CHAIN_MIF_FILE ; UNUSED ; Untyped ; +; SIM_GATE_LOCK_DEVICE_BEHAVIOR ; OFF ; Untyped ; +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; ++-------------------------------+--------------------+------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component ; ++--------------------------+-------------+---------------------------------------------------------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++--------------------------+-------------+---------------------------------------------------------------------------------------------------------------------------------+ +; ACF_DISABLE_MLAB_RAM_USE ; FALSE ; Untyped ; +; ADD_RAM_OUTPUT_REGISTER ; OFF ; Untyped ; +; ADD_USEDW_MSB_BIT ; OFF ; Untyped ; +; CLOCKS_ARE_SYNCHRONIZED ; FALSE ; Untyped ; +; DELAY_RDUSEDW ; 1 ; Untyped ; +; DELAY_WRUSEDW ; 1 ; Untyped ; +; LPM_NUMWORDS ; 1024 ; Signed Integer ; +; LPM_SHOWAHEAD ; OFF ; Untyped ; +; LPM_WIDTH ; 8 ; Signed Integer ; +; LPM_WIDTH_R ; 32 ; Signed Integer ; +; LPM_WIDTHU ; 10 ; Signed Integer ; +; LPM_WIDTHU_R ; 8 ; Signed Integer ; +; MAXIMIZE_SPEED ; 5 ; Untyped ; +; OVERFLOW_CHECKING ; ON ; Untyped ; +; RAM_BLOCK_TYPE ; AUTO ; Untyped ; +; RDSYNC_DELAYPIPE ; 5 ; Signed Integer ; +; READ_ACLR_SYNCH ; OFF ; Untyped ; +; UNDERFLOW_CHECKING ; ON ; Untyped ; +; USE_EAB ; ON ; Untyped ; +; WRITE_ACLR_SYNCH ; OFF ; Untyped ; +; WRSYNC_DELAYPIPE ; 5 ; Signed Integer ; +; CBXI_PARAMETER ; dcfifo_0hh1 ; Untyped ; ++--------------------------+-------------+---------------------------------------------------------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component ; ++--------------------------+-------------+---------------------------------------------------------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++--------------------------+-------------+---------------------------------------------------------------------------------------------------------------------------------+ +; ACF_DISABLE_MLAB_RAM_USE ; FALSE ; Untyped ; +; ADD_RAM_OUTPUT_REGISTER ; OFF ; Untyped ; +; ADD_USEDW_MSB_BIT ; OFF ; Untyped ; +; CLOCKS_ARE_SYNCHRONIZED ; FALSE ; Untyped ; +; DELAY_RDUSEDW ; 1 ; Untyped ; +; DELAY_WRUSEDW ; 1 ; Untyped ; +; LPM_NUMWORDS ; 256 ; Signed Integer ; +; LPM_SHOWAHEAD ; OFF ; Untyped ; +; LPM_WIDTH ; 32 ; Signed Integer ; +; LPM_WIDTH_R ; 8 ; Signed Integer ; +; LPM_WIDTHU ; 8 ; Signed Integer ; +; LPM_WIDTHU_R ; 10 ; Signed Integer ; +; MAXIMIZE_SPEED ; 5 ; Untyped ; +; OVERFLOW_CHECKING ; ON ; Untyped ; +; RAM_BLOCK_TYPE ; AUTO ; Untyped ; +; RDSYNC_DELAYPIPE ; 5 ; Signed Integer ; +; READ_ACLR_SYNCH ; OFF ; Untyped ; +; UNDERFLOW_CHECKING ; ON ; Untyped ; +; USE_EAB ; ON ; Untyped ; +; WRITE_ACLR_SYNCH ; OFF ; Untyped ; +; WRSYNC_DELAYPIPE ; 5 ; Signed Integer ; +; CBXI_PARAMETER ; dcfifo_3fh1 ; Untyped ; ++--------------------------+-------------+---------------------------------------------------------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL ; ++----------------+-------+---------------------------------------------------------------------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++----------------+-------+---------------------------------------------------------------------------------------------------------------------------------------------+ +; TOP ; 152 ; Signed Integer ; +; BOTTOM ; 104 ; Signed Integer ; +; PHASE_CORR ; 75 ; Signed Integer ; ++----------------+-------+---------------------------------------------------------------------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++-------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: altpll3:inst13|altpll:altpll_component ; ++-------------------------------+--------------------+--------------------------------+ +; Parameter Name ; Value ; Type ; ++-------------------------------+--------------------+--------------------------------+ +; OPERATION_MODE ; SOURCE_SYNCHRONOUS ; Untyped ; +; PLL_TYPE ; AUTO ; Untyped ; +; LPM_HINT ; UNUSED ; Untyped ; +; QUALIFY_CONF_DONE ; OFF ; Untyped ; +; COMPENSATE_CLOCK ; CLK1 ; Untyped ; +; SCAN_CHAIN ; LONG ; Untyped ; +; PRIMARY_CLOCK ; INCLK0 ; Untyped ; +; INCLK0_INPUT_FREQUENCY ; 30303 ; Signed Integer ; +; INCLK1_INPUT_FREQUENCY ; 0 ; Untyped ; +; GATE_LOCK_SIGNAL ; NO ; Untyped ; +; GATE_LOCK_COUNTER ; 0 ; Untyped ; +; LOCK_HIGH ; 1 ; Untyped ; +; LOCK_LOW ; 1 ; Untyped ; +; VALID_LOCK_MULTIPLIER ; 1 ; Untyped ; +; INVALID_LOCK_MULTIPLIER ; 5 ; Untyped ; +; SWITCH_OVER_ON_LOSSCLK ; OFF ; Untyped ; +; SWITCH_OVER_ON_GATED_LOCK ; OFF ; Untyped ; +; ENABLE_SWITCH_OVER_COUNTER ; OFF ; Untyped ; +; SKIP_VCO ; OFF ; Untyped ; +; SWITCH_OVER_COUNTER ; 0 ; Untyped ; +; SWITCH_OVER_TYPE ; AUTO ; Untyped ; +; FEEDBACK_SOURCE ; EXTCLK0 ; Untyped ; +; BANDWIDTH ; 0 ; Untyped ; +; BANDWIDTH_TYPE ; AUTO ; Untyped ; +; SPREAD_FREQUENCY ; 0 ; Untyped ; +; DOWN_SPREAD ; 0 ; Untyped ; +; SELF_RESET_ON_GATED_LOSS_LOCK ; OFF ; Untyped ; +; SELF_RESET_ON_LOSS_LOCK ; OFF ; Untyped ; +; CLK9_MULTIPLY_BY ; 0 ; Untyped ; +; CLK8_MULTIPLY_BY ; 0 ; Untyped ; +; CLK7_MULTIPLY_BY ; 0 ; Untyped ; +; CLK6_MULTIPLY_BY ; 0 ; Untyped ; +; CLK5_MULTIPLY_BY ; 1 ; Untyped ; +; CLK4_MULTIPLY_BY ; 1 ; Untyped ; +; CLK3_MULTIPLY_BY ; 16 ; Signed Integer ; +; CLK2_MULTIPLY_BY ; 25 ; Signed Integer ; +; CLK1_MULTIPLY_BY ; 16 ; Signed Integer ; +; CLK0_MULTIPLY_BY ; 2 ; Signed Integer ; +; CLK9_DIVIDE_BY ; 0 ; Untyped ; +; CLK8_DIVIDE_BY ; 0 ; Untyped ; +; CLK7_DIVIDE_BY ; 0 ; Untyped ; +; CLK6_DIVIDE_BY ; 0 ; Untyped ; +; CLK5_DIVIDE_BY ; 1 ; Untyped ; +; CLK4_DIVIDE_BY ; 1 ; Untyped ; +; CLK3_DIVIDE_BY ; 11 ; Signed Integer ; +; CLK2_DIVIDE_BY ; 33 ; Signed Integer ; +; CLK1_DIVIDE_BY ; 33 ; Signed Integer ; +; CLK0_DIVIDE_BY ; 33 ; Signed Integer ; +; CLK9_PHASE_SHIFT ; 0 ; Untyped ; +; CLK8_PHASE_SHIFT ; 0 ; Untyped ; +; CLK7_PHASE_SHIFT ; 0 ; Untyped ; +; CLK6_PHASE_SHIFT ; 0 ; Untyped ; +; CLK5_PHASE_SHIFT ; 0 ; Untyped ; +; CLK4_PHASE_SHIFT ; 0 ; Untyped ; +; CLK3_PHASE_SHIFT ; 0 ; Untyped ; +; CLK2_PHASE_SHIFT ; 0 ; Untyped ; +; CLK1_PHASE_SHIFT ; 0 ; Untyped ; +; CLK0_PHASE_SHIFT ; 0 ; Untyped ; +; CLK5_TIME_DELAY ; 0 ; Untyped ; +; CLK4_TIME_DELAY ; 0 ; Untyped ; +; CLK3_TIME_DELAY ; 0 ; Untyped ; +; CLK2_TIME_DELAY ; 0 ; Untyped ; +; CLK1_TIME_DELAY ; 0 ; Untyped ; +; CLK0_TIME_DELAY ; 0 ; Untyped ; +; CLK9_DUTY_CYCLE ; 50 ; Untyped ; +; CLK8_DUTY_CYCLE ; 50 ; Untyped ; +; CLK7_DUTY_CYCLE ; 50 ; Untyped ; +; CLK6_DUTY_CYCLE ; 50 ; Untyped ; +; CLK5_DUTY_CYCLE ; 50 ; Untyped ; +; CLK4_DUTY_CYCLE ; 50 ; Untyped ; +; CLK3_DUTY_CYCLE ; 50 ; Signed Integer ; +; CLK2_DUTY_CYCLE ; 50 ; Signed Integer ; +; CLK1_DUTY_CYCLE ; 50 ; Signed Integer ; +; CLK0_DUTY_CYCLE ; 50 ; Signed Integer ; +; CLK9_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK8_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK7_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK6_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK5_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK4_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK3_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK2_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK1_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK0_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK9_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; CLK8_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; CLK7_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; CLK6_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; CLK5_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; CLK4_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; CLK3_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; CLK2_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; CLK1_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; CLK0_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; LOCK_WINDOW_UI ; 0.05 ; Untyped ; +; LOCK_WINDOW_UI_BITS ; UNUSED ; Untyped ; +; VCO_RANGE_DETECTOR_LOW_BITS ; UNUSED ; Untyped ; +; VCO_RANGE_DETECTOR_HIGH_BITS ; UNUSED ; Untyped ; +; DPA_MULTIPLY_BY ; 0 ; Untyped ; +; DPA_DIVIDE_BY ; 1 ; Untyped ; +; DPA_DIVIDER ; 0 ; Untyped ; +; EXTCLK3_MULTIPLY_BY ; 1 ; Untyped ; +; EXTCLK2_MULTIPLY_BY ; 1 ; Untyped ; +; EXTCLK1_MULTIPLY_BY ; 1 ; Untyped ; +; EXTCLK0_MULTIPLY_BY ; 1 ; Untyped ; +; EXTCLK3_DIVIDE_BY ; 1 ; Untyped ; +; EXTCLK2_DIVIDE_BY ; 1 ; Untyped ; +; EXTCLK1_DIVIDE_BY ; 1 ; Untyped ; +; EXTCLK0_DIVIDE_BY ; 1 ; Untyped ; +; EXTCLK3_PHASE_SHIFT ; 0 ; Untyped ; +; EXTCLK2_PHASE_SHIFT ; 0 ; Untyped ; +; EXTCLK1_PHASE_SHIFT ; 0 ; Untyped ; +; EXTCLK0_PHASE_SHIFT ; 0 ; Untyped ; +; EXTCLK3_TIME_DELAY ; 0 ; Untyped ; +; EXTCLK2_TIME_DELAY ; 0 ; Untyped ; +; EXTCLK1_TIME_DELAY ; 0 ; Untyped ; +; EXTCLK0_TIME_DELAY ; 0 ; Untyped ; +; EXTCLK3_DUTY_CYCLE ; 50 ; Untyped ; +; EXTCLK2_DUTY_CYCLE ; 50 ; Untyped ; +; EXTCLK1_DUTY_CYCLE ; 50 ; Untyped ; +; EXTCLK0_DUTY_CYCLE ; 50 ; Untyped ; +; VCO_MULTIPLY_BY ; 0 ; Untyped ; +; VCO_DIVIDE_BY ; 0 ; Untyped ; +; SCLKOUT0_PHASE_SHIFT ; 0 ; Untyped ; +; SCLKOUT1_PHASE_SHIFT ; 0 ; Untyped ; +; VCO_MIN ; 0 ; Untyped ; +; VCO_MAX ; 0 ; Untyped ; +; VCO_CENTER ; 0 ; Untyped ; +; PFD_MIN ; 0 ; Untyped ; +; PFD_MAX ; 0 ; Untyped ; +; M_INITIAL ; 0 ; Untyped ; +; M ; 0 ; Untyped ; +; N ; 1 ; Untyped ; +; M2 ; 1 ; Untyped ; +; N2 ; 1 ; Untyped ; +; SS ; 1 ; Untyped ; +; C0_HIGH ; 0 ; Untyped ; +; C1_HIGH ; 0 ; Untyped ; +; C2_HIGH ; 0 ; Untyped ; +; C3_HIGH ; 0 ; Untyped ; +; C4_HIGH ; 0 ; Untyped ; +; C5_HIGH ; 0 ; Untyped ; +; C6_HIGH ; 0 ; Untyped ; +; C7_HIGH ; 0 ; Untyped ; +; C8_HIGH ; 0 ; Untyped ; +; C9_HIGH ; 0 ; Untyped ; +; C0_LOW ; 0 ; Untyped ; +; C1_LOW ; 0 ; Untyped ; +; C2_LOW ; 0 ; Untyped ; +; C3_LOW ; 0 ; Untyped ; +; C4_LOW ; 0 ; Untyped ; +; C5_LOW ; 0 ; Untyped ; +; C6_LOW ; 0 ; Untyped ; +; C7_LOW ; 0 ; Untyped ; +; C8_LOW ; 0 ; Untyped ; +; C9_LOW ; 0 ; Untyped ; +; C0_INITIAL ; 0 ; Untyped ; +; C1_INITIAL ; 0 ; Untyped ; +; C2_INITIAL ; 0 ; Untyped ; +; C3_INITIAL ; 0 ; Untyped ; +; C4_INITIAL ; 0 ; Untyped ; +; C5_INITIAL ; 0 ; Untyped ; +; C6_INITIAL ; 0 ; Untyped ; +; C7_INITIAL ; 0 ; Untyped ; +; C8_INITIAL ; 0 ; Untyped ; +; C9_INITIAL ; 0 ; Untyped ; +; C0_MODE ; BYPASS ; Untyped ; +; C1_MODE ; BYPASS ; Untyped ; +; C2_MODE ; BYPASS ; Untyped ; +; C3_MODE ; BYPASS ; Untyped ; +; C4_MODE ; BYPASS ; Untyped ; +; C5_MODE ; BYPASS ; Untyped ; +; C6_MODE ; BYPASS ; Untyped ; +; C7_MODE ; BYPASS ; Untyped ; +; C8_MODE ; BYPASS ; Untyped ; +; C9_MODE ; BYPASS ; Untyped ; +; C0_PH ; 0 ; Untyped ; +; C1_PH ; 0 ; Untyped ; +; C2_PH ; 0 ; Untyped ; +; C3_PH ; 0 ; Untyped ; +; C4_PH ; 0 ; Untyped ; +; C5_PH ; 0 ; Untyped ; +; C6_PH ; 0 ; Untyped ; +; C7_PH ; 0 ; Untyped ; +; C8_PH ; 0 ; Untyped ; +; C9_PH ; 0 ; Untyped ; +; L0_HIGH ; 1 ; Untyped ; +; L1_HIGH ; 1 ; Untyped ; +; G0_HIGH ; 1 ; Untyped ; +; G1_HIGH ; 1 ; Untyped ; +; G2_HIGH ; 1 ; Untyped ; +; G3_HIGH ; 1 ; Untyped ; +; E0_HIGH ; 1 ; Untyped ; +; E1_HIGH ; 1 ; Untyped ; +; E2_HIGH ; 1 ; Untyped ; +; E3_HIGH ; 1 ; Untyped ; +; L0_LOW ; 1 ; Untyped ; +; L1_LOW ; 1 ; Untyped ; +; G0_LOW ; 1 ; Untyped ; +; G1_LOW ; 1 ; Untyped ; +; G2_LOW ; 1 ; Untyped ; +; G3_LOW ; 1 ; Untyped ; +; E0_LOW ; 1 ; Untyped ; +; E1_LOW ; 1 ; Untyped ; +; E2_LOW ; 1 ; Untyped ; +; E3_LOW ; 1 ; Untyped ; +; L0_INITIAL ; 1 ; Untyped ; +; L1_INITIAL ; 1 ; Untyped ; +; G0_INITIAL ; 1 ; Untyped ; +; G1_INITIAL ; 1 ; Untyped ; +; G2_INITIAL ; 1 ; Untyped ; +; G3_INITIAL ; 1 ; Untyped ; +; E0_INITIAL ; 1 ; Untyped ; +; E1_INITIAL ; 1 ; Untyped ; +; E2_INITIAL ; 1 ; Untyped ; +; E3_INITIAL ; 1 ; Untyped ; +; L0_MODE ; BYPASS ; Untyped ; +; L1_MODE ; BYPASS ; Untyped ; +; G0_MODE ; BYPASS ; Untyped ; +; G1_MODE ; BYPASS ; Untyped ; +; G2_MODE ; BYPASS ; Untyped ; +; G3_MODE ; BYPASS ; Untyped ; +; E0_MODE ; BYPASS ; Untyped ; +; E1_MODE ; BYPASS ; Untyped ; +; E2_MODE ; BYPASS ; Untyped ; +; E3_MODE ; BYPASS ; Untyped ; +; L0_PH ; 0 ; Untyped ; +; L1_PH ; 0 ; Untyped ; +; G0_PH ; 0 ; Untyped ; +; G1_PH ; 0 ; Untyped ; +; G2_PH ; 0 ; Untyped ; +; G3_PH ; 0 ; Untyped ; +; E0_PH ; 0 ; Untyped ; +; E1_PH ; 0 ; Untyped ; +; E2_PH ; 0 ; Untyped ; +; E3_PH ; 0 ; Untyped ; +; M_PH ; 0 ; Untyped ; +; C1_USE_CASC_IN ; OFF ; Untyped ; +; C2_USE_CASC_IN ; OFF ; Untyped ; +; C3_USE_CASC_IN ; OFF ; Untyped ; +; C4_USE_CASC_IN ; OFF ; Untyped ; +; C5_USE_CASC_IN ; OFF ; Untyped ; +; C6_USE_CASC_IN ; OFF ; Untyped ; +; C7_USE_CASC_IN ; OFF ; Untyped ; +; C8_USE_CASC_IN ; OFF ; Untyped ; +; C9_USE_CASC_IN ; OFF ; Untyped ; +; CLK0_COUNTER ; G0 ; Untyped ; +; CLK1_COUNTER ; G0 ; Untyped ; +; CLK2_COUNTER ; G0 ; Untyped ; +; CLK3_COUNTER ; G0 ; Untyped ; +; CLK4_COUNTER ; G0 ; Untyped ; +; CLK5_COUNTER ; G0 ; Untyped ; +; CLK6_COUNTER ; E0 ; Untyped ; +; CLK7_COUNTER ; E1 ; Untyped ; +; CLK8_COUNTER ; E2 ; Untyped ; +; CLK9_COUNTER ; E3 ; Untyped ; +; L0_TIME_DELAY ; 0 ; Untyped ; +; L1_TIME_DELAY ; 0 ; Untyped ; +; G0_TIME_DELAY ; 0 ; Untyped ; +; G1_TIME_DELAY ; 0 ; Untyped ; +; G2_TIME_DELAY ; 0 ; Untyped ; +; G3_TIME_DELAY ; 0 ; Untyped ; +; E0_TIME_DELAY ; 0 ; Untyped ; +; E1_TIME_DELAY ; 0 ; Untyped ; +; E2_TIME_DELAY ; 0 ; Untyped ; +; E3_TIME_DELAY ; 0 ; Untyped ; +; M_TIME_DELAY ; 0 ; Untyped ; +; N_TIME_DELAY ; 0 ; Untyped ; +; EXTCLK3_COUNTER ; E3 ; Untyped ; +; EXTCLK2_COUNTER ; E2 ; Untyped ; +; EXTCLK1_COUNTER ; E1 ; Untyped ; +; EXTCLK0_COUNTER ; E0 ; Untyped ; +; ENABLE0_COUNTER ; L0 ; Untyped ; +; ENABLE1_COUNTER ; L0 ; Untyped ; +; CHARGE_PUMP_CURRENT ; 2 ; Untyped ; +; LOOP_FILTER_R ; 1.000000 ; Untyped ; +; LOOP_FILTER_C ; 5 ; Untyped ; +; CHARGE_PUMP_CURRENT_BITS ; 9999 ; Untyped ; +; LOOP_FILTER_R_BITS ; 9999 ; Untyped ; +; LOOP_FILTER_C_BITS ; 9999 ; Untyped ; +; VCO_POST_SCALE ; 0 ; Untyped ; +; CLK2_OUTPUT_FREQUENCY ; 0 ; Untyped ; +; CLK1_OUTPUT_FREQUENCY ; 0 ; Untyped ; +; CLK0_OUTPUT_FREQUENCY ; 0 ; Untyped ; +; INTENDED_DEVICE_FAMILY ; Cyclone III ; Untyped ; +; PORT_CLKENA0 ; PORT_UNUSED ; Untyped ; +; PORT_CLKENA1 ; PORT_UNUSED ; Untyped ; +; PORT_CLKENA2 ; PORT_UNUSED ; Untyped ; +; PORT_CLKENA3 ; PORT_UNUSED ; Untyped ; +; PORT_CLKENA4 ; PORT_UNUSED ; Untyped ; +; PORT_CLKENA5 ; PORT_UNUSED ; Untyped ; +; PORT_EXTCLKENA0 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_EXTCLKENA1 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_EXTCLKENA2 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_EXTCLKENA3 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_EXTCLK0 ; PORT_UNUSED ; Untyped ; +; PORT_EXTCLK1 ; PORT_UNUSED ; Untyped ; +; PORT_EXTCLK2 ; PORT_UNUSED ; Untyped ; +; PORT_EXTCLK3 ; PORT_UNUSED ; Untyped ; +; PORT_CLKBAD0 ; PORT_UNUSED ; Untyped ; +; PORT_CLKBAD1 ; PORT_UNUSED ; Untyped ; +; PORT_CLK0 ; PORT_USED ; Untyped ; +; PORT_CLK1 ; PORT_USED ; Untyped ; +; PORT_CLK2 ; PORT_USED ; Untyped ; +; PORT_CLK3 ; PORT_USED ; Untyped ; +; PORT_CLK4 ; PORT_UNUSED ; Untyped ; +; PORT_CLK5 ; PORT_UNUSED ; Untyped ; +; PORT_CLK6 ; PORT_UNUSED ; Untyped ; +; PORT_CLK7 ; PORT_UNUSED ; Untyped ; +; PORT_CLK8 ; PORT_UNUSED ; Untyped ; +; PORT_CLK9 ; PORT_UNUSED ; Untyped ; +; PORT_SCANDATA ; PORT_UNUSED ; Untyped ; +; PORT_SCANDATAOUT ; PORT_UNUSED ; Untyped ; +; PORT_SCANDONE ; PORT_UNUSED ; Untyped ; +; PORT_SCLKOUT1 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_SCLKOUT0 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_ACTIVECLOCK ; PORT_UNUSED ; Untyped ; +; PORT_CLKLOSS ; PORT_UNUSED ; Untyped ; +; PORT_INCLK1 ; PORT_UNUSED ; Untyped ; +; PORT_INCLK0 ; PORT_USED ; Untyped ; +; PORT_FBIN ; PORT_UNUSED ; Untyped ; +; PORT_PLLENA ; PORT_UNUSED ; Untyped ; +; PORT_CLKSWITCH ; PORT_UNUSED ; Untyped ; +; PORT_ARESET ; PORT_UNUSED ; Untyped ; +; PORT_PFDENA ; PORT_UNUSED ; Untyped ; +; PORT_SCANCLK ; PORT_UNUSED ; Untyped ; +; PORT_SCANACLR ; PORT_UNUSED ; Untyped ; +; PORT_SCANREAD ; PORT_UNUSED ; Untyped ; +; PORT_SCANWRITE ; PORT_UNUSED ; Untyped ; +; PORT_ENABLE0 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_ENABLE1 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_LOCKED ; PORT_UNUSED ; Untyped ; +; PORT_CONFIGUPDATE ; PORT_UNUSED ; Untyped ; +; PORT_FBOUT ; PORT_CONNECTIVITY ; Untyped ; +; PORT_PHASEDONE ; PORT_UNUSED ; Untyped ; +; PORT_PHASESTEP ; PORT_UNUSED ; Untyped ; +; PORT_PHASEUPDOWN ; PORT_UNUSED ; Untyped ; +; PORT_SCANCLKENA ; PORT_UNUSED ; Untyped ; +; PORT_PHASECOUNTERSELECT ; PORT_UNUSED ; Untyped ; +; PORT_VCOOVERRANGE ; PORT_CONNECTIVITY ; Untyped ; +; PORT_VCOUNDERRANGE ; PORT_CONNECTIVITY ; Untyped ; +; M_TEST_SOURCE ; 5 ; Untyped ; +; C0_TEST_SOURCE ; 5 ; Untyped ; +; C1_TEST_SOURCE ; 5 ; Untyped ; +; C2_TEST_SOURCE ; 5 ; Untyped ; +; C3_TEST_SOURCE ; 5 ; Untyped ; +; C4_TEST_SOURCE ; 5 ; Untyped ; +; C5_TEST_SOURCE ; 5 ; Untyped ; +; C6_TEST_SOURCE ; 5 ; Untyped ; +; C7_TEST_SOURCE ; 5 ; Untyped ; +; C8_TEST_SOURCE ; 5 ; Untyped ; +; C9_TEST_SOURCE ; 5 ; Untyped ; +; CBXI_PARAMETER ; altpll_jvs2 ; Untyped ; +; VCO_FREQUENCY_CONTROL ; AUTO ; Untyped ; +; VCO_PHASE_SHIFT_STEP ; 0 ; Untyped ; +; WIDTH_CLOCK ; 5 ; Signed Integer ; +; WIDTH_PHASECOUNTERSELECT ; 4 ; Untyped ; +; USING_FBMIMICBIDIR_PORT ; OFF ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; SCAN_CHAIN_MIF_FILE ; UNUSED ; Untyped ; +; SIM_GATE_LOCK_DEVICE_BEHAVIOR ; OFF ; Untyped ; +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; ++-------------------------------+--------------------+--------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_bustri_WORD:$00000|lpm_bustri:lpm_bustri_component ; ++----------------+-------+-----------------------------------------------------------------------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++----------------+-------+-----------------------------------------------------------------------------------------------------------------------------------------------+ +; LPM_WIDTH ; 16 ; Signed Integer ; ++----------------+-------+-----------------------------------------------------------------------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_bustri_WORD:$00002|lpm_bustri:lpm_bustri_component ; ++----------------+-------+-----------------------------------------------------------------------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++----------------+-------+-----------------------------------------------------------------------------------------------------------------------------------------------+ +; LPM_WIDTH ; 16 ; Signed Integer ; ++----------------+-------+-----------------------------------------------------------------------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++------------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_shiftreg6:inst89|lpm_shiftreg:lpm_shiftreg_component ; ++------------------------+-------------+---------------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+-------------+---------------------------------------------------------------------------------------+ +; LPM_WIDTH ; 5 ; Signed Integer ; +; LPM_DIRECTION ; RIGHT ; Untyped ; +; LPM_AVALUE ; UNUSED ; Untyped ; +; LPM_SVALUE ; UNUSED ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; ++------------------------+-------------+---------------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++-------------------------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|lpm_bustri_BYT:$00002|lpm_bustri:lpm_bustri_component ; ++----------------+-------+------------------------------------------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++----------------+-------+------------------------------------------------------------------------------------------------------------------+ +; LPM_WIDTH ; 8 ; Signed Integer ; ++----------------+-------+------------------------------------------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++-------------------------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|lpm_bustri_BYT:$00004|lpm_bustri:lpm_bustri_component ; ++----------------+-------+------------------------------------------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++----------------+-------+------------------------------------------------------------------------------------------------------------------+ +; LPM_WIDTH ; 8 ; Signed Integer ; ++----------------+-------+------------------------------------------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++---------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component ; ++-------------------------+-------------+-----------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++-------------------------+-------------+-----------------------------------------------------------------------+ +; WIDTH_BYTEENA ; 1 ; Untyped ; +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; +; LPM_WIDTH ; 128 ; Signed Integer ; +; LPM_NUMWORDS ; 512 ; Signed Integer ; +; LPM_WIDTHU ; 9 ; Signed Integer ; +; LPM_SHOWAHEAD ; OFF ; Untyped ; +; UNDERFLOW_CHECKING ; OFF ; Untyped ; +; OVERFLOW_CHECKING ; OFF ; Untyped ; +; USE_EAB ; ON ; Untyped ; +; ADD_RAM_OUTPUT_REGISTER ; OFF ; Untyped ; +; DELAY_RDUSEDW ; 1 ; Untyped ; +; DELAY_WRUSEDW ; 1 ; Untyped ; +; RDSYNC_DELAYPIPE ; 6 ; Signed Integer ; +; WRSYNC_DELAYPIPE ; 6 ; Signed Integer ; +; CLOCKS_ARE_SYNCHRONIZED ; FALSE ; Untyped ; +; MAXIMIZE_SPEED ; 5 ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; ADD_USEDW_MSB_BIT ; OFF ; Untyped ; +; WRITE_ACLR_SYNCH ; ON ; Untyped ; +; READ_ACLR_SYNCH ; OFF ; Untyped ; +; CBXI_PARAMETER ; dcfifo_8fi1 ; Untyped ; ++-------------------------+-------------+-----------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++------------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_shiftreg4:inst26|lpm_shiftreg:lpm_shiftreg_component ; ++------------------------+-------------+---------------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+-------------+---------------------------------------------------------------------------------------+ +; LPM_WIDTH ; 5 ; Signed Integer ; +; LPM_DIRECTION ; RIGHT ; Untyped ; +; LPM_AVALUE ; UNUSED ; Untyped ; +; LPM_SVALUE ; UNUSED ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; ++------------------------+-------------+---------------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_muxVDM:inst100|LPM_MUX:lpm_mux_component ; ++------------------------+-------------+---------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+-------------+---------------------------------------------------------------------------+ +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; +; LPM_WIDTH ; 128 ; Signed Integer ; +; LPM_SIZE ; 16 ; Signed Integer ; +; LPM_WIDTHS ; 4 ; Signed Integer ; +; LPM_PIPELINE ; 0 ; Signed Integer ; +; CBXI_PARAMETER ; mux_bbe ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; ++------------------------+-------------+---------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff6:inst94|lpm_ff:lpm_ff_component ; ++------------------------+-------------+---------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+-------------+---------------------------------------------------------------------+ +; LPM_WIDTH ; 128 ; Signed Integer ; +; LPM_AVALUE ; UNUSED ; Untyped ; +; LPM_SVALUE ; UNUSED ; Untyped ; +; LPM_FFTYPE ; DFF ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; CBXI_PARAMETER ; NOTHING ; Untyped ; +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; ++------------------------+-------------+---------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff6:inst71|lpm_ff:lpm_ff_component ; ++------------------------+-------------+---------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+-------------+---------------------------------------------------------------------+ +; LPM_WIDTH ; 128 ; Signed Integer ; +; LPM_AVALUE ; UNUSED ; Untyped ; +; LPM_SVALUE ; UNUSED ; Untyped ; +; LPM_FFTYPE ; DFF ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; CBXI_PARAMETER ; NOTHING ; Untyped ; +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; ++------------------------+-------------+---------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++-----------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff1:inst4|lpm_ff:lpm_ff_component ; ++------------------------+-------------+--------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+-------------+--------------------------------------------------------------------+ +; LPM_WIDTH ; 32 ; Signed Integer ; +; LPM_AVALUE ; UNUSED ; Untyped ; +; LPM_SVALUE ; UNUSED ; Untyped ; +; LPM_FFTYPE ; DFF ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; CBXI_PARAMETER ; NOTHING ; Untyped ; +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; ++------------------------+-------------+--------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++-----------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff1:inst3|lpm_ff:lpm_ff_component ; ++------------------------+-------------+--------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+-------------+--------------------------------------------------------------------+ +; LPM_WIDTH ; 32 ; Signed Integer ; +; LPM_AVALUE ; UNUSED ; Untyped ; +; LPM_SVALUE ; UNUSED ; Untyped ; +; LPM_FFTYPE ; DFF ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; CBXI_PARAMETER ; NOTHING ; Untyped ; +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; ++------------------------+-------------+--------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++--------------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component ; ++--------------------------+----------------+------------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++--------------------------+----------------+------------------------------------------------------------------------------------+ +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; +; WIDTH ; 32 ; Signed Integer ; +; POWER_UP_HIGH ; OFF ; Untyped ; +; OE_REG ; UNUSED ; Untyped ; +; extend_oe_disable ; UNUSED ; Untyped ; +; IMPLEMENT_INPUT_IN_LCELL ; ON ; Untyped ; +; INTENDED_DEVICE_FAMILY ; Cyclone III ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; CBXI_PARAMETER ; ddio_bidir_3jl ; Untyped ; ++--------------------------+----------------+------------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++---------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_mux5:inst22|LPM_MUX:lpm_mux_component ; ++------------------------+-------------+------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+-------------+------------------------------------------------------------------------+ +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; +; LPM_WIDTH ; 64 ; Signed Integer ; +; LPM_SIZE ; 4 ; Signed Integer ; +; LPM_WIDTHS ; 2 ; Signed Integer ; +; LPM_PIPELINE ; 0 ; Signed Integer ; +; CBXI_PARAMETER ; mux_58e ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; ++------------------------+-------------+------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component ; ++------------------------+-------------+---------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+-------------+---------------------------------------------------------------------+ +; LPM_WIDTH ; 32 ; Signed Integer ; +; LPM_AVALUE ; UNUSED ; Untyped ; +; LPM_SVALUE ; UNUSED ; Untyped ; +; LPM_FFTYPE ; DFF ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; CBXI_PARAMETER ; NOTHING ; Untyped ; +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; ++------------------------+-------------+---------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff0:inst13|lpm_ff:lpm_ff_component ; ++------------------------+-------------+---------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+-------------+---------------------------------------------------------------------+ +; LPM_WIDTH ; 32 ; Signed Integer ; +; LPM_AVALUE ; UNUSED ; Untyped ; +; LPM_SVALUE ; UNUSED ; Untyped ; +; LPM_FFTYPE ; DFF ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; CBXI_PARAMETER ; NOTHING ; Untyped ; +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; ++------------------------+-------------+---------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff0:inst15|lpm_ff:lpm_ff_component ; ++------------------------+-------------+---------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+-------------+---------------------------------------------------------------------+ +; LPM_WIDTH ; 32 ; Signed Integer ; +; LPM_AVALUE ; UNUSED ; Untyped ; +; LPM_SVALUE ; UNUSED ; Untyped ; +; LPM_FFTYPE ; DFF ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; CBXI_PARAMETER ; NOTHING ; Untyped ; +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; ++------------------------+-------------+---------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff0:inst16|lpm_ff:lpm_ff_component ; ++------------------------+-------------+---------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+-------------+---------------------------------------------------------------------+ +; LPM_WIDTH ; 32 ; Signed Integer ; +; LPM_AVALUE ; UNUSED ; Untyped ; +; LPM_SVALUE ; UNUSED ; Untyped ; +; LPM_FFTYPE ; DFF ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; CBXI_PARAMETER ; NOTHING ; Untyped ; +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; ++------------------------+-------------+---------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff1:inst20|lpm_ff:lpm_ff_component ; ++------------------------+-------------+---------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+-------------+---------------------------------------------------------------------+ +; LPM_WIDTH ; 32 ; Signed Integer ; +; LPM_AVALUE ; UNUSED ; Untyped ; +; LPM_SVALUE ; UNUSED ; Untyped ; +; LPM_FFTYPE ; DFF ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; CBXI_PARAMETER ; NOTHING ; Untyped ; +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; ++------------------------+-------------+---------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff1:inst12|lpm_ff:lpm_ff_component ; ++------------------------+-------------+---------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+-------------+---------------------------------------------------------------------+ +; LPM_WIDTH ; 32 ; Signed Integer ; +; LPM_AVALUE ; UNUSED ; Untyped ; +; LPM_SVALUE ; UNUSED ; Untyped ; +; LPM_FFTYPE ; DFF ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; CBXI_PARAMETER ; NOTHING ; Untyped ; +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; ++------------------------+-------------+---------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff6:inst36|lpm_ff:lpm_ff_component ; ++------------------------+-------------+---------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+-------------+---------------------------------------------------------------------+ +; LPM_WIDTH ; 128 ; Signed Integer ; +; LPM_AVALUE ; UNUSED ; Untyped ; +; LPM_SVALUE ; UNUSED ; Untyped ; +; LPM_FFTYPE ; DFF ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; CBXI_PARAMETER ; NOTHING ; Untyped ; +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; ++------------------------+-------------+---------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++-----------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_bustri_LONG:inst108|lpm_bustri:lpm_bustri_component ; ++----------------+-------+----------------------------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++----------------+-------+----------------------------------------------------------------------------------------------------+ +; LPM_WIDTH ; 32 ; Signed Integer ; ++----------------+-------+----------------------------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++---------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component ; ++----------------+--------+-------------------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++----------------+--------+-------------------------------------------------------------------------------------------+ +; LPM_WIDTH ; 32 ; Signed Integer ; +; LPM_AVALUE ; UNUSED ; Untyped ; ++----------------+--------+-------------------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++-----------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_bustri_LONG:inst119|lpm_bustri:lpm_bustri_component ; ++----------------+-------+----------------------------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++----------------+-------+----------------------------------------------------------------------------------------------------+ +; LPM_WIDTH ; 32 ; Signed Integer ; ++----------------+-------+----------------------------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff0:inst19|lpm_ff:lpm_ff_component ; ++------------------------+-------------+---------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+-------------+---------------------------------------------------------------------+ +; LPM_WIDTH ; 32 ; Signed Integer ; +; LPM_AVALUE ; UNUSED ; Untyped ; +; LPM_SVALUE ; UNUSED ; Untyped ; +; LPM_FFTYPE ; DFF ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; CBXI_PARAMETER ; NOTHING ; Untyped ; +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; ++------------------------+-------------+---------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++------------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_shiftreg6:inst92|lpm_shiftreg:lpm_shiftreg_component ; ++------------------------+-------------+---------------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+-------------+---------------------------------------------------------------------------------------+ +; LPM_WIDTH ; 5 ; Signed Integer ; +; LPM_DIRECTION ; RIGHT ; Untyped ; +; LPM_AVALUE ; UNUSED ; Untyped ; +; LPM_SVALUE ; UNUSED ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; ++------------------------+-------------+---------------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++-----------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_bustri_LONG:inst110|lpm_bustri:lpm_bustri_component ; ++----------------+-------+----------------------------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++----------------+-------+----------------------------------------------------------------------------------------------------+ +; LPM_WIDTH ; 32 ; Signed Integer ; ++----------------+-------+----------------------------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff0:inst18|lpm_ff:lpm_ff_component ; ++------------------------+-------------+---------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+-------------+---------------------------------------------------------------------+ +; LPM_WIDTH ; 32 ; Signed Integer ; +; LPM_AVALUE ; UNUSED ; Untyped ; +; LPM_SVALUE ; UNUSED ; Untyped ; +; LPM_FFTYPE ; DFF ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; CBXI_PARAMETER ; NOTHING ; Untyped ; +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; ++------------------------+-------------+---------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++-----------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_bustri_LONG:inst109|lpm_bustri:lpm_bustri_component ; ++----------------+-------+----------------------------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++----------------+-------+----------------------------------------------------------------------------------------------------+ +; LPM_WIDTH ; 32 ; Signed Integer ; ++----------------+-------+----------------------------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff0:inst17|lpm_ff:lpm_ff_component ; ++------------------------+-------------+---------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+-------------+---------------------------------------------------------------------+ +; LPM_WIDTH ; 32 ; Signed Integer ; +; LPM_AVALUE ; UNUSED ; Untyped ; +; LPM_SVALUE ; UNUSED ; Untyped ; +; LPM_FFTYPE ; DFF ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; CBXI_PARAMETER ; NOTHING ; Untyped ; +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; ++------------------------+-------------+---------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_bustri3:inst66|lpm_bustri:lpm_bustri_component ; ++----------------+-------+-----------------------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++----------------+-------+-----------------------------------------------------------------------------------------------+ +; LPM_WIDTH ; 6 ; Signed Integer ; ++----------------+-------+-----------------------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++-------------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_RED|altsyncram:altsyncram_component ; ++------------------------------------+-----------------+------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------------------+-----------------+------------------------------------------------------------------------+ +; BYTE_SIZE_BLOCK ; 8 ; Untyped ; +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; +; WIDTH_BYTEENA ; 1 ; Untyped ; +; OPERATION_MODE ; BIDIR_DUAL_PORT ; Untyped ; +; WIDTH_A ; 6 ; Signed Integer ; +; WIDTHAD_A ; 8 ; Signed Integer ; +; NUMWORDS_A ; 256 ; Signed Integer ; +; OUTDATA_REG_A ; CLOCK0 ; Untyped ; +; ADDRESS_ACLR_A ; NONE ; Untyped ; +; OUTDATA_ACLR_A ; NONE ; Untyped ; +; WRCONTROL_ACLR_A ; NONE ; Untyped ; +; INDATA_ACLR_A ; NONE ; Untyped ; +; BYTEENA_ACLR_A ; NONE ; Untyped ; +; WIDTH_B ; 6 ; Signed Integer ; +; WIDTHAD_B ; 8 ; Signed Integer ; +; NUMWORDS_B ; 256 ; Signed Integer ; +; INDATA_REG_B ; CLOCK1 ; Untyped ; +; WRCONTROL_WRADDRESS_REG_B ; CLOCK1 ; Untyped ; +; RDCONTROL_REG_B ; CLOCK1 ; Untyped ; +; ADDRESS_REG_B ; CLOCK1 ; Untyped ; +; OUTDATA_REG_B ; CLOCK1 ; Untyped ; +; BYTEENA_REG_B ; CLOCK1 ; Untyped ; +; INDATA_ACLR_B ; NONE ; Untyped ; +; WRCONTROL_ACLR_B ; NONE ; Untyped ; +; ADDRESS_ACLR_B ; NONE ; Untyped ; +; OUTDATA_ACLR_B ; NONE ; Untyped ; +; RDCONTROL_ACLR_B ; NONE ; Untyped ; +; BYTEENA_ACLR_B ; NONE ; Untyped ; +; WIDTH_BYTEENA_A ; 1 ; Signed Integer ; +; WIDTH_BYTEENA_B ; 1 ; Signed Integer ; +; RAM_BLOCK_TYPE ; AUTO ; Untyped ; +; BYTE_SIZE ; 8 ; Untyped ; +; READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; Untyped ; +; READ_DURING_WRITE_MODE_PORT_A ; OLD_DATA ; Untyped ; +; READ_DURING_WRITE_MODE_PORT_B ; OLD_DATA ; Untyped ; +; INIT_FILE ; UNUSED ; Untyped ; +; INIT_FILE_LAYOUT ; PORT_A ; Untyped ; +; MAXIMUM_DEPTH ; 0 ; Untyped ; +; CLOCK_ENABLE_INPUT_A ; BYPASS ; Untyped ; +; CLOCK_ENABLE_INPUT_B ; BYPASS ; Untyped ; +; CLOCK_ENABLE_OUTPUT_A ; BYPASS ; Untyped ; +; CLOCK_ENABLE_OUTPUT_B ; BYPASS ; Untyped ; +; CLOCK_ENABLE_CORE_A ; USE_INPUT_CLKEN ; Untyped ; +; CLOCK_ENABLE_CORE_B ; USE_INPUT_CLKEN ; Untyped ; +; ENABLE_ECC ; FALSE ; Untyped ; +; ECC_PIPELINE_STAGE_ENABLED ; FALSE ; Untyped ; +; WIDTH_ECCSTATUS ; 3 ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; CBXI_PARAMETER ; altsyncram_lf92 ; Untyped ; ++------------------------------------+-----------------+------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++---------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_shiftreg0:sr0|lpm_shiftreg:lpm_shiftreg_component ; ++------------------------+-------------+------------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+-------------+------------------------------------------------------------------------------------+ +; LPM_WIDTH ; 16 ; Signed Integer ; +; LPM_DIRECTION ; LEFT ; Untyped ; +; LPM_AVALUE ; UNUSED ; Untyped ; +; LPM_SVALUE ; UNUSED ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; ++------------------------+-------------+------------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++---------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_shiftreg0:sr4|lpm_shiftreg:lpm_shiftreg_component ; ++------------------------+-------------+------------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+-------------+------------------------------------------------------------------------------------+ +; LPM_WIDTH ; 16 ; Signed Integer ; +; LPM_DIRECTION ; LEFT ; Untyped ; +; LPM_AVALUE ; UNUSED ; Untyped ; +; LPM_SVALUE ; UNUSED ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; ++------------------------+-------------+------------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++---------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_shiftreg0:sr5|lpm_shiftreg:lpm_shiftreg_component ; ++------------------------+-------------+------------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+-------------+------------------------------------------------------------------------------------+ +; LPM_WIDTH ; 16 ; Signed Integer ; +; LPM_DIRECTION ; LEFT ; Untyped ; +; LPM_AVALUE ; UNUSED ; Untyped ; +; LPM_SVALUE ; UNUSED ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; ++------------------------+-------------+------------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++---------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_shiftreg0:sr6|lpm_shiftreg:lpm_shiftreg_component ; ++------------------------+-------------+------------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+-------------+------------------------------------------------------------------------------------+ +; LPM_WIDTH ; 16 ; Signed Integer ; +; LPM_DIRECTION ; LEFT ; Untyped ; +; LPM_AVALUE ; UNUSED ; Untyped ; +; LPM_SVALUE ; UNUSED ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; ++------------------------+-------------+------------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++---------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_shiftreg0:sr7|lpm_shiftreg:lpm_shiftreg_component ; ++------------------------+-------------+------------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+-------------+------------------------------------------------------------------------------------+ +; LPM_WIDTH ; 16 ; Signed Integer ; +; LPM_DIRECTION ; LEFT ; Untyped ; +; LPM_AVALUE ; UNUSED ; Untyped ; +; LPM_SVALUE ; UNUSED ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; ++------------------------+-------------+------------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++----------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_muxDZ:inst62|LPM_MUX:lpm_mux_component ; ++------------------------+-------------+-------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+-------------+-------------------------------------------------------------------------+ +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; +; LPM_WIDTH ; 128 ; Signed Integer ; +; LPM_SIZE ; 2 ; Signed Integer ; +; LPM_WIDTHS ; 1 ; Signed Integer ; +; LPM_PIPELINE ; 1 ; Signed Integer ; +; CBXI_PARAMETER ; mux_dcf ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; ++------------------------+-------------+-------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++---------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component ; ++-------------------------+-------------+-----------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++-------------------------+-------------+-----------------------------------------------------------------------+ +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; +; lpm_width ; 128 ; Signed Integer ; +; LPM_NUMWORDS ; 128 ; Signed Integer ; +; LPM_WIDTHU ; 7 ; Signed Integer ; +; LPM_SHOWAHEAD ; ON ; Untyped ; +; UNDERFLOW_CHECKING ; OFF ; Untyped ; +; OVERFLOW_CHECKING ; OFF ; Untyped ; +; ALLOW_RWCYCLE_WHEN_FULL ; OFF ; Untyped ; +; ADD_RAM_OUTPUT_REGISTER ; OFF ; Untyped ; +; ALMOST_FULL_VALUE ; 0 ; Untyped ; +; ALMOST_EMPTY_VALUE ; 0 ; Untyped ; +; USE_EAB ; ON ; Untyped ; +; MAXIMIZE_SPEED ; 5 ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; OPTIMIZE_FOR_SPEED ; 5 ; Untyped ; +; CBXI_PARAMETER ; scfifo_hk21 ; Untyped ; ++-------------------------+-------------+-----------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++---------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_shiftreg0:sr1|lpm_shiftreg:lpm_shiftreg_component ; ++------------------------+-------------+------------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+-------------+------------------------------------------------------------------------------------+ +; LPM_WIDTH ; 16 ; Signed Integer ; +; LPM_DIRECTION ; LEFT ; Untyped ; +; LPM_AVALUE ; UNUSED ; Untyped ; +; LPM_SVALUE ; UNUSED ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; ++------------------------+-------------+------------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++---------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_shiftreg0:sr2|lpm_shiftreg:lpm_shiftreg_component ; ++------------------------+-------------+------------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+-------------+------------------------------------------------------------------------------------+ +; LPM_WIDTH ; 16 ; Signed Integer ; +; LPM_DIRECTION ; LEFT ; Untyped ; +; LPM_AVALUE ; UNUSED ; Untyped ; +; LPM_SVALUE ; UNUSED ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; ++------------------------+-------------+------------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++---------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_shiftreg0:sr3|lpm_shiftreg:lpm_shiftreg_component ; ++------------------------+-------------+------------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+-------------+------------------------------------------------------------------------------------+ +; LPM_WIDTH ; 16 ; Signed Integer ; +; LPM_DIRECTION ; LEFT ; Untyped ; +; LPM_AVALUE ; UNUSED ; Untyped ; +; LPM_SVALUE ; UNUSED ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; ++------------------------+-------------+------------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_bustri3:inst70|lpm_bustri:lpm_bustri_component ; ++----------------+-------+-----------------------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++----------------+-------+-----------------------------------------------------------------------------------------------+ +; LPM_WIDTH ; 6 ; Signed Integer ; ++----------------+-------+-----------------------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++---------------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_GREEN|altsyncram:altsyncram_component ; ++------------------------------------+-----------------+--------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------------------+-----------------+--------------------------------------------------------------------------+ +; BYTE_SIZE_BLOCK ; 8 ; Untyped ; +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; +; WIDTH_BYTEENA ; 1 ; Untyped ; +; OPERATION_MODE ; BIDIR_DUAL_PORT ; Untyped ; +; WIDTH_A ; 6 ; Signed Integer ; +; WIDTHAD_A ; 8 ; Signed Integer ; +; NUMWORDS_A ; 256 ; Signed Integer ; +; OUTDATA_REG_A ; CLOCK0 ; Untyped ; +; ADDRESS_ACLR_A ; NONE ; Untyped ; +; OUTDATA_ACLR_A ; NONE ; Untyped ; +; WRCONTROL_ACLR_A ; NONE ; Untyped ; +; INDATA_ACLR_A ; NONE ; Untyped ; +; BYTEENA_ACLR_A ; NONE ; Untyped ; +; WIDTH_B ; 6 ; Signed Integer ; +; WIDTHAD_B ; 8 ; Signed Integer ; +; NUMWORDS_B ; 256 ; Signed Integer ; +; INDATA_REG_B ; CLOCK1 ; Untyped ; +; WRCONTROL_WRADDRESS_REG_B ; CLOCK1 ; Untyped ; +; RDCONTROL_REG_B ; CLOCK1 ; Untyped ; +; ADDRESS_REG_B ; CLOCK1 ; Untyped ; +; OUTDATA_REG_B ; CLOCK1 ; Untyped ; +; BYTEENA_REG_B ; CLOCK1 ; Untyped ; +; INDATA_ACLR_B ; NONE ; Untyped ; +; WRCONTROL_ACLR_B ; NONE ; Untyped ; +; ADDRESS_ACLR_B ; NONE ; Untyped ; +; OUTDATA_ACLR_B ; NONE ; Untyped ; +; RDCONTROL_ACLR_B ; NONE ; Untyped ; +; BYTEENA_ACLR_B ; NONE ; Untyped ; +; WIDTH_BYTEENA_A ; 1 ; Signed Integer ; +; WIDTH_BYTEENA_B ; 1 ; Signed Integer ; +; RAM_BLOCK_TYPE ; AUTO ; Untyped ; +; BYTE_SIZE ; 8 ; Untyped ; +; READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; Untyped ; +; READ_DURING_WRITE_MODE_PORT_A ; OLD_DATA ; Untyped ; +; READ_DURING_WRITE_MODE_PORT_B ; OLD_DATA ; Untyped ; +; INIT_FILE ; UNUSED ; Untyped ; +; INIT_FILE_LAYOUT ; PORT_A ; Untyped ; +; MAXIMUM_DEPTH ; 0 ; Untyped ; +; CLOCK_ENABLE_INPUT_A ; BYPASS ; Untyped ; +; CLOCK_ENABLE_INPUT_B ; BYPASS ; Untyped ; +; CLOCK_ENABLE_OUTPUT_A ; BYPASS ; Untyped ; +; CLOCK_ENABLE_OUTPUT_B ; BYPASS ; Untyped ; +; CLOCK_ENABLE_CORE_A ; USE_INPUT_CLKEN ; Untyped ; +; CLOCK_ENABLE_CORE_B ; USE_INPUT_CLKEN ; Untyped ; +; ENABLE_ECC ; FALSE ; Untyped ; +; ECC_PIPELINE_STAGE_ENABLED ; FALSE ; Untyped ; +; WIDTH_ECCSTATUS ; 3 ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; CBXI_PARAMETER ; altsyncram_lf92 ; Untyped ; ++------------------------------------+-----------------+--------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_bustri3:inst74|lpm_bustri:lpm_bustri_component ; ++----------------+-------+-----------------------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++----------------+-------+-----------------------------------------------------------------------------------------------+ +; LPM_WIDTH ; 6 ; Signed Integer ; ++----------------+-------+-----------------------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++--------------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_BLUE|altsyncram:altsyncram_component ; ++------------------------------------+-----------------+-------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------------------+-----------------+-------------------------------------------------------------------------+ +; BYTE_SIZE_BLOCK ; 8 ; Untyped ; +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; +; WIDTH_BYTEENA ; 1 ; Untyped ; +; OPERATION_MODE ; BIDIR_DUAL_PORT ; Untyped ; +; WIDTH_A ; 6 ; Signed Integer ; +; WIDTHAD_A ; 8 ; Signed Integer ; +; NUMWORDS_A ; 256 ; Signed Integer ; +; OUTDATA_REG_A ; CLOCK0 ; Untyped ; +; ADDRESS_ACLR_A ; NONE ; Untyped ; +; OUTDATA_ACLR_A ; NONE ; Untyped ; +; WRCONTROL_ACLR_A ; NONE ; Untyped ; +; INDATA_ACLR_A ; NONE ; Untyped ; +; BYTEENA_ACLR_A ; NONE ; Untyped ; +; WIDTH_B ; 6 ; Signed Integer ; +; WIDTHAD_B ; 8 ; Signed Integer ; +; NUMWORDS_B ; 256 ; Signed Integer ; +; INDATA_REG_B ; CLOCK1 ; Untyped ; +; WRCONTROL_WRADDRESS_REG_B ; CLOCK1 ; Untyped ; +; RDCONTROL_REG_B ; CLOCK1 ; Untyped ; +; ADDRESS_REG_B ; CLOCK1 ; Untyped ; +; OUTDATA_REG_B ; CLOCK1 ; Untyped ; +; BYTEENA_REG_B ; CLOCK1 ; Untyped ; +; INDATA_ACLR_B ; NONE ; Untyped ; +; WRCONTROL_ACLR_B ; NONE ; Untyped ; +; ADDRESS_ACLR_B ; NONE ; Untyped ; +; OUTDATA_ACLR_B ; NONE ; Untyped ; +; RDCONTROL_ACLR_B ; NONE ; Untyped ; +; BYTEENA_ACLR_B ; NONE ; Untyped ; +; WIDTH_BYTEENA_A ; 1 ; Signed Integer ; +; WIDTH_BYTEENA_B ; 1 ; Signed Integer ; +; RAM_BLOCK_TYPE ; AUTO ; Untyped ; +; BYTE_SIZE ; 8 ; Untyped ; +; READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; Untyped ; +; READ_DURING_WRITE_MODE_PORT_A ; OLD_DATA ; Untyped ; +; READ_DURING_WRITE_MODE_PORT_B ; OLD_DATA ; Untyped ; +; INIT_FILE ; UNUSED ; Untyped ; +; INIT_FILE_LAYOUT ; PORT_A ; Untyped ; +; MAXIMUM_DEPTH ; 0 ; Untyped ; +; CLOCK_ENABLE_INPUT_A ; BYPASS ; Untyped ; +; CLOCK_ENABLE_INPUT_B ; BYPASS ; Untyped ; +; CLOCK_ENABLE_OUTPUT_A ; BYPASS ; Untyped ; +; CLOCK_ENABLE_OUTPUT_B ; BYPASS ; Untyped ; +; CLOCK_ENABLE_CORE_A ; USE_INPUT_CLKEN ; Untyped ; +; CLOCK_ENABLE_CORE_B ; USE_INPUT_CLKEN ; Untyped ; +; ENABLE_ECC ; FALSE ; Untyped ; +; ECC_PIPELINE_STAGE_ENABLED ; FALSE ; Untyped ; +; WIDTH_ECCSTATUS ; 3 ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; CBXI_PARAMETER ; altsyncram_lf92 ; Untyped ; ++------------------------------------+-----------------+-------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_bustri1:inst51|lpm_bustri:lpm_bustri_component ; ++----------------+-------+-----------------------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++----------------+-------+-----------------------------------------------------------------------------------------------+ +; LPM_WIDTH ; 3 ; Signed Integer ; ++----------------+-------+-----------------------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++---------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|altdpram0:ST_CLUT_RED|altsyncram:altsyncram_component ; ++------------------------------------+-----------------+--------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------------------+-----------------+--------------------------------------------------------------------+ +; BYTE_SIZE_BLOCK ; 8 ; Untyped ; +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; +; WIDTH_BYTEENA ; 1 ; Untyped ; +; OPERATION_MODE ; BIDIR_DUAL_PORT ; Untyped ; +; WIDTH_A ; 3 ; Signed Integer ; +; WIDTHAD_A ; 4 ; Signed Integer ; +; NUMWORDS_A ; 16 ; Signed Integer ; +; OUTDATA_REG_A ; CLOCK0 ; Untyped ; +; ADDRESS_ACLR_A ; NONE ; Untyped ; +; OUTDATA_ACLR_A ; NONE ; Untyped ; +; WRCONTROL_ACLR_A ; NONE ; Untyped ; +; INDATA_ACLR_A ; NONE ; Untyped ; +; BYTEENA_ACLR_A ; NONE ; Untyped ; +; WIDTH_B ; 3 ; Signed Integer ; +; WIDTHAD_B ; 4 ; Signed Integer ; +; NUMWORDS_B ; 16 ; Signed Integer ; +; INDATA_REG_B ; CLOCK1 ; Untyped ; +; WRCONTROL_WRADDRESS_REG_B ; CLOCK1 ; Untyped ; +; RDCONTROL_REG_B ; CLOCK1 ; Untyped ; +; ADDRESS_REG_B ; CLOCK1 ; Untyped ; +; OUTDATA_REG_B ; CLOCK1 ; Untyped ; +; BYTEENA_REG_B ; CLOCK1 ; Untyped ; +; INDATA_ACLR_B ; NONE ; Untyped ; +; WRCONTROL_ACLR_B ; NONE ; Untyped ; +; ADDRESS_ACLR_B ; NONE ; Untyped ; +; OUTDATA_ACLR_B ; NONE ; Untyped ; +; RDCONTROL_ACLR_B ; NONE ; Untyped ; +; BYTEENA_ACLR_B ; NONE ; Untyped ; +; WIDTH_BYTEENA_A ; 1 ; Signed Integer ; +; WIDTH_BYTEENA_B ; 1 ; Signed Integer ; +; RAM_BLOCK_TYPE ; AUTO ; Untyped ; +; BYTE_SIZE ; 8 ; Untyped ; +; READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; Untyped ; +; READ_DURING_WRITE_MODE_PORT_A ; OLD_DATA ; Untyped ; +; READ_DURING_WRITE_MODE_PORT_B ; OLD_DATA ; Untyped ; +; INIT_FILE ; UNUSED ; Untyped ; +; INIT_FILE_LAYOUT ; PORT_A ; Untyped ; +; MAXIMUM_DEPTH ; 0 ; Untyped ; +; CLOCK_ENABLE_INPUT_A ; BYPASS ; Untyped ; +; CLOCK_ENABLE_INPUT_B ; BYPASS ; Untyped ; +; CLOCK_ENABLE_OUTPUT_A ; BYPASS ; Untyped ; +; CLOCK_ENABLE_OUTPUT_B ; BYPASS ; Untyped ; +; CLOCK_ENABLE_CORE_A ; USE_INPUT_CLKEN ; Untyped ; +; CLOCK_ENABLE_CORE_B ; USE_INPUT_CLKEN ; Untyped ; +; ENABLE_ECC ; FALSE ; Untyped ; +; ECC_PIPELINE_STAGE_ENABLED ; FALSE ; Untyped ; +; WIDTH_ECCSTATUS ; 3 ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; CBXI_PARAMETER ; altsyncram_rb92 ; Untyped ; ++------------------------------------+-----------------+--------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_bustri1:inst56|lpm_bustri:lpm_bustri_component ; ++----------------+-------+-----------------------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++----------------+-------+-----------------------------------------------------------------------------------------------+ +; LPM_WIDTH ; 3 ; Signed Integer ; ++----------------+-------+-----------------------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++-----------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|altdpram0:ST_CLUT_GREEN|altsyncram:altsyncram_component ; ++------------------------------------+-----------------+----------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------------------+-----------------+----------------------------------------------------------------------+ +; BYTE_SIZE_BLOCK ; 8 ; Untyped ; +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; +; WIDTH_BYTEENA ; 1 ; Untyped ; +; OPERATION_MODE ; BIDIR_DUAL_PORT ; Untyped ; +; WIDTH_A ; 3 ; Signed Integer ; +; WIDTHAD_A ; 4 ; Signed Integer ; +; NUMWORDS_A ; 16 ; Signed Integer ; +; OUTDATA_REG_A ; CLOCK0 ; Untyped ; +; ADDRESS_ACLR_A ; NONE ; Untyped ; +; OUTDATA_ACLR_A ; NONE ; Untyped ; +; WRCONTROL_ACLR_A ; NONE ; Untyped ; +; INDATA_ACLR_A ; NONE ; Untyped ; +; BYTEENA_ACLR_A ; NONE ; Untyped ; +; WIDTH_B ; 3 ; Signed Integer ; +; WIDTHAD_B ; 4 ; Signed Integer ; +; NUMWORDS_B ; 16 ; Signed Integer ; +; INDATA_REG_B ; CLOCK1 ; Untyped ; +; WRCONTROL_WRADDRESS_REG_B ; CLOCK1 ; Untyped ; +; RDCONTROL_REG_B ; CLOCK1 ; Untyped ; +; ADDRESS_REG_B ; CLOCK1 ; Untyped ; +; OUTDATA_REG_B ; CLOCK1 ; Untyped ; +; BYTEENA_REG_B ; CLOCK1 ; Untyped ; +; INDATA_ACLR_B ; NONE ; Untyped ; +; WRCONTROL_ACLR_B ; NONE ; Untyped ; +; ADDRESS_ACLR_B ; NONE ; Untyped ; +; OUTDATA_ACLR_B ; NONE ; Untyped ; +; RDCONTROL_ACLR_B ; NONE ; Untyped ; +; BYTEENA_ACLR_B ; NONE ; Untyped ; +; WIDTH_BYTEENA_A ; 1 ; Signed Integer ; +; WIDTH_BYTEENA_B ; 1 ; Signed Integer ; +; RAM_BLOCK_TYPE ; AUTO ; Untyped ; +; BYTE_SIZE ; 8 ; Untyped ; +; READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; Untyped ; +; READ_DURING_WRITE_MODE_PORT_A ; OLD_DATA ; Untyped ; +; READ_DURING_WRITE_MODE_PORT_B ; OLD_DATA ; Untyped ; +; INIT_FILE ; UNUSED ; Untyped ; +; INIT_FILE_LAYOUT ; PORT_A ; Untyped ; +; MAXIMUM_DEPTH ; 0 ; Untyped ; +; CLOCK_ENABLE_INPUT_A ; BYPASS ; Untyped ; +; CLOCK_ENABLE_INPUT_B ; BYPASS ; Untyped ; +; CLOCK_ENABLE_OUTPUT_A ; BYPASS ; Untyped ; +; CLOCK_ENABLE_OUTPUT_B ; BYPASS ; Untyped ; +; CLOCK_ENABLE_CORE_A ; USE_INPUT_CLKEN ; Untyped ; +; CLOCK_ENABLE_CORE_B ; USE_INPUT_CLKEN ; Untyped ; +; ENABLE_ECC ; FALSE ; Untyped ; +; ECC_PIPELINE_STAGE_ENABLED ; FALSE ; Untyped ; +; WIDTH_ECCSTATUS ; 3 ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; CBXI_PARAMETER ; altsyncram_rb92 ; Untyped ; ++------------------------------------+-----------------+----------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_bustri1:inst61|lpm_bustri:lpm_bustri_component ; ++----------------+-------+-----------------------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++----------------+-------+-----------------------------------------------------------------------------------------------+ +; LPM_WIDTH ; 3 ; Signed Integer ; ++----------------+-------+-----------------------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++----------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|altdpram0:ST_CLUT_BLUE|altsyncram:altsyncram_component ; ++------------------------------------+-----------------+---------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------------------+-----------------+---------------------------------------------------------------------+ +; BYTE_SIZE_BLOCK ; 8 ; Untyped ; +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; +; WIDTH_BYTEENA ; 1 ; Untyped ; +; OPERATION_MODE ; BIDIR_DUAL_PORT ; Untyped ; +; WIDTH_A ; 3 ; Signed Integer ; +; WIDTHAD_A ; 4 ; Signed Integer ; +; NUMWORDS_A ; 16 ; Signed Integer ; +; OUTDATA_REG_A ; CLOCK0 ; Untyped ; +; ADDRESS_ACLR_A ; NONE ; Untyped ; +; OUTDATA_ACLR_A ; NONE ; Untyped ; +; WRCONTROL_ACLR_A ; NONE ; Untyped ; +; INDATA_ACLR_A ; NONE ; Untyped ; +; BYTEENA_ACLR_A ; NONE ; Untyped ; +; WIDTH_B ; 3 ; Signed Integer ; +; WIDTHAD_B ; 4 ; Signed Integer ; +; NUMWORDS_B ; 16 ; Signed Integer ; +; INDATA_REG_B ; CLOCK1 ; Untyped ; +; WRCONTROL_WRADDRESS_REG_B ; CLOCK1 ; Untyped ; +; RDCONTROL_REG_B ; CLOCK1 ; Untyped ; +; ADDRESS_REG_B ; CLOCK1 ; Untyped ; +; OUTDATA_REG_B ; CLOCK1 ; Untyped ; +; BYTEENA_REG_B ; CLOCK1 ; Untyped ; +; INDATA_ACLR_B ; NONE ; Untyped ; +; WRCONTROL_ACLR_B ; NONE ; Untyped ; +; ADDRESS_ACLR_B ; NONE ; Untyped ; +; OUTDATA_ACLR_B ; NONE ; Untyped ; +; RDCONTROL_ACLR_B ; NONE ; Untyped ; +; BYTEENA_ACLR_B ; NONE ; Untyped ; +; WIDTH_BYTEENA_A ; 1 ; Signed Integer ; +; WIDTH_BYTEENA_B ; 1 ; Signed Integer ; +; RAM_BLOCK_TYPE ; AUTO ; Untyped ; +; BYTE_SIZE ; 8 ; Untyped ; +; READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; Untyped ; +; READ_DURING_WRITE_MODE_PORT_A ; OLD_DATA ; Untyped ; +; READ_DURING_WRITE_MODE_PORT_B ; OLD_DATA ; Untyped ; +; INIT_FILE ; UNUSED ; Untyped ; +; INIT_FILE_LAYOUT ; PORT_A ; Untyped ; +; MAXIMUM_DEPTH ; 0 ; Untyped ; +; CLOCK_ENABLE_INPUT_A ; BYPASS ; Untyped ; +; CLOCK_ENABLE_INPUT_B ; BYPASS ; Untyped ; +; CLOCK_ENABLE_OUTPUT_A ; BYPASS ; Untyped ; +; CLOCK_ENABLE_OUTPUT_B ; BYPASS ; Untyped ; +; CLOCK_ENABLE_CORE_A ; USE_INPUT_CLKEN ; Untyped ; +; CLOCK_ENABLE_CORE_B ; USE_INPUT_CLKEN ; Untyped ; +; ENABLE_ECC ; FALSE ; Untyped ; +; ECC_PIPELINE_STAGE_ENABLED ; FALSE ; Untyped ; +; WIDTH_ECCSTATUS ; 3 ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; CBXI_PARAMETER ; altsyncram_rb92 ; Untyped ; ++------------------------------------+-----------------+---------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++---------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_bustri_BYT:inst58|lpm_bustri:lpm_bustri_component ; ++----------------+-------+--------------------------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++----------------+-------+--------------------------------------------------------------------------------------------------+ +; LPM_WIDTH ; 8 ; Signed Integer ; ++----------------+-------+--------------------------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++------------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55|altsyncram:altsyncram_component ; ++------------------------------------+-----------------+-----------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------------------+-----------------+-----------------------------------------------------------------------+ +; BYTE_SIZE_BLOCK ; 8 ; Untyped ; +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; +; WIDTH_BYTEENA ; 1 ; Untyped ; +; OPERATION_MODE ; BIDIR_DUAL_PORT ; Untyped ; +; WIDTH_A ; 8 ; Signed Integer ; +; WIDTHAD_A ; 8 ; Signed Integer ; +; NUMWORDS_A ; 256 ; Signed Integer ; +; OUTDATA_REG_A ; CLOCK0 ; Untyped ; +; ADDRESS_ACLR_A ; NONE ; Untyped ; +; OUTDATA_ACLR_A ; NONE ; Untyped ; +; WRCONTROL_ACLR_A ; NONE ; Untyped ; +; INDATA_ACLR_A ; NONE ; Untyped ; +; BYTEENA_ACLR_A ; NONE ; Untyped ; +; WIDTH_B ; 8 ; Signed Integer ; +; WIDTHAD_B ; 8 ; Signed Integer ; +; NUMWORDS_B ; 256 ; Signed Integer ; +; INDATA_REG_B ; CLOCK1 ; Untyped ; +; WRCONTROL_WRADDRESS_REG_B ; CLOCK1 ; Untyped ; +; RDCONTROL_REG_B ; CLOCK1 ; Untyped ; +; ADDRESS_REG_B ; CLOCK1 ; Untyped ; +; OUTDATA_REG_B ; CLOCK1 ; Untyped ; +; BYTEENA_REG_B ; CLOCK1 ; Untyped ; +; INDATA_ACLR_B ; NONE ; Untyped ; +; WRCONTROL_ACLR_B ; NONE ; Untyped ; +; ADDRESS_ACLR_B ; NONE ; Untyped ; +; OUTDATA_ACLR_B ; NONE ; Untyped ; +; RDCONTROL_ACLR_B ; NONE ; Untyped ; +; BYTEENA_ACLR_B ; NONE ; Untyped ; +; WIDTH_BYTEENA_A ; 1 ; Signed Integer ; +; WIDTH_BYTEENA_B ; 1 ; Signed Integer ; +; RAM_BLOCK_TYPE ; AUTO ; Untyped ; +; BYTE_SIZE ; 8 ; Untyped ; +; READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; Untyped ; +; READ_DURING_WRITE_MODE_PORT_A ; OLD_DATA ; Untyped ; +; READ_DURING_WRITE_MODE_PORT_B ; OLD_DATA ; Untyped ; +; INIT_FILE ; UNUSED ; Untyped ; +; INIT_FILE_LAYOUT ; PORT_A ; Untyped ; +; MAXIMUM_DEPTH ; 0 ; Untyped ; +; CLOCK_ENABLE_INPUT_A ; BYPASS ; Untyped ; +; CLOCK_ENABLE_INPUT_B ; BYPASS ; Untyped ; +; CLOCK_ENABLE_OUTPUT_A ; BYPASS ; Untyped ; +; CLOCK_ENABLE_OUTPUT_B ; BYPASS ; Untyped ; +; CLOCK_ENABLE_CORE_A ; USE_INPUT_CLKEN ; Untyped ; +; CLOCK_ENABLE_CORE_B ; USE_INPUT_CLKEN ; Untyped ; +; ENABLE_ECC ; FALSE ; Untyped ; +; ECC_PIPELINE_STAGE_ENABLED ; FALSE ; Untyped ; +; WIDTH_ECCSTATUS ; 3 ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; CBXI_PARAMETER ; altsyncram_pf92 ; Untyped ; ++------------------------------------+-----------------+-----------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++----------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_mux3:inst102|LPM_MUX:lpm_mux_component ; ++------------------------+-------------+-------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+-------------+-------------------------------------------------------------------------+ +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; +; LPM_WIDTH ; 1 ; Signed Integer ; +; LPM_SIZE ; 2 ; Signed Integer ; +; LPM_WIDTHS ; 1 ; Signed Integer ; +; LPM_PIPELINE ; 0 ; Signed Integer ; +; CBXI_PARAMETER ; mux_96e ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; ++------------------------+-------------+-------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff5:inst11|lpm_ff:lpm_ff_component ; ++------------------------+-------------+---------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+-------------+---------------------------------------------------------------------+ +; LPM_WIDTH ; 8 ; Signed Integer ; +; LPM_AVALUE ; UNUSED ; Untyped ; +; LPM_SVALUE ; UNUSED ; Untyped ; +; LPM_FFTYPE ; DFF ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; CBXI_PARAMETER ; NOTHING ; Untyped ; +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; ++------------------------+-------------+---------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++---------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_mux2:inst25|LPM_MUX:lpm_mux_component ; ++------------------------+-------------+------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+-------------+------------------------------------------------------------------------+ +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; +; LPM_WIDTH ; 8 ; Signed Integer ; +; LPM_SIZE ; 16 ; Signed Integer ; +; LPM_WIDTHS ; 4 ; Signed Integer ; +; LPM_PIPELINE ; 2 ; Signed Integer ; +; CBXI_PARAMETER ; mux_mpe ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; ++------------------------+-------------+------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++---------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_mux4:inst81|LPM_MUX:lpm_mux_component ; ++------------------------+-------------+------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+-------------+------------------------------------------------------------------------+ +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; +; LPM_WIDTH ; 7 ; Signed Integer ; +; LPM_SIZE ; 2 ; Signed Integer ; +; LPM_WIDTHS ; 1 ; Signed Integer ; +; LPM_PIPELINE ; 0 ; Signed Integer ; +; CBXI_PARAMETER ; mux_f6e ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; ++------------------------+-------------+------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++------------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_constant3:inst82|lpm_constant:lpm_constant_component ; ++--------------------+------------------+--------------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++--------------------+------------------+--------------------------------------------------------------------------------------+ +; LPM_WIDTH ; 7 ; Signed Integer ; +; LPM_CVALUE ; 0 ; Signed Integer ; +; ENABLE_RUNTIME_MOD ; NO ; Untyped ; +; CBXI_PARAMETER ; lpm_constant_pf6 ; Untyped ; ++--------------------+------------------+--------------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++---------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_bustri_BYT:inst57|lpm_bustri:lpm_bustri_component ; ++----------------+-------+--------------------------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++----------------+-------+--------------------------------------------------------------------------------------------------+ +; LPM_WIDTH ; 8 ; Signed Integer ; ++----------------+-------+--------------------------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++------------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM54|altsyncram:altsyncram_component ; ++------------------------------------+-----------------+-----------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------------------+-----------------+-----------------------------------------------------------------------+ +; BYTE_SIZE_BLOCK ; 8 ; Untyped ; +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; +; WIDTH_BYTEENA ; 1 ; Untyped ; +; OPERATION_MODE ; BIDIR_DUAL_PORT ; Untyped ; +; WIDTH_A ; 8 ; Signed Integer ; +; WIDTHAD_A ; 8 ; Signed Integer ; +; NUMWORDS_A ; 256 ; Signed Integer ; +; OUTDATA_REG_A ; CLOCK0 ; Untyped ; +; ADDRESS_ACLR_A ; NONE ; Untyped ; +; OUTDATA_ACLR_A ; NONE ; Untyped ; +; WRCONTROL_ACLR_A ; NONE ; Untyped ; +; INDATA_ACLR_A ; NONE ; Untyped ; +; BYTEENA_ACLR_A ; NONE ; Untyped ; +; WIDTH_B ; 8 ; Signed Integer ; +; WIDTHAD_B ; 8 ; Signed Integer ; +; NUMWORDS_B ; 256 ; Signed Integer ; +; INDATA_REG_B ; CLOCK1 ; Untyped ; +; WRCONTROL_WRADDRESS_REG_B ; CLOCK1 ; Untyped ; +; RDCONTROL_REG_B ; CLOCK1 ; Untyped ; +; ADDRESS_REG_B ; CLOCK1 ; Untyped ; +; OUTDATA_REG_B ; CLOCK1 ; Untyped ; +; BYTEENA_REG_B ; CLOCK1 ; Untyped ; +; INDATA_ACLR_B ; NONE ; Untyped ; +; WRCONTROL_ACLR_B ; NONE ; Untyped ; +; ADDRESS_ACLR_B ; NONE ; Untyped ; +; OUTDATA_ACLR_B ; NONE ; Untyped ; +; RDCONTROL_ACLR_B ; NONE ; Untyped ; +; BYTEENA_ACLR_B ; NONE ; Untyped ; +; WIDTH_BYTEENA_A ; 1 ; Signed Integer ; +; WIDTH_BYTEENA_B ; 1 ; Signed Integer ; +; RAM_BLOCK_TYPE ; AUTO ; Untyped ; +; BYTE_SIZE ; 8 ; Untyped ; +; READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; Untyped ; +; READ_DURING_WRITE_MODE_PORT_A ; OLD_DATA ; Untyped ; +; READ_DURING_WRITE_MODE_PORT_B ; OLD_DATA ; Untyped ; +; INIT_FILE ; UNUSED ; Untyped ; +; INIT_FILE_LAYOUT ; PORT_A ; Untyped ; +; MAXIMUM_DEPTH ; 0 ; Untyped ; +; CLOCK_ENABLE_INPUT_A ; BYPASS ; Untyped ; +; CLOCK_ENABLE_INPUT_B ; BYPASS ; Untyped ; +; CLOCK_ENABLE_OUTPUT_A ; BYPASS ; Untyped ; +; CLOCK_ENABLE_OUTPUT_B ; BYPASS ; Untyped ; +; CLOCK_ENABLE_CORE_A ; USE_INPUT_CLKEN ; Untyped ; +; CLOCK_ENABLE_CORE_B ; USE_INPUT_CLKEN ; Untyped ; +; ENABLE_ECC ; FALSE ; Untyped ; +; ECC_PIPELINE_STAGE_ENABLED ; FALSE ; Untyped ; +; WIDTH_ECCSTATUS ; 3 ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; CBXI_PARAMETER ; altsyncram_pf92 ; Untyped ; ++------------------------------------+-----------------+-----------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++---------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_bustri_BYT:inst53|lpm_bustri:lpm_bustri_component ; ++----------------+-------+--------------------------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++----------------+-------+--------------------------------------------------------------------------------------------------+ +; LPM_WIDTH ; 8 ; Signed Integer ; ++----------------+-------+--------------------------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++----------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM|altsyncram:altsyncram_component ; ++------------------------------------+-----------------+---------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------------------+-----------------+---------------------------------------------------------------------+ +; BYTE_SIZE_BLOCK ; 8 ; Untyped ; +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; +; WIDTH_BYTEENA ; 1 ; Untyped ; +; OPERATION_MODE ; BIDIR_DUAL_PORT ; Untyped ; +; WIDTH_A ; 8 ; Signed Integer ; +; WIDTHAD_A ; 8 ; Signed Integer ; +; NUMWORDS_A ; 256 ; Signed Integer ; +; OUTDATA_REG_A ; CLOCK0 ; Untyped ; +; ADDRESS_ACLR_A ; NONE ; Untyped ; +; OUTDATA_ACLR_A ; NONE ; Untyped ; +; WRCONTROL_ACLR_A ; NONE ; Untyped ; +; INDATA_ACLR_A ; NONE ; Untyped ; +; BYTEENA_ACLR_A ; NONE ; Untyped ; +; WIDTH_B ; 8 ; Signed Integer ; +; WIDTHAD_B ; 8 ; Signed Integer ; +; NUMWORDS_B ; 256 ; Signed Integer ; +; INDATA_REG_B ; CLOCK1 ; Untyped ; +; WRCONTROL_WRADDRESS_REG_B ; CLOCK1 ; Untyped ; +; RDCONTROL_REG_B ; CLOCK1 ; Untyped ; +; ADDRESS_REG_B ; CLOCK1 ; Untyped ; +; OUTDATA_REG_B ; CLOCK1 ; Untyped ; +; BYTEENA_REG_B ; CLOCK1 ; Untyped ; +; INDATA_ACLR_B ; NONE ; Untyped ; +; WRCONTROL_ACLR_B ; NONE ; Untyped ; +; ADDRESS_ACLR_B ; NONE ; Untyped ; +; OUTDATA_ACLR_B ; NONE ; Untyped ; +; RDCONTROL_ACLR_B ; NONE ; Untyped ; +; BYTEENA_ACLR_B ; NONE ; Untyped ; +; WIDTH_BYTEENA_A ; 1 ; Signed Integer ; +; WIDTH_BYTEENA_B ; 1 ; Signed Integer ; +; RAM_BLOCK_TYPE ; AUTO ; Untyped ; +; BYTE_SIZE ; 8 ; Untyped ; +; READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; Untyped ; +; READ_DURING_WRITE_MODE_PORT_A ; OLD_DATA ; Untyped ; +; READ_DURING_WRITE_MODE_PORT_B ; OLD_DATA ; Untyped ; +; INIT_FILE ; UNUSED ; Untyped ; +; INIT_FILE_LAYOUT ; PORT_A ; Untyped ; +; MAXIMUM_DEPTH ; 0 ; Untyped ; +; CLOCK_ENABLE_INPUT_A ; BYPASS ; Untyped ; +; CLOCK_ENABLE_INPUT_B ; BYPASS ; Untyped ; +; CLOCK_ENABLE_OUTPUT_A ; BYPASS ; Untyped ; +; CLOCK_ENABLE_OUTPUT_B ; BYPASS ; Untyped ; +; CLOCK_ENABLE_CORE_A ; USE_INPUT_CLKEN ; Untyped ; +; CLOCK_ENABLE_CORE_B ; USE_INPUT_CLKEN ; Untyped ; +; ENABLE_ECC ; FALSE ; Untyped ; +; ECC_PIPELINE_STAGE_ENABLED ; FALSE ; Untyped ; +; WIDTH_ECCSTATUS ; 3 ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; CBXI_PARAMETER ; altsyncram_pf92 ; Untyped ; ++------------------------------------+-----------------+---------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++--------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|altddio_out2:inst5|altddio_out:altddio_out_component ; ++------------------------+--------------+----------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+--------------+----------------------------------------------------------------------------------+ +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; +; WIDTH ; 24 ; Signed Integer ; +; POWER_UP_HIGH ; OFF ; Untyped ; +; OE_REG ; UNUSED ; Untyped ; +; extend_oe_disable ; UNUSED ; Untyped ; +; INTENDED_DEVICE_FAMILY ; Cyclone III ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; CBXI_PARAMETER ; ddio_out_o2f ; Untyped ; ++------------------------+--------------+----------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++--------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_mux6:inst7|LPM_MUX:lpm_mux_component ; ++------------------------+-------------+-----------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+-------------+-----------------------------------------------------------------------+ +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; +; LPM_WIDTH ; 24 ; Signed Integer ; +; LPM_SIZE ; 8 ; Signed Integer ; +; LPM_WIDTHS ; 3 ; Signed Integer ; +; LPM_PIPELINE ; 2 ; Signed Integer ; +; CBXI_PARAMETER ; mux_kpe ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; ++------------------------+-------------+-----------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff3:inst49|lpm_ff:lpm_ff_component ; ++------------------------+-------------+---------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+-------------+---------------------------------------------------------------------+ +; LPM_WIDTH ; 24 ; Signed Integer ; +; LPM_AVALUE ; UNUSED ; Untyped ; +; LPM_SVALUE ; UNUSED ; Untyped ; +; LPM_FFTYPE ; DFF ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; CBXI_PARAMETER ; NOTHING ; Untyped ; +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; ++------------------------+-------------+---------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff3:inst52|lpm_ff:lpm_ff_component ; ++------------------------+-------------+---------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+-------------+---------------------------------------------------------------------+ +; LPM_WIDTH ; 24 ; Signed Integer ; +; LPM_AVALUE ; UNUSED ; Untyped ; +; LPM_SVALUE ; UNUSED ; Untyped ; +; LPM_FFTYPE ; DFF ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; CBXI_PARAMETER ; NOTHING ; Untyped ; +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; ++------------------------+-------------+---------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++------------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_constant0:inst59|lpm_constant:lpm_constant_component ; ++--------------------+------------------+--------------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++--------------------+------------------+--------------------------------------------------------------------------------------+ +; LPM_WIDTH ; 5 ; Signed Integer ; +; LPM_CVALUE ; 0 ; Signed Integer ; +; ENABLE_RUNTIME_MOD ; NO ; Untyped ; +; CBXI_PARAMETER ; lpm_constant_nf6 ; Untyped ; ++--------------------+------------------+--------------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++------------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_constant0:inst54|lpm_constant:lpm_constant_component ; ++--------------------+------------------+--------------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++--------------------+------------------+--------------------------------------------------------------------------------------+ +; LPM_WIDTH ; 5 ; Signed Integer ; +; LPM_CVALUE ; 0 ; Signed Integer ; +; ENABLE_RUNTIME_MOD ; NO ; Untyped ; +; CBXI_PARAMETER ; lpm_constant_nf6 ; Untyped ; ++--------------------+------------------+--------------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++------------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_constant0:inst64|lpm_constant:lpm_constant_component ; ++--------------------+------------------+--------------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++--------------------+------------------+--------------------------------------------------------------------------------------+ +; LPM_WIDTH ; 5 ; Signed Integer ; +; LPM_CVALUE ; 0 ; Signed Integer ; +; ENABLE_RUNTIME_MOD ; NO ; Untyped ; +; CBXI_PARAMETER ; lpm_constant_nf6 ; Untyped ; ++--------------------+------------------+--------------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff3:inst46|lpm_ff:lpm_ff_component ; ++------------------------+-------------+---------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+-------------+---------------------------------------------------------------------+ +; LPM_WIDTH ; 24 ; Signed Integer ; +; LPM_AVALUE ; UNUSED ; Untyped ; +; LPM_SVALUE ; UNUSED ; Untyped ; +; LPM_FFTYPE ; DFF ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; CBXI_PARAMETER ; NOTHING ; Untyped ; +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; ++------------------------+-------------+---------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff3:inst47|lpm_ff:lpm_ff_component ; ++------------------------+-------------+---------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+-------------+---------------------------------------------------------------------+ +; LPM_WIDTH ; 24 ; Signed Integer ; +; LPM_AVALUE ; UNUSED ; Untyped ; +; LPM_SVALUE ; UNUSED ; Untyped ; +; LPM_FFTYPE ; DFF ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; CBXI_PARAMETER ; NOTHING ; Untyped ; +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; ++------------------------+-------------+---------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++------------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_constant1:inst77|lpm_constant:lpm_constant_component ; ++--------------------+------------------+--------------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++--------------------+------------------+--------------------------------------------------------------------------------------+ +; LPM_WIDTH ; 2 ; Signed Integer ; +; LPM_CVALUE ; 0 ; Signed Integer ; +; ENABLE_RUNTIME_MOD ; NO ; Untyped ; +; CBXI_PARAMETER ; lpm_constant_4e6 ; Untyped ; ++--------------------+------------------+--------------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++------------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_constant1:inst80|lpm_constant:lpm_constant_component ; ++--------------------+------------------+--------------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++--------------------+------------------+--------------------------------------------------------------------------------------+ +; LPM_WIDTH ; 2 ; Signed Integer ; +; LPM_CVALUE ; 0 ; Signed Integer ; +; ENABLE_RUNTIME_MOD ; NO ; Untyped ; +; CBXI_PARAMETER ; lpm_constant_4e6 ; Untyped ; ++--------------------+------------------+--------------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++------------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_constant1:inst83|lpm_constant:lpm_constant_component ; ++--------------------+------------------+--------------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++--------------------+------------------+--------------------------------------------------------------------------------------+ +; LPM_WIDTH ; 2 ; Signed Integer ; +; LPM_CVALUE ; 0 ; Signed Integer ; +; ENABLE_RUNTIME_MOD ; NO ; Untyped ; +; CBXI_PARAMETER ; lpm_constant_4e6 ; Untyped ; ++--------------------+------------------+--------------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff4:inst10|lpm_ff:lpm_ff_component ; ++------------------------+-------------+---------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+-------------+---------------------------------------------------------------------+ +; LPM_WIDTH ; 16 ; Signed Integer ; +; LPM_AVALUE ; UNUSED ; Untyped ; +; LPM_SVALUE ; UNUSED ; Untyped ; +; LPM_FFTYPE ; DFF ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; CBXI_PARAMETER ; NOTHING ; Untyped ; +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; ++------------------------+-------------+---------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++---------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_mux1:inst24|LPM_MUX:lpm_mux_component ; ++------------------------+-------------+------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+-------------+------------------------------------------------------------------------+ +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; +; LPM_WIDTH ; 16 ; Signed Integer ; +; LPM_SIZE ; 8 ; Signed Integer ; +; LPM_WIDTHS ; 3 ; Signed Integer ; +; LPM_PIPELINE ; 4 ; Signed Integer ; +; CBXI_PARAMETER ; mux_npe ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; ++------------------------+-------------+------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++------------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_constant2:inst23|lpm_constant:lpm_constant_component ; ++--------------------+------------------+--------------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++--------------------+------------------+--------------------------------------------------------------------------------------+ +; LPM_WIDTH ; 8 ; Signed Integer ; +; LPM_CVALUE ; 0 ; Signed Integer ; +; ENABLE_RUNTIME_MOD ; NO ; Untyped ; +; CBXI_PARAMETER ; lpm_constant_qf6 ; Untyped ; ++--------------------+------------------+--------------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++-----------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff1:inst9|lpm_ff:lpm_ff_component ; ++------------------------+-------------+--------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+-------------+--------------------------------------------------------------------+ +; LPM_WIDTH ; 32 ; Signed Integer ; +; LPM_AVALUE ; UNUSED ; Untyped ; +; LPM_SVALUE ; UNUSED ; Untyped ; +; LPM_FFTYPE ; DFF ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; CBXI_PARAMETER ; NOTHING ; Untyped ; +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; ++------------------------+-------------+--------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++---------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_mux0:inst21|LPM_MUX:lpm_mux_component ; ++------------------------+-------------+------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+-------------+------------------------------------------------------------------------+ +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; +; LPM_WIDTH ; 32 ; Signed Integer ; +; LPM_SIZE ; 4 ; Signed Integer ; +; LPM_WIDTHS ; 2 ; Signed Integer ; +; LPM_PIPELINE ; 4 ; Signed Integer ; +; CBXI_PARAMETER ; mux_gpe ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; ++------------------------+-------------+------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++--------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|altddio_out0:inst2|altddio_out:altddio_out_component ; ++------------------------+--------------+----------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+--------------+----------------------------------------------------------------------------------+ +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; +; WIDTH ; 4 ; Signed Integer ; +; POWER_UP_HIGH ; ON ; Untyped ; +; OE_REG ; UNUSED ; Untyped ; +; extend_oe_disable ; UNUSED ; Untyped ; +; INTENDED_DEVICE_FAMILY ; Cyclone III ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; CBXI_PARAMETER ; ddio_out_are ; Untyped ; ++------------------------+--------------+----------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: Video:Fredi_Aschwanden|lpm_ff5:inst97|lpm_ff:lpm_ff_component ; ++------------------------+-------------+---------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+-------------+---------------------------------------------------------------------+ +; LPM_WIDTH ; 8 ; Signed Integer ; +; LPM_AVALUE ; UNUSED ; Untyped ; +; LPM_SVALUE ; UNUSED ; Untyped ; +; LPM_FFTYPE ; DFF ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; CBXI_PARAMETER ; NOTHING ; Untyped ; +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; ++------------------------+-------------+---------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++-------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: altpll2:inst12|altpll:altpll_component ; ++-------------------------------+--------------------+--------------------------------+ +; Parameter Name ; Value ; Type ; ++-------------------------------+--------------------+--------------------------------+ +; OPERATION_MODE ; SOURCE_SYNCHRONOUS ; Untyped ; +; PLL_TYPE ; AUTO ; Untyped ; +; LPM_HINT ; UNUSED ; Untyped ; +; QUALIFY_CONF_DONE ; OFF ; Untyped ; +; COMPENSATE_CLOCK ; CLK0 ; Untyped ; +; SCAN_CHAIN ; LONG ; Untyped ; +; PRIMARY_CLOCK ; INCLK0 ; Untyped ; +; INCLK0_INPUT_FREQUENCY ; 30303 ; Signed Integer ; +; INCLK1_INPUT_FREQUENCY ; 0 ; Untyped ; +; GATE_LOCK_SIGNAL ; NO ; Untyped ; +; GATE_LOCK_COUNTER ; 0 ; Untyped ; +; LOCK_HIGH ; 1 ; Untyped ; +; LOCK_LOW ; 1 ; Untyped ; +; VALID_LOCK_MULTIPLIER ; 1 ; Untyped ; +; INVALID_LOCK_MULTIPLIER ; 5 ; Untyped ; +; SWITCH_OVER_ON_LOSSCLK ; OFF ; Untyped ; +; SWITCH_OVER_ON_GATED_LOCK ; OFF ; Untyped ; +; ENABLE_SWITCH_OVER_COUNTER ; OFF ; Untyped ; +; SKIP_VCO ; OFF ; Untyped ; +; SWITCH_OVER_COUNTER ; 0 ; Untyped ; +; SWITCH_OVER_TYPE ; AUTO ; Untyped ; +; FEEDBACK_SOURCE ; EXTCLK0 ; Untyped ; +; BANDWIDTH ; 0 ; Untyped ; +; BANDWIDTH_TYPE ; AUTO ; Untyped ; +; SPREAD_FREQUENCY ; 0 ; Untyped ; +; DOWN_SPREAD ; 0 ; Untyped ; +; SELF_RESET_ON_GATED_LOSS_LOCK ; OFF ; Untyped ; +; SELF_RESET_ON_LOSS_LOCK ; OFF ; Untyped ; +; CLK9_MULTIPLY_BY ; 0 ; Untyped ; +; CLK8_MULTIPLY_BY ; 0 ; Untyped ; +; CLK7_MULTIPLY_BY ; 0 ; Untyped ; +; CLK6_MULTIPLY_BY ; 0 ; Untyped ; +; CLK5_MULTIPLY_BY ; 1 ; Untyped ; +; CLK4_MULTIPLY_BY ; 2 ; Signed Integer ; +; CLK3_MULTIPLY_BY ; 4 ; Signed Integer ; +; CLK2_MULTIPLY_BY ; 4 ; Signed Integer ; +; CLK1_MULTIPLY_BY ; 4 ; Signed Integer ; +; CLK0_MULTIPLY_BY ; 4 ; Signed Integer ; +; CLK9_DIVIDE_BY ; 0 ; Untyped ; +; CLK8_DIVIDE_BY ; 0 ; Untyped ; +; CLK7_DIVIDE_BY ; 0 ; Untyped ; +; CLK6_DIVIDE_BY ; 0 ; Untyped ; +; CLK5_DIVIDE_BY ; 1 ; Untyped ; +; CLK4_DIVIDE_BY ; 1 ; Signed Integer ; +; CLK3_DIVIDE_BY ; 1 ; Signed Integer ; +; CLK2_DIVIDE_BY ; 1 ; Signed Integer ; +; CLK1_DIVIDE_BY ; 1 ; Signed Integer ; +; CLK0_DIVIDE_BY ; 1 ; Signed Integer ; +; CLK9_PHASE_SHIFT ; 0 ; Untyped ; +; CLK8_PHASE_SHIFT ; 0 ; Untyped ; +; CLK7_PHASE_SHIFT ; 0 ; Untyped ; +; CLK6_PHASE_SHIFT ; 0 ; Untyped ; +; CLK5_PHASE_SHIFT ; 0 ; Untyped ; +; CLK4_PHASE_SHIFT ; 11364 ; Untyped ; +; CLK3_PHASE_SHIFT ; 2210 ; Untyped ; +; CLK2_PHASE_SHIFT ; 3788 ; Untyped ; +; CLK1_PHASE_SHIFT ; 0 ; Untyped ; +; CLK0_PHASE_SHIFT ; 5051 ; Untyped ; +; CLK5_TIME_DELAY ; 0 ; Untyped ; +; CLK4_TIME_DELAY ; 0 ; Untyped ; +; CLK3_TIME_DELAY ; 0 ; Untyped ; +; CLK2_TIME_DELAY ; 0 ; Untyped ; +; CLK1_TIME_DELAY ; 0 ; Untyped ; +; CLK0_TIME_DELAY ; 0 ; Untyped ; +; CLK9_DUTY_CYCLE ; 50 ; Untyped ; +; CLK8_DUTY_CYCLE ; 50 ; Untyped ; +; CLK7_DUTY_CYCLE ; 50 ; Untyped ; +; CLK6_DUTY_CYCLE ; 50 ; Untyped ; +; CLK5_DUTY_CYCLE ; 50 ; Untyped ; +; CLK4_DUTY_CYCLE ; 50 ; Signed Integer ; +; CLK3_DUTY_CYCLE ; 50 ; Signed Integer ; +; CLK2_DUTY_CYCLE ; 50 ; Signed Integer ; +; CLK1_DUTY_CYCLE ; 50 ; Signed Integer ; +; CLK0_DUTY_CYCLE ; 50 ; Signed Integer ; +; CLK9_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK8_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK7_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK6_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK5_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK4_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK3_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK2_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK1_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK0_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK9_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; CLK8_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; CLK7_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; CLK6_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; CLK5_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; CLK4_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; CLK3_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; CLK2_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; CLK1_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; CLK0_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; LOCK_WINDOW_UI ; 0.05 ; Untyped ; +; LOCK_WINDOW_UI_BITS ; UNUSED ; Untyped ; +; VCO_RANGE_DETECTOR_LOW_BITS ; UNUSED ; Untyped ; +; VCO_RANGE_DETECTOR_HIGH_BITS ; UNUSED ; Untyped ; +; DPA_MULTIPLY_BY ; 0 ; Untyped ; +; DPA_DIVIDE_BY ; 1 ; Untyped ; +; DPA_DIVIDER ; 0 ; Untyped ; +; EXTCLK3_MULTIPLY_BY ; 1 ; Untyped ; +; EXTCLK2_MULTIPLY_BY ; 1 ; Untyped ; +; EXTCLK1_MULTIPLY_BY ; 1 ; Untyped ; +; EXTCLK0_MULTIPLY_BY ; 1 ; Untyped ; +; EXTCLK3_DIVIDE_BY ; 1 ; Untyped ; +; EXTCLK2_DIVIDE_BY ; 1 ; Untyped ; +; EXTCLK1_DIVIDE_BY ; 1 ; Untyped ; +; EXTCLK0_DIVIDE_BY ; 1 ; Untyped ; +; EXTCLK3_PHASE_SHIFT ; 0 ; Untyped ; +; EXTCLK2_PHASE_SHIFT ; 0 ; Untyped ; +; EXTCLK1_PHASE_SHIFT ; 0 ; Untyped ; +; EXTCLK0_PHASE_SHIFT ; 0 ; Untyped ; +; EXTCLK3_TIME_DELAY ; 0 ; Untyped ; +; EXTCLK2_TIME_DELAY ; 0 ; Untyped ; +; EXTCLK1_TIME_DELAY ; 0 ; Untyped ; +; EXTCLK0_TIME_DELAY ; 0 ; Untyped ; +; EXTCLK3_DUTY_CYCLE ; 50 ; Untyped ; +; EXTCLK2_DUTY_CYCLE ; 50 ; Untyped ; +; EXTCLK1_DUTY_CYCLE ; 50 ; Untyped ; +; EXTCLK0_DUTY_CYCLE ; 50 ; Untyped ; +; VCO_MULTIPLY_BY ; 0 ; Untyped ; +; VCO_DIVIDE_BY ; 0 ; Untyped ; +; SCLKOUT0_PHASE_SHIFT ; 0 ; Untyped ; +; SCLKOUT1_PHASE_SHIFT ; 0 ; Untyped ; +; VCO_MIN ; 0 ; Untyped ; +; VCO_MAX ; 0 ; Untyped ; +; VCO_CENTER ; 0 ; Untyped ; +; PFD_MIN ; 0 ; Untyped ; +; PFD_MAX ; 0 ; Untyped ; +; M_INITIAL ; 0 ; Untyped ; +; M ; 0 ; Untyped ; +; N ; 1 ; Untyped ; +; M2 ; 1 ; Untyped ; +; N2 ; 1 ; Untyped ; +; SS ; 1 ; Untyped ; +; C0_HIGH ; 0 ; Untyped ; +; C1_HIGH ; 0 ; Untyped ; +; C2_HIGH ; 0 ; Untyped ; +; C3_HIGH ; 0 ; Untyped ; +; C4_HIGH ; 0 ; Untyped ; +; C5_HIGH ; 0 ; Untyped ; +; C6_HIGH ; 0 ; Untyped ; +; C7_HIGH ; 0 ; Untyped ; +; C8_HIGH ; 0 ; Untyped ; +; C9_HIGH ; 0 ; Untyped ; +; C0_LOW ; 0 ; Untyped ; +; C1_LOW ; 0 ; Untyped ; +; C2_LOW ; 0 ; Untyped ; +; C3_LOW ; 0 ; Untyped ; +; C4_LOW ; 0 ; Untyped ; +; C5_LOW ; 0 ; Untyped ; +; C6_LOW ; 0 ; Untyped ; +; C7_LOW ; 0 ; Untyped ; +; C8_LOW ; 0 ; Untyped ; +; C9_LOW ; 0 ; Untyped ; +; C0_INITIAL ; 0 ; Untyped ; +; C1_INITIAL ; 0 ; Untyped ; +; C2_INITIAL ; 0 ; Untyped ; +; C3_INITIAL ; 0 ; Untyped ; +; C4_INITIAL ; 0 ; Untyped ; +; C5_INITIAL ; 0 ; Untyped ; +; C6_INITIAL ; 0 ; Untyped ; +; C7_INITIAL ; 0 ; Untyped ; +; C8_INITIAL ; 0 ; Untyped ; +; C9_INITIAL ; 0 ; Untyped ; +; C0_MODE ; BYPASS ; Untyped ; +; C1_MODE ; BYPASS ; Untyped ; +; C2_MODE ; BYPASS ; Untyped ; +; C3_MODE ; BYPASS ; Untyped ; +; C4_MODE ; BYPASS ; Untyped ; +; C5_MODE ; BYPASS ; Untyped ; +; C6_MODE ; BYPASS ; Untyped ; +; C7_MODE ; BYPASS ; Untyped ; +; C8_MODE ; BYPASS ; Untyped ; +; C9_MODE ; BYPASS ; Untyped ; +; C0_PH ; 0 ; Untyped ; +; C1_PH ; 0 ; Untyped ; +; C2_PH ; 0 ; Untyped ; +; C3_PH ; 0 ; Untyped ; +; C4_PH ; 0 ; Untyped ; +; C5_PH ; 0 ; Untyped ; +; C6_PH ; 0 ; Untyped ; +; C7_PH ; 0 ; Untyped ; +; C8_PH ; 0 ; Untyped ; +; C9_PH ; 0 ; Untyped ; +; L0_HIGH ; 1 ; Untyped ; +; L1_HIGH ; 1 ; Untyped ; +; G0_HIGH ; 1 ; Untyped ; +; G1_HIGH ; 1 ; Untyped ; +; G2_HIGH ; 1 ; Untyped ; +; G3_HIGH ; 1 ; Untyped ; +; E0_HIGH ; 1 ; Untyped ; +; E1_HIGH ; 1 ; Untyped ; +; E2_HIGH ; 1 ; Untyped ; +; E3_HIGH ; 1 ; Untyped ; +; L0_LOW ; 1 ; Untyped ; +; L1_LOW ; 1 ; Untyped ; +; G0_LOW ; 1 ; Untyped ; +; G1_LOW ; 1 ; Untyped ; +; G2_LOW ; 1 ; Untyped ; +; G3_LOW ; 1 ; Untyped ; +; E0_LOW ; 1 ; Untyped ; +; E1_LOW ; 1 ; Untyped ; +; E2_LOW ; 1 ; Untyped ; +; E3_LOW ; 1 ; Untyped ; +; L0_INITIAL ; 1 ; Untyped ; +; L1_INITIAL ; 1 ; Untyped ; +; G0_INITIAL ; 1 ; Untyped ; +; G1_INITIAL ; 1 ; Untyped ; +; G2_INITIAL ; 1 ; Untyped ; +; G3_INITIAL ; 1 ; Untyped ; +; E0_INITIAL ; 1 ; Untyped ; +; E1_INITIAL ; 1 ; Untyped ; +; E2_INITIAL ; 1 ; Untyped ; +; E3_INITIAL ; 1 ; Untyped ; +; L0_MODE ; BYPASS ; Untyped ; +; L1_MODE ; BYPASS ; Untyped ; +; G0_MODE ; BYPASS ; Untyped ; +; G1_MODE ; BYPASS ; Untyped ; +; G2_MODE ; BYPASS ; Untyped ; +; G3_MODE ; BYPASS ; Untyped ; +; E0_MODE ; BYPASS ; Untyped ; +; E1_MODE ; BYPASS ; Untyped ; +; E2_MODE ; BYPASS ; Untyped ; +; E3_MODE ; BYPASS ; Untyped ; +; L0_PH ; 0 ; Untyped ; +; L1_PH ; 0 ; Untyped ; +; G0_PH ; 0 ; Untyped ; +; G1_PH ; 0 ; Untyped ; +; G2_PH ; 0 ; Untyped ; +; G3_PH ; 0 ; Untyped ; +; E0_PH ; 0 ; Untyped ; +; E1_PH ; 0 ; Untyped ; +; E2_PH ; 0 ; Untyped ; +; E3_PH ; 0 ; Untyped ; +; M_PH ; 0 ; Untyped ; +; C1_USE_CASC_IN ; OFF ; Untyped ; +; C2_USE_CASC_IN ; OFF ; Untyped ; +; C3_USE_CASC_IN ; OFF ; Untyped ; +; C4_USE_CASC_IN ; OFF ; Untyped ; +; C5_USE_CASC_IN ; OFF ; Untyped ; +; C6_USE_CASC_IN ; OFF ; Untyped ; +; C7_USE_CASC_IN ; OFF ; Untyped ; +; C8_USE_CASC_IN ; OFF ; Untyped ; +; C9_USE_CASC_IN ; OFF ; Untyped ; +; CLK0_COUNTER ; G0 ; Untyped ; +; CLK1_COUNTER ; G0 ; Untyped ; +; CLK2_COUNTER ; G0 ; Untyped ; +; CLK3_COUNTER ; G0 ; Untyped ; +; CLK4_COUNTER ; G0 ; Untyped ; +; CLK5_COUNTER ; G0 ; Untyped ; +; CLK6_COUNTER ; E0 ; Untyped ; +; CLK7_COUNTER ; E1 ; Untyped ; +; CLK8_COUNTER ; E2 ; Untyped ; +; CLK9_COUNTER ; E3 ; Untyped ; +; L0_TIME_DELAY ; 0 ; Untyped ; +; L1_TIME_DELAY ; 0 ; Untyped ; +; G0_TIME_DELAY ; 0 ; Untyped ; +; G1_TIME_DELAY ; 0 ; Untyped ; +; G2_TIME_DELAY ; 0 ; Untyped ; +; G3_TIME_DELAY ; 0 ; Untyped ; +; E0_TIME_DELAY ; 0 ; Untyped ; +; E1_TIME_DELAY ; 0 ; Untyped ; +; E2_TIME_DELAY ; 0 ; Untyped ; +; E3_TIME_DELAY ; 0 ; Untyped ; +; M_TIME_DELAY ; 0 ; Untyped ; +; N_TIME_DELAY ; 0 ; Untyped ; +; EXTCLK3_COUNTER ; E3 ; Untyped ; +; EXTCLK2_COUNTER ; E2 ; Untyped ; +; EXTCLK1_COUNTER ; E1 ; Untyped ; +; EXTCLK0_COUNTER ; E0 ; Untyped ; +; ENABLE0_COUNTER ; L0 ; Untyped ; +; ENABLE1_COUNTER ; L0 ; Untyped ; +; CHARGE_PUMP_CURRENT ; 2 ; Untyped ; +; LOOP_FILTER_R ; 1.000000 ; Untyped ; +; LOOP_FILTER_C ; 5 ; Untyped ; +; CHARGE_PUMP_CURRENT_BITS ; 9999 ; Untyped ; +; LOOP_FILTER_R_BITS ; 9999 ; Untyped ; +; LOOP_FILTER_C_BITS ; 9999 ; Untyped ; +; VCO_POST_SCALE ; 0 ; Untyped ; +; CLK2_OUTPUT_FREQUENCY ; 0 ; Untyped ; +; CLK1_OUTPUT_FREQUENCY ; 0 ; Untyped ; +; CLK0_OUTPUT_FREQUENCY ; 0 ; Untyped ; +; INTENDED_DEVICE_FAMILY ; Cyclone III ; Untyped ; +; PORT_CLKENA0 ; PORT_UNUSED ; Untyped ; +; PORT_CLKENA1 ; PORT_UNUSED ; Untyped ; +; PORT_CLKENA2 ; PORT_UNUSED ; Untyped ; +; PORT_CLKENA3 ; PORT_UNUSED ; Untyped ; +; PORT_CLKENA4 ; PORT_UNUSED ; Untyped ; +; PORT_CLKENA5 ; PORT_UNUSED ; Untyped ; +; PORT_EXTCLKENA0 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_EXTCLKENA1 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_EXTCLKENA2 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_EXTCLKENA3 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_EXTCLK0 ; PORT_UNUSED ; Untyped ; +; PORT_EXTCLK1 ; PORT_UNUSED ; Untyped ; +; PORT_EXTCLK2 ; PORT_UNUSED ; Untyped ; +; PORT_EXTCLK3 ; PORT_UNUSED ; Untyped ; +; PORT_CLKBAD0 ; PORT_UNUSED ; Untyped ; +; PORT_CLKBAD1 ; PORT_UNUSED ; Untyped ; +; PORT_CLK0 ; PORT_USED ; Untyped ; +; PORT_CLK1 ; PORT_USED ; Untyped ; +; PORT_CLK2 ; PORT_USED ; Untyped ; +; PORT_CLK3 ; PORT_USED ; Untyped ; +; PORT_CLK4 ; PORT_USED ; Untyped ; +; PORT_CLK5 ; PORT_UNUSED ; Untyped ; +; PORT_CLK6 ; PORT_UNUSED ; Untyped ; +; PORT_CLK7 ; PORT_UNUSED ; Untyped ; +; PORT_CLK8 ; PORT_UNUSED ; Untyped ; +; PORT_CLK9 ; PORT_UNUSED ; Untyped ; +; PORT_SCANDATA ; PORT_UNUSED ; Untyped ; +; PORT_SCANDATAOUT ; PORT_UNUSED ; Untyped ; +; PORT_SCANDONE ; PORT_UNUSED ; Untyped ; +; PORT_SCLKOUT1 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_SCLKOUT0 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_ACTIVECLOCK ; PORT_UNUSED ; Untyped ; +; PORT_CLKLOSS ; PORT_UNUSED ; Untyped ; +; PORT_INCLK1 ; PORT_UNUSED ; Untyped ; +; PORT_INCLK0 ; PORT_USED ; Untyped ; +; PORT_FBIN ; PORT_UNUSED ; Untyped ; +; PORT_PLLENA ; PORT_UNUSED ; Untyped ; +; PORT_CLKSWITCH ; PORT_UNUSED ; Untyped ; +; PORT_ARESET ; PORT_UNUSED ; Untyped ; +; PORT_PFDENA ; PORT_UNUSED ; Untyped ; +; PORT_SCANCLK ; PORT_UNUSED ; Untyped ; +; PORT_SCANACLR ; PORT_UNUSED ; Untyped ; +; PORT_SCANREAD ; PORT_UNUSED ; Untyped ; +; PORT_SCANWRITE ; PORT_UNUSED ; Untyped ; +; PORT_ENABLE0 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_ENABLE1 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_LOCKED ; PORT_UNUSED ; Untyped ; +; PORT_CONFIGUPDATE ; PORT_UNUSED ; Untyped ; +; PORT_FBOUT ; PORT_CONNECTIVITY ; Untyped ; +; PORT_PHASEDONE ; PORT_UNUSED ; Untyped ; +; PORT_PHASESTEP ; PORT_UNUSED ; Untyped ; +; PORT_PHASEUPDOWN ; PORT_UNUSED ; Untyped ; +; PORT_SCANCLKENA ; PORT_UNUSED ; Untyped ; +; PORT_PHASECOUNTERSELECT ; PORT_UNUSED ; Untyped ; +; PORT_VCOOVERRANGE ; PORT_CONNECTIVITY ; Untyped ; +; PORT_VCOUNDERRANGE ; PORT_CONNECTIVITY ; Untyped ; +; M_TEST_SOURCE ; 5 ; Untyped ; +; C0_TEST_SOURCE ; 5 ; Untyped ; +; C1_TEST_SOURCE ; 5 ; Untyped ; +; C2_TEST_SOURCE ; 5 ; Untyped ; +; C3_TEST_SOURCE ; 5 ; Untyped ; +; C4_TEST_SOURCE ; 5 ; Untyped ; +; C5_TEST_SOURCE ; 5 ; Untyped ; +; C6_TEST_SOURCE ; 5 ; Untyped ; +; C7_TEST_SOURCE ; 5 ; Untyped ; +; C8_TEST_SOURCE ; 5 ; Untyped ; +; C9_TEST_SOURCE ; 5 ; Untyped ; +; CBXI_PARAMETER ; altpll_1r33 ; Untyped ; +; VCO_FREQUENCY_CONTROL ; AUTO ; Untyped ; +; VCO_PHASE_SHIFT_STEP ; 0 ; Untyped ; +; WIDTH_CLOCK ; 5 ; Signed Integer ; +; WIDTH_PHASECOUNTERSELECT ; 4 ; Untyped ; +; USING_FBMIMICBIDIR_PORT ; OFF ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; SCAN_CHAIN_MIF_FILE ; UNUSED ; Untyped ; +; SIM_GATE_LOCK_DEVICE_BEHAVIOR ; OFF ; Untyped ; +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; ++-------------------------------+--------------------+--------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++-------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: altpll4:inst22|altpll:altpll_component ; ++-------------------------------+-------------------+---------------------------------+ +; Parameter Name ; Value ; Type ; ++-------------------------------+-------------------+---------------------------------+ +; OPERATION_MODE ; NORMAL ; Untyped ; +; PLL_TYPE ; AUTO ; Untyped ; +; LPM_HINT ; UNUSED ; Untyped ; +; QUALIFY_CONF_DONE ; OFF ; Untyped ; +; COMPENSATE_CLOCK ; CLK0 ; Untyped ; +; SCAN_CHAIN ; LONG ; Untyped ; +; PRIMARY_CLOCK ; INCLK0 ; Untyped ; +; INCLK0_INPUT_FREQUENCY ; 20833 ; Untyped ; +; INCLK1_INPUT_FREQUENCY ; 0 ; Untyped ; +; GATE_LOCK_SIGNAL ; NO ; Untyped ; +; GATE_LOCK_COUNTER ; 0 ; Untyped ; +; LOCK_HIGH ; 1 ; Untyped ; +; LOCK_LOW ; 1 ; Untyped ; +; VALID_LOCK_MULTIPLIER ; 1 ; Untyped ; +; INVALID_LOCK_MULTIPLIER ; 5 ; Untyped ; +; SWITCH_OVER_ON_LOSSCLK ; OFF ; Untyped ; +; SWITCH_OVER_ON_GATED_LOCK ; OFF ; Untyped ; +; ENABLE_SWITCH_OVER_COUNTER ; OFF ; Untyped ; +; SKIP_VCO ; OFF ; Untyped ; +; SWITCH_OVER_COUNTER ; 0 ; Untyped ; +; SWITCH_OVER_TYPE ; AUTO ; Untyped ; +; FEEDBACK_SOURCE ; EXTCLK0 ; Untyped ; +; BANDWIDTH ; 0 ; Untyped ; +; BANDWIDTH_TYPE ; AUTO ; Untyped ; +; SPREAD_FREQUENCY ; 0 ; Untyped ; +; DOWN_SPREAD ; 0 ; Untyped ; +; SELF_RESET_ON_GATED_LOSS_LOCK ; OFF ; Untyped ; +; SELF_RESET_ON_LOSS_LOCK ; OFF ; Untyped ; +; CLK9_MULTIPLY_BY ; 0 ; Untyped ; +; CLK8_MULTIPLY_BY ; 0 ; Untyped ; +; CLK7_MULTIPLY_BY ; 0 ; Untyped ; +; CLK6_MULTIPLY_BY ; 0 ; Untyped ; +; CLK5_MULTIPLY_BY ; 1 ; Untyped ; +; CLK4_MULTIPLY_BY ; 1 ; Untyped ; +; CLK3_MULTIPLY_BY ; 1 ; Untyped ; +; CLK2_MULTIPLY_BY ; 1 ; Untyped ; +; CLK1_MULTIPLY_BY ; 1 ; Untyped ; +; CLK0_MULTIPLY_BY ; 2 ; Untyped ; +; CLK9_DIVIDE_BY ; 0 ; Untyped ; +; CLK8_DIVIDE_BY ; 0 ; Untyped ; +; CLK7_DIVIDE_BY ; 0 ; Untyped ; +; CLK6_DIVIDE_BY ; 0 ; Untyped ; +; CLK5_DIVIDE_BY ; 1 ; Untyped ; +; CLK4_DIVIDE_BY ; 1 ; Untyped ; +; CLK3_DIVIDE_BY ; 1 ; Untyped ; +; CLK2_DIVIDE_BY ; 1 ; Untyped ; +; CLK1_DIVIDE_BY ; 1 ; Untyped ; +; CLK0_DIVIDE_BY ; 1 ; Untyped ; +; CLK9_PHASE_SHIFT ; 0 ; Untyped ; +; CLK8_PHASE_SHIFT ; 0 ; Untyped ; +; CLK7_PHASE_SHIFT ; 0 ; Untyped ; +; CLK6_PHASE_SHIFT ; 0 ; Untyped ; +; CLK5_PHASE_SHIFT ; 0 ; Untyped ; +; CLK4_PHASE_SHIFT ; 0 ; Untyped ; +; CLK3_PHASE_SHIFT ; 0 ; Untyped ; +; CLK2_PHASE_SHIFT ; 0 ; Untyped ; +; CLK1_PHASE_SHIFT ; 0 ; Untyped ; +; CLK0_PHASE_SHIFT ; 0 ; Untyped ; +; CLK5_TIME_DELAY ; 0 ; Untyped ; +; CLK4_TIME_DELAY ; 0 ; Untyped ; +; CLK3_TIME_DELAY ; 0 ; Untyped ; +; CLK2_TIME_DELAY ; 0 ; Untyped ; +; CLK1_TIME_DELAY ; 0 ; Untyped ; +; CLK0_TIME_DELAY ; 0 ; Untyped ; +; CLK9_DUTY_CYCLE ; 50 ; Untyped ; +; CLK8_DUTY_CYCLE ; 50 ; Untyped ; +; CLK7_DUTY_CYCLE ; 50 ; Untyped ; +; CLK6_DUTY_CYCLE ; 50 ; Untyped ; +; CLK5_DUTY_CYCLE ; 50 ; Untyped ; +; CLK4_DUTY_CYCLE ; 50 ; Untyped ; +; CLK3_DUTY_CYCLE ; 50 ; Untyped ; +; CLK2_DUTY_CYCLE ; 50 ; Untyped ; +; CLK1_DUTY_CYCLE ; 50 ; Untyped ; +; CLK0_DUTY_CYCLE ; 50 ; Untyped ; +; CLK9_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK8_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK7_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK6_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK5_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK4_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK3_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK2_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK1_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK0_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; +; CLK9_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; CLK8_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; CLK7_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; CLK6_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; CLK5_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; CLK4_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; CLK3_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; CLK2_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; CLK1_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; CLK0_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; +; LOCK_WINDOW_UI ; 0.05 ; Untyped ; +; LOCK_WINDOW_UI_BITS ; UNUSED ; Untyped ; +; VCO_RANGE_DETECTOR_LOW_BITS ; UNUSED ; Untyped ; +; VCO_RANGE_DETECTOR_HIGH_BITS ; UNUSED ; Untyped ; +; DPA_MULTIPLY_BY ; 0 ; Untyped ; +; DPA_DIVIDE_BY ; 1 ; Untyped ; +; DPA_DIVIDER ; 0 ; Untyped ; +; EXTCLK3_MULTIPLY_BY ; 1 ; Untyped ; +; EXTCLK2_MULTIPLY_BY ; 1 ; Untyped ; +; EXTCLK1_MULTIPLY_BY ; 1 ; Untyped ; +; EXTCLK0_MULTIPLY_BY ; 1 ; Untyped ; +; EXTCLK3_DIVIDE_BY ; 1 ; Untyped ; +; EXTCLK2_DIVIDE_BY ; 1 ; Untyped ; +; EXTCLK1_DIVIDE_BY ; 1 ; Untyped ; +; EXTCLK0_DIVIDE_BY ; 1 ; Untyped ; +; EXTCLK3_PHASE_SHIFT ; 0 ; Untyped ; +; EXTCLK2_PHASE_SHIFT ; 0 ; Untyped ; +; EXTCLK1_PHASE_SHIFT ; 0 ; Untyped ; +; EXTCLK0_PHASE_SHIFT ; 0 ; Untyped ; +; EXTCLK3_TIME_DELAY ; 0 ; Untyped ; +; EXTCLK2_TIME_DELAY ; 0 ; Untyped ; +; EXTCLK1_TIME_DELAY ; 0 ; Untyped ; +; EXTCLK0_TIME_DELAY ; 0 ; Untyped ; +; EXTCLK3_DUTY_CYCLE ; 50 ; Untyped ; +; EXTCLK2_DUTY_CYCLE ; 50 ; Untyped ; +; EXTCLK1_DUTY_CYCLE ; 50 ; Untyped ; +; EXTCLK0_DUTY_CYCLE ; 50 ; Untyped ; +; VCO_MULTIPLY_BY ; 0 ; Untyped ; +; VCO_DIVIDE_BY ; 0 ; Untyped ; +; SCLKOUT0_PHASE_SHIFT ; 0 ; Untyped ; +; SCLKOUT1_PHASE_SHIFT ; 0 ; Untyped ; +; VCO_MIN ; 0 ; Untyped ; +; VCO_MAX ; 0 ; Untyped ; +; VCO_CENTER ; 0 ; Untyped ; +; PFD_MIN ; 0 ; Untyped ; +; PFD_MAX ; 0 ; Untyped ; +; M_INITIAL ; 0 ; Untyped ; +; M ; 0 ; Untyped ; +; N ; 1 ; Untyped ; +; M2 ; 1 ; Untyped ; +; N2 ; 1 ; Untyped ; +; SS ; 1 ; Untyped ; +; C0_HIGH ; 0 ; Untyped ; +; C1_HIGH ; 0 ; Untyped ; +; C2_HIGH ; 0 ; Untyped ; +; C3_HIGH ; 0 ; Untyped ; +; C4_HIGH ; 0 ; Untyped ; +; C5_HIGH ; 0 ; Untyped ; +; C6_HIGH ; 0 ; Untyped ; +; C7_HIGH ; 0 ; Untyped ; +; C8_HIGH ; 0 ; Untyped ; +; C9_HIGH ; 0 ; Untyped ; +; C0_LOW ; 0 ; Untyped ; +; C1_LOW ; 0 ; Untyped ; +; C2_LOW ; 0 ; Untyped ; +; C3_LOW ; 0 ; Untyped ; +; C4_LOW ; 0 ; Untyped ; +; C5_LOW ; 0 ; Untyped ; +; C6_LOW ; 0 ; Untyped ; +; C7_LOW ; 0 ; Untyped ; +; C8_LOW ; 0 ; Untyped ; +; C9_LOW ; 0 ; Untyped ; +; C0_INITIAL ; 0 ; Untyped ; +; C1_INITIAL ; 0 ; Untyped ; +; C2_INITIAL ; 0 ; Untyped ; +; C3_INITIAL ; 0 ; Untyped ; +; C4_INITIAL ; 0 ; Untyped ; +; C5_INITIAL ; 0 ; Untyped ; +; C6_INITIAL ; 0 ; Untyped ; +; C7_INITIAL ; 0 ; Untyped ; +; C8_INITIAL ; 0 ; Untyped ; +; C9_INITIAL ; 0 ; Untyped ; +; C0_MODE ; BYPASS ; Untyped ; +; C1_MODE ; BYPASS ; Untyped ; +; C2_MODE ; BYPASS ; Untyped ; +; C3_MODE ; BYPASS ; Untyped ; +; C4_MODE ; BYPASS ; Untyped ; +; C5_MODE ; BYPASS ; Untyped ; +; C6_MODE ; BYPASS ; Untyped ; +; C7_MODE ; BYPASS ; Untyped ; +; C8_MODE ; BYPASS ; Untyped ; +; C9_MODE ; BYPASS ; Untyped ; +; C0_PH ; 0 ; Untyped ; +; C1_PH ; 0 ; Untyped ; +; C2_PH ; 0 ; Untyped ; +; C3_PH ; 0 ; Untyped ; +; C4_PH ; 0 ; Untyped ; +; C5_PH ; 0 ; Untyped ; +; C6_PH ; 0 ; Untyped ; +; C7_PH ; 0 ; Untyped ; +; C8_PH ; 0 ; Untyped ; +; C9_PH ; 0 ; Untyped ; +; L0_HIGH ; 1 ; Untyped ; +; L1_HIGH ; 1 ; Untyped ; +; G0_HIGH ; 1 ; Untyped ; +; G1_HIGH ; 1 ; Untyped ; +; G2_HIGH ; 1 ; Untyped ; +; G3_HIGH ; 1 ; Untyped ; +; E0_HIGH ; 1 ; Untyped ; +; E1_HIGH ; 1 ; Untyped ; +; E2_HIGH ; 1 ; Untyped ; +; E3_HIGH ; 1 ; Untyped ; +; L0_LOW ; 1 ; Untyped ; +; L1_LOW ; 1 ; Untyped ; +; G0_LOW ; 1 ; Untyped ; +; G1_LOW ; 1 ; Untyped ; +; G2_LOW ; 1 ; Untyped ; +; G3_LOW ; 1 ; Untyped ; +; E0_LOW ; 1 ; Untyped ; +; E1_LOW ; 1 ; Untyped ; +; E2_LOW ; 1 ; Untyped ; +; E3_LOW ; 1 ; Untyped ; +; L0_INITIAL ; 1 ; Untyped ; +; L1_INITIAL ; 1 ; Untyped ; +; G0_INITIAL ; 1 ; Untyped ; +; G1_INITIAL ; 1 ; Untyped ; +; G2_INITIAL ; 1 ; Untyped ; +; G3_INITIAL ; 1 ; Untyped ; +; E0_INITIAL ; 1 ; Untyped ; +; E1_INITIAL ; 1 ; Untyped ; +; E2_INITIAL ; 1 ; Untyped ; +; E3_INITIAL ; 1 ; Untyped ; +; L0_MODE ; BYPASS ; Untyped ; +; L1_MODE ; BYPASS ; Untyped ; +; G0_MODE ; BYPASS ; Untyped ; +; G1_MODE ; BYPASS ; Untyped ; +; G2_MODE ; BYPASS ; Untyped ; +; G3_MODE ; BYPASS ; Untyped ; +; E0_MODE ; BYPASS ; Untyped ; +; E1_MODE ; BYPASS ; Untyped ; +; E2_MODE ; BYPASS ; Untyped ; +; E3_MODE ; BYPASS ; Untyped ; +; L0_PH ; 0 ; Untyped ; +; L1_PH ; 0 ; Untyped ; +; G0_PH ; 0 ; Untyped ; +; G1_PH ; 0 ; Untyped ; +; G2_PH ; 0 ; Untyped ; +; G3_PH ; 0 ; Untyped ; +; E0_PH ; 0 ; Untyped ; +; E1_PH ; 0 ; Untyped ; +; E2_PH ; 0 ; Untyped ; +; E3_PH ; 0 ; Untyped ; +; M_PH ; 0 ; Untyped ; +; C1_USE_CASC_IN ; OFF ; Untyped ; +; C2_USE_CASC_IN ; OFF ; Untyped ; +; C3_USE_CASC_IN ; OFF ; Untyped ; +; C4_USE_CASC_IN ; OFF ; Untyped ; +; C5_USE_CASC_IN ; OFF ; Untyped ; +; C6_USE_CASC_IN ; OFF ; Untyped ; +; C7_USE_CASC_IN ; OFF ; Untyped ; +; C8_USE_CASC_IN ; OFF ; Untyped ; +; C9_USE_CASC_IN ; OFF ; Untyped ; +; CLK0_COUNTER ; G0 ; Untyped ; +; CLK1_COUNTER ; G0 ; Untyped ; +; CLK2_COUNTER ; G0 ; Untyped ; +; CLK3_COUNTER ; G0 ; Untyped ; +; CLK4_COUNTER ; G0 ; Untyped ; +; CLK5_COUNTER ; G0 ; Untyped ; +; CLK6_COUNTER ; E0 ; Untyped ; +; CLK7_COUNTER ; E1 ; Untyped ; +; CLK8_COUNTER ; E2 ; Untyped ; +; CLK9_COUNTER ; E3 ; Untyped ; +; L0_TIME_DELAY ; 0 ; Untyped ; +; L1_TIME_DELAY ; 0 ; Untyped ; +; G0_TIME_DELAY ; 0 ; Untyped ; +; G1_TIME_DELAY ; 0 ; Untyped ; +; G2_TIME_DELAY ; 0 ; Untyped ; +; G3_TIME_DELAY ; 0 ; Untyped ; +; E0_TIME_DELAY ; 0 ; Untyped ; +; E1_TIME_DELAY ; 0 ; Untyped ; +; E2_TIME_DELAY ; 0 ; Untyped ; +; E3_TIME_DELAY ; 0 ; Untyped ; +; M_TIME_DELAY ; 0 ; Untyped ; +; N_TIME_DELAY ; 0 ; Untyped ; +; EXTCLK3_COUNTER ; E3 ; Untyped ; +; EXTCLK2_COUNTER ; E2 ; Untyped ; +; EXTCLK1_COUNTER ; E1 ; Untyped ; +; EXTCLK0_COUNTER ; E0 ; Untyped ; +; ENABLE0_COUNTER ; L0 ; Untyped ; +; ENABLE1_COUNTER ; L0 ; Untyped ; +; CHARGE_PUMP_CURRENT ; 2 ; Untyped ; +; LOOP_FILTER_R ; 1.000000 ; Untyped ; +; LOOP_FILTER_C ; 5 ; Untyped ; +; CHARGE_PUMP_CURRENT_BITS ; 9999 ; Untyped ; +; LOOP_FILTER_R_BITS ; 9999 ; Untyped ; +; LOOP_FILTER_C_BITS ; 9999 ; Untyped ; +; VCO_POST_SCALE ; 0 ; Untyped ; +; CLK2_OUTPUT_FREQUENCY ; 0 ; Untyped ; +; CLK1_OUTPUT_FREQUENCY ; 0 ; Untyped ; +; CLK0_OUTPUT_FREQUENCY ; 0 ; Untyped ; +; INTENDED_DEVICE_FAMILY ; Cyclone III ; Untyped ; +; PORT_CLKENA0 ; PORT_UNUSED ; Untyped ; +; PORT_CLKENA1 ; PORT_UNUSED ; Untyped ; +; PORT_CLKENA2 ; PORT_UNUSED ; Untyped ; +; PORT_CLKENA3 ; PORT_UNUSED ; Untyped ; +; PORT_CLKENA4 ; PORT_UNUSED ; Untyped ; +; PORT_CLKENA5 ; PORT_UNUSED ; Untyped ; +; PORT_EXTCLKENA0 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_EXTCLKENA1 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_EXTCLKENA2 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_EXTCLKENA3 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_EXTCLK0 ; PORT_UNUSED ; Untyped ; +; PORT_EXTCLK1 ; PORT_UNUSED ; Untyped ; +; PORT_EXTCLK2 ; PORT_UNUSED ; Untyped ; +; PORT_EXTCLK3 ; PORT_UNUSED ; Untyped ; +; PORT_CLKBAD0 ; PORT_UNUSED ; Untyped ; +; PORT_CLKBAD1 ; PORT_UNUSED ; Untyped ; +; PORT_CLK0 ; PORT_USED ; Untyped ; +; PORT_CLK1 ; PORT_UNUSED ; Untyped ; +; PORT_CLK2 ; PORT_UNUSED ; Untyped ; +; PORT_CLK3 ; PORT_UNUSED ; Untyped ; +; PORT_CLK4 ; PORT_UNUSED ; Untyped ; +; PORT_CLK5 ; PORT_UNUSED ; Untyped ; +; PORT_CLK6 ; PORT_UNUSED ; Untyped ; +; PORT_CLK7 ; PORT_UNUSED ; Untyped ; +; PORT_CLK8 ; PORT_UNUSED ; Untyped ; +; PORT_CLK9 ; PORT_UNUSED ; Untyped ; +; PORT_SCANDATA ; PORT_USED ; Untyped ; +; PORT_SCANDATAOUT ; PORT_USED ; Untyped ; +; PORT_SCANDONE ; PORT_USED ; Untyped ; +; PORT_SCLKOUT1 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_SCLKOUT0 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_ACTIVECLOCK ; PORT_UNUSED ; Untyped ; +; PORT_CLKLOSS ; PORT_UNUSED ; Untyped ; +; PORT_INCLK1 ; PORT_UNUSED ; Untyped ; +; PORT_INCLK0 ; PORT_USED ; Untyped ; +; PORT_FBIN ; PORT_UNUSED ; Untyped ; +; PORT_PLLENA ; PORT_UNUSED ; Untyped ; +; PORT_CLKSWITCH ; PORT_UNUSED ; Untyped ; +; PORT_ARESET ; PORT_USED ; Untyped ; +; PORT_PFDENA ; PORT_UNUSED ; Untyped ; +; PORT_SCANCLK ; PORT_USED ; Untyped ; +; PORT_SCANACLR ; PORT_UNUSED ; Untyped ; +; PORT_SCANREAD ; PORT_UNUSED ; Untyped ; +; PORT_SCANWRITE ; PORT_UNUSED ; Untyped ; +; PORT_ENABLE0 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_ENABLE1 ; PORT_CONNECTIVITY ; Untyped ; +; PORT_LOCKED ; PORT_USED ; Untyped ; +; PORT_CONFIGUPDATE ; PORT_USED ; Untyped ; +; PORT_FBOUT ; PORT_CONNECTIVITY ; Untyped ; +; PORT_PHASEDONE ; PORT_UNUSED ; Untyped ; +; PORT_PHASESTEP ; PORT_UNUSED ; Untyped ; +; PORT_PHASEUPDOWN ; PORT_UNUSED ; Untyped ; +; PORT_SCANCLKENA ; PORT_USED ; Untyped ; +; PORT_PHASECOUNTERSELECT ; PORT_UNUSED ; Untyped ; +; PORT_VCOOVERRANGE ; PORT_CONNECTIVITY ; Untyped ; +; PORT_VCOUNDERRANGE ; PORT_CONNECTIVITY ; Untyped ; +; M_TEST_SOURCE ; 5 ; Untyped ; +; C0_TEST_SOURCE ; 5 ; Untyped ; +; C1_TEST_SOURCE ; 5 ; Untyped ; +; C2_TEST_SOURCE ; 5 ; Untyped ; +; C3_TEST_SOURCE ; 5 ; Untyped ; +; C4_TEST_SOURCE ; 5 ; Untyped ; +; C5_TEST_SOURCE ; 5 ; Untyped ; +; C6_TEST_SOURCE ; 5 ; Untyped ; +; C7_TEST_SOURCE ; 5 ; Untyped ; +; C8_TEST_SOURCE ; 5 ; Untyped ; +; C9_TEST_SOURCE ; 5 ; Untyped ; +; CBXI_PARAMETER ; altpll_r4n2 ; Untyped ; +; VCO_FREQUENCY_CONTROL ; AUTO ; Untyped ; +; VCO_PHASE_SHIFT_STEP ; 0 ; Untyped ; +; WIDTH_CLOCK ; 5 ; Untyped ; +; WIDTH_PHASECOUNTERSELECT ; 4 ; Untyped ; +; USING_FBMIMICBIDIR_PORT ; OFF ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; SCAN_CHAIN_MIF_FILE ; altpll4.mif ; Untyped ; +; SIM_GATE_LOCK_DEVICE_BEHAVIOR ; OFF ; Untyped ; +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; ++-------------------------------+-------------------+---------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++-----------------------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component ; ++-----------------+-------+---------------------------------------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++-----------------+-------+---------------------------------------------------------------------------------------------------------------+ +; WIDTH_BYTEENA_A ; 1 ; Untyped ; +; WIDTH_BYTEENA_B ; 1 ; Untyped ; +; WIDTH_ECCSTATUS ; 3 ; Untyped ; ++-----------------+-------+---------------------------------------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|altsyncram:altsyncram4 ; ++------------------------------------+----------------------+----------------------------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------------------+----------------------+----------------------------------------------------------------------------------------------------+ +; BYTE_SIZE_BLOCK ; 8 ; Untyped ; +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; +; WIDTH_BYTEENA ; 1 ; Untyped ; +; OPERATION_MODE ; SINGLE_PORT ; Untyped ; +; WIDTH_A ; 1 ; Untyped ; +; WIDTHAD_A ; 8 ; Untyped ; +; NUMWORDS_A ; 144 ; Untyped ; +; OUTDATA_REG_A ; UNREGISTERED ; Untyped ; +; ADDRESS_ACLR_A ; NONE ; Untyped ; +; OUTDATA_ACLR_A ; NONE ; Untyped ; +; WRCONTROL_ACLR_A ; NONE ; Untyped ; +; INDATA_ACLR_A ; NONE ; Untyped ; +; BYTEENA_ACLR_A ; NONE ; Untyped ; +; WIDTH_B ; 1 ; Untyped ; +; WIDTHAD_B ; 1 ; Untyped ; +; NUMWORDS_B ; 1 ; Untyped ; +; INDATA_REG_B ; CLOCK1 ; Untyped ; +; WRCONTROL_WRADDRESS_REG_B ; CLOCK1 ; Untyped ; +; RDCONTROL_REG_B ; CLOCK1 ; Untyped ; +; ADDRESS_REG_B ; CLOCK1 ; Untyped ; +; OUTDATA_REG_B ; UNREGISTERED ; Untyped ; +; BYTEENA_REG_B ; CLOCK1 ; Untyped ; +; INDATA_ACLR_B ; NONE ; Untyped ; +; WRCONTROL_ACLR_B ; NONE ; Untyped ; +; ADDRESS_ACLR_B ; NONE ; Untyped ; +; OUTDATA_ACLR_B ; NONE ; Untyped ; +; RDCONTROL_ACLR_B ; NONE ; Untyped ; +; BYTEENA_ACLR_B ; NONE ; Untyped ; +; WIDTH_BYTEENA_A ; 1 ; Untyped ; +; WIDTH_BYTEENA_B ; 1 ; Untyped ; +; RAM_BLOCK_TYPE ; AUTO ; Untyped ; +; BYTE_SIZE ; 8 ; Untyped ; +; READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; Untyped ; +; READ_DURING_WRITE_MODE_PORT_A ; NEW_DATA_NO_NBE_READ ; Untyped ; +; READ_DURING_WRITE_MODE_PORT_B ; NEW_DATA_NO_NBE_READ ; Untyped ; +; INIT_FILE ; UNUSED ; Untyped ; +; INIT_FILE_LAYOUT ; PORT_A ; Untyped ; +; MAXIMUM_DEPTH ; 0 ; Untyped ; +; CLOCK_ENABLE_INPUT_A ; NORMAL ; Untyped ; +; CLOCK_ENABLE_INPUT_B ; NORMAL ; Untyped ; +; CLOCK_ENABLE_OUTPUT_A ; NORMAL ; Untyped ; +; CLOCK_ENABLE_OUTPUT_B ; NORMAL ; Untyped ; +; CLOCK_ENABLE_CORE_A ; USE_INPUT_CLKEN ; Untyped ; +; CLOCK_ENABLE_CORE_B ; USE_INPUT_CLKEN ; Untyped ; +; ENABLE_ECC ; FALSE ; Untyped ; +; ECC_PIPELINE_STAGE_ENABLED ; FALSE ; Untyped ; +; WIDTH_ECCSTATUS ; 3 ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; CBXI_PARAMETER ; altsyncram_46r ; Untyped ; ++------------------------------------+----------------------+----------------------------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++--------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_add_sub:add_sub5 ; ++------------------------+-------------+-----------------------------------------------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+-------------+-----------------------------------------------------------------------------------------------------------------------+ +; LPM_WIDTH ; 9 ; Untyped ; +; LPM_REPRESENTATION ; SIGNED ; Untyped ; +; LPM_DIRECTION ; DEFAULT ; Untyped ; +; ONE_INPUT_IS_CONSTANT ; NO ; Untyped ; +; LPM_PIPELINE ; 0 ; Untyped ; +; MAXIMIZE_SPEED ; 5 ; Untyped ; +; REGISTERED_AT_END ; 0 ; Untyped ; +; OPTIMIZE_FOR_SPEED ; 5 ; Untyped ; +; USE_CS_BUFFERS ; 1 ; Untyped ; +; CARRY_CHAIN ; MANUAL ; Untyped ; +; CARRY_CHAIN_LENGTH ; 48 ; CARRY_CHAIN_LENGTH ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; USE_WYS ; OFF ; Untyped ; +; STYLE ; FAST ; Untyped ; +; CBXI_PARAMETER ; add_sub_hpa ; Untyped ; +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; ++------------------------+-------------+-----------------------------------------------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++--------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_add_sub:add_sub6 ; ++------------------------+-------------+-----------------------------------------------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+-------------+-----------------------------------------------------------------------------------------------------------------------+ +; LPM_WIDTH ; 8 ; Untyped ; +; LPM_REPRESENTATION ; SIGNED ; Untyped ; +; LPM_DIRECTION ; DEFAULT ; Untyped ; +; ONE_INPUT_IS_CONSTANT ; NO ; Untyped ; +; LPM_PIPELINE ; 0 ; Untyped ; +; MAXIMIZE_SPEED ; 5 ; Untyped ; +; REGISTERED_AT_END ; 0 ; Untyped ; +; OPTIMIZE_FOR_SPEED ; 5 ; Untyped ; +; USE_CS_BUFFERS ; 1 ; Untyped ; +; CARRY_CHAIN ; MANUAL ; Untyped ; +; CARRY_CHAIN_LENGTH ; 48 ; CARRY_CHAIN_LENGTH ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; USE_WYS ; OFF ; Untyped ; +; STYLE ; FAST ; Untyped ; +; CBXI_PARAMETER ; add_sub_k8a ; Untyped ; +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; ++------------------------+-------------+-----------------------------------------------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_compare:cmpr7 ; ++------------------------+-------------+--------------------------------------------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+-------------+--------------------------------------------------------------------------------------------------------------------+ +; lpm_width ; 8 ; Untyped ; +; LPM_REPRESENTATION ; UNSIGNED ; Untyped ; +; LPM_PIPELINE ; 0 ; Untyped ; +; CHAIN_SIZE ; 8 ; Untyped ; +; ONE_INPUT_IS_CONSTANT ; NO ; Untyped ; +; CARRY_CHAIN ; MANUAL ; Untyped ; +; CASCADE_CHAIN ; MANUAL ; Untyped ; +; CARRY_CHAIN_LENGTH ; 48 ; CARRY_CHAIN_LENGTH ; +; CASCADE_CHAIN_LENGTH ; 2 ; CASCADE_CHAIN_LENGTH ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; CBXI_PARAMETER ; cmpr_tnd ; Untyped ; +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; ++------------------------+-------------+--------------------------------------------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr1 ; ++------------------------+-------------+--------------------------------------------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+-------------+--------------------------------------------------------------------------------------------------------------------+ +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; +; LPM_WIDTH ; 8 ; Untyped ; +; LPM_DIRECTION ; DOWN ; Untyped ; +; LPM_MODULUS ; 144 ; Untyped ; +; LPM_AVALUE ; UNUSED ; Untyped ; +; LPM_SVALUE ; UNUSED ; Untyped ; +; LPM_PORT_UPDOWN ; PORT_UNUSED ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; CARRY_CHAIN ; MANUAL ; Untyped ; +; CARRY_CHAIN_LENGTH ; 48 ; CARRY_CHAIN_LENGTH ; +; NOT_GATE_PUSH_BACK ; ON ; NOT_GATE_PUSH_BACK ; +; CARRY_CNT_EN ; SMART ; Untyped ; +; LABWIDE_SCLR ; ON ; Untyped ; +; USE_NEW_VERSION ; TRUE ; Untyped ; +; CBXI_PARAMETER ; cntr_30l ; Untyped ; ++------------------------+-------------+--------------------------------------------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr12 ; ++------------------------+-------------+---------------------------------------------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+-------------+---------------------------------------------------------------------------------------------------------------------+ +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; +; LPM_WIDTH ; 8 ; Untyped ; +; LPM_DIRECTION ; DOWN ; Untyped ; +; LPM_MODULUS ; 144 ; Untyped ; +; LPM_AVALUE ; UNUSED ; Untyped ; +; LPM_SVALUE ; UNUSED ; Untyped ; +; LPM_PORT_UPDOWN ; PORT_UNUSED ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; CARRY_CHAIN ; MANUAL ; Untyped ; +; CARRY_CHAIN_LENGTH ; 48 ; CARRY_CHAIN_LENGTH ; +; NOT_GATE_PUSH_BACK ; ON ; NOT_GATE_PUSH_BACK ; +; CARRY_CNT_EN ; SMART ; Untyped ; +; LABWIDE_SCLR ; ON ; Untyped ; +; USE_NEW_VERSION ; TRUE ; Untyped ; +; CBXI_PARAMETER ; cntr_30l ; Untyped ; ++------------------------+-------------+---------------------------------------------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr13 ; ++------------------------+-------------+---------------------------------------------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+-------------+---------------------------------------------------------------------------------------------------------------------+ +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; +; LPM_WIDTH ; 6 ; Untyped ; +; LPM_DIRECTION ; DOWN ; Untyped ; +; LPM_MODULUS ; 0 ; Untyped ; +; LPM_AVALUE ; UNUSED ; Untyped ; +; LPM_SVALUE ; UNUSED ; Untyped ; +; LPM_PORT_UPDOWN ; PORT_UNUSED ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; CARRY_CHAIN ; MANUAL ; Untyped ; +; CARRY_CHAIN_LENGTH ; 48 ; CARRY_CHAIN_LENGTH ; +; NOT_GATE_PUSH_BACK ; ON ; NOT_GATE_PUSH_BACK ; +; CARRY_CNT_EN ; SMART ; Untyped ; +; LABWIDE_SCLR ; ON ; Untyped ; +; USE_NEW_VERSION ; TRUE ; Untyped ; +; CBXI_PARAMETER ; cntr_qij ; Untyped ; ++------------------------+-------------+---------------------------------------------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr14 ; ++------------------------+-------------+---------------------------------------------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+-------------+---------------------------------------------------------------------------------------------------------------------+ +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; +; LPM_WIDTH ; 5 ; Untyped ; +; LPM_DIRECTION ; DOWN ; Untyped ; +; LPM_MODULUS ; 0 ; Untyped ; +; LPM_AVALUE ; UNUSED ; Untyped ; +; LPM_SVALUE ; UNUSED ; Untyped ; +; LPM_PORT_UPDOWN ; PORT_UNUSED ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; CARRY_CHAIN ; MANUAL ; Untyped ; +; CARRY_CHAIN_LENGTH ; 48 ; CARRY_CHAIN_LENGTH ; +; NOT_GATE_PUSH_BACK ; ON ; NOT_GATE_PUSH_BACK ; +; CARRY_CNT_EN ; SMART ; Untyped ; +; LABWIDE_SCLR ; ON ; Untyped ; +; USE_NEW_VERSION ; TRUE ; Untyped ; +; CBXI_PARAMETER ; cntr_pij ; Untyped ; ++------------------------+-------------+---------------------------------------------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr15 ; ++------------------------+-------------+---------------------------------------------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+-------------+---------------------------------------------------------------------------------------------------------------------+ +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; +; LPM_WIDTH ; 8 ; Untyped ; +; LPM_DIRECTION ; DOWN ; Untyped ; +; LPM_MODULUS ; 144 ; Untyped ; +; LPM_AVALUE ; UNUSED ; Untyped ; +; LPM_SVALUE ; UNUSED ; Untyped ; +; LPM_PORT_UPDOWN ; PORT_UNUSED ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; CARRY_CHAIN ; MANUAL ; Untyped ; +; CARRY_CHAIN_LENGTH ; 48 ; CARRY_CHAIN_LENGTH ; +; NOT_GATE_PUSH_BACK ; ON ; NOT_GATE_PUSH_BACK ; +; CARRY_CNT_EN ; SMART ; Untyped ; +; LABWIDE_SCLR ; ON ; Untyped ; +; USE_NEW_VERSION ; TRUE ; Untyped ; +; CBXI_PARAMETER ; cntr_30l ; Untyped ; ++------------------------+-------------+---------------------------------------------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr2 ; ++------------------------+-------------+--------------------------------------------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+-------------+--------------------------------------------------------------------------------------------------------------------+ +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; +; LPM_WIDTH ; 8 ; Untyped ; +; LPM_DIRECTION ; UP ; Untyped ; +; LPM_MODULUS ; 0 ; Untyped ; +; LPM_AVALUE ; UNUSED ; Untyped ; +; LPM_SVALUE ; UNUSED ; Untyped ; +; LPM_PORT_UPDOWN ; PORT_UNUSED ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; CARRY_CHAIN ; MANUAL ; Untyped ; +; CARRY_CHAIN_LENGTH ; 48 ; CARRY_CHAIN_LENGTH ; +; NOT_GATE_PUSH_BACK ; ON ; NOT_GATE_PUSH_BACK ; +; CARRY_CNT_EN ; SMART ; Untyped ; +; LABWIDE_SCLR ; ON ; Untyped ; +; USE_NEW_VERSION ; TRUE ; Untyped ; +; CBXI_PARAMETER ; cntr_9cj ; Untyped ; ++------------------------+-------------+--------------------------------------------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr3 ; ++------------------------+-------------+--------------------------------------------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+-------------+--------------------------------------------------------------------------------------------------------------------+ +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; +; LPM_WIDTH ; 5 ; Untyped ; +; LPM_DIRECTION ; DOWN ; Untyped ; +; LPM_MODULUS ; 0 ; Untyped ; +; LPM_AVALUE ; UNUSED ; Untyped ; +; LPM_SVALUE ; UNUSED ; Untyped ; +; LPM_PORT_UPDOWN ; PORT_UNUSED ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; CARRY_CHAIN ; MANUAL ; Untyped ; +; CARRY_CHAIN_LENGTH ; 48 ; CARRY_CHAIN_LENGTH ; +; NOT_GATE_PUSH_BACK ; ON ; NOT_GATE_PUSH_BACK ; +; CARRY_CNT_EN ; SMART ; Untyped ; +; LABWIDE_SCLR ; ON ; Untyped ; +; USE_NEW_VERSION ; TRUE ; Untyped ; +; CBXI_PARAMETER ; cntr_pij ; Untyped ; ++------------------------+-------------+--------------------------------------------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_decode:decode11 ; ++------------------------+-------------+----------------------------------------------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+-------------+----------------------------------------------------------------------------------------------------------------------+ +; LPM_WIDTH ; 3 ; Untyped ; +; LPM_DECODES ; 5 ; Untyped ; +; LPM_PIPELINE ; 0 ; Untyped ; +; CASCADE_CHAIN ; MANUAL ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; CBXI_PARAMETER ; decode_2af ; Untyped ; +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; ++------------------------+-------------+----------------------------------------------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: lpm_ff0:inst1|lpm_ff:lpm_ff_component ; ++------------------------+-------------+---------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+-------------+---------------------------------------------+ +; LPM_WIDTH ; 32 ; Signed Integer ; +; LPM_AVALUE ; UNUSED ; Untyped ; +; LPM_SVALUE ; UNUSED ; Untyped ; +; LPM_FFTYPE ; DFF ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; CBXI_PARAMETER ; NOTHING ; Untyped ; +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; ++------------------------+-------------+---------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++-----------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: interrupt_handler:nobody|lpm_bustri_BYT:$00000|lpm_bustri:lpm_bustri_component ; ++----------------+-------+----------------------------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++----------------+-------+----------------------------------------------------------------------------------------------------+ +; LPM_WIDTH ; 8 ; Signed Integer ; ++----------------+-------+----------------------------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++-----------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: interrupt_handler:nobody|lpm_bustri_BYT:$00002|lpm_bustri:lpm_bustri_component ; ++----------------+-------+----------------------------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++----------------+-------+----------------------------------------------------------------------------------------------------+ +; LPM_WIDTH ; 8 ; Signed Integer ; ++----------------+-------+----------------------------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++-----------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: interrupt_handler:nobody|lpm_bustri_BYT:$00004|lpm_bustri:lpm_bustri_component ; ++----------------+-------+----------------------------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++----------------+-------+----------------------------------------------------------------------------------------------------+ +; LPM_WIDTH ; 8 ; Signed Integer ; ++----------------+-------+----------------------------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++-----------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: interrupt_handler:nobody|lpm_bustri_BYT:$00006|lpm_bustri:lpm_bustri_component ; ++----------------+-------+----------------------------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++----------------+-------+----------------------------------------------------------------------------------------------------+ +; LPM_WIDTH ; 8 ; Signed Integer ; ++----------------+-------+----------------------------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++----------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: lpm_counter0:inst18|lpm_counter:lpm_counter_component ; ++------------------------+-------------+-------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+-------------+-------------------------------------------------------------+ +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; +; LPM_WIDTH ; 18 ; Signed Integer ; +; LPM_DIRECTION ; UP ; Untyped ; +; LPM_MODULUS ; 0 ; Untyped ; +; LPM_AVALUE ; UNUSED ; Untyped ; +; LPM_SVALUE ; UNUSED ; Untyped ; +; LPM_PORT_UPDOWN ; PORT_UNUSED ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; CARRY_CHAIN ; MANUAL ; Untyped ; +; CARRY_CHAIN_LENGTH ; 48 ; CARRY_CHAIN_LENGTH ; +; NOT_GATE_PUSH_BACK ; ON ; NOT_GATE_PUSH_BACK ; +; CARRY_CNT_EN ; SMART ; Untyped ; +; LABWIDE_SCLR ; ON ; Untyped ; +; USE_NEW_VERSION ; TRUE ; Untyped ; +; CBXI_PARAMETER ; cntr_mph ; Untyped ; ++------------------------+-------------+-------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++---------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: altddio_out3:inst5|altddio_out:altddio_out_component ; ++------------------------+--------------+-----------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+--------------+-----------------------------------------------------------+ +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; +; WIDTH ; 1 ; Signed Integer ; +; POWER_UP_HIGH ; OFF ; Untyped ; +; OE_REG ; UNUSED ; Untyped ; +; extend_oe_disable ; UNUSED ; Untyped ; +; INTENDED_DEVICE_FAMILY ; Cyclone III ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; CBXI_PARAMETER ; ddio_out_31f ; Untyped ; ++------------------------+--------------+-----------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++---------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: altddio_out3:inst6|altddio_out:altddio_out_component ; ++------------------------+--------------+-----------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+--------------+-----------------------------------------------------------+ +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; +; WIDTH ; 1 ; Signed Integer ; +; POWER_UP_HIGH ; OFF ; Untyped ; +; OE_REG ; UNUSED ; Untyped ; +; extend_oe_disable ; UNUSED ; Untyped ; +; INTENDED_DEVICE_FAMILY ; Cyclone III ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; CBXI_PARAMETER ; ddio_out_31f ; Untyped ; ++------------------------+--------------+-----------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++---------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: altddio_out3:inst8|altddio_out:altddio_out_component ; ++------------------------+--------------+-----------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+--------------+-----------------------------------------------------------+ +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; +; WIDTH ; 1 ; Signed Integer ; +; POWER_UP_HIGH ; OFF ; Untyped ; +; OE_REG ; UNUSED ; Untyped ; +; extend_oe_disable ; UNUSED ; Untyped ; +; INTENDED_DEVICE_FAMILY ; Cyclone III ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; CBXI_PARAMETER ; ddio_out_31f ; Untyped ; ++------------------------+--------------+-----------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++---------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: altddio_out3:inst9|altddio_out:altddio_out_component ; ++------------------------+--------------+-----------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+--------------+-----------------------------------------------------------+ +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; +; WIDTH ; 1 ; Signed Integer ; +; POWER_UP_HIGH ; OFF ; Untyped ; +; OE_REG ; UNUSED ; Untyped ; +; extend_oe_disable ; UNUSED ; Untyped ; +; INTENDED_DEVICE_FAMILY ; Cyclone III ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; CBXI_PARAMETER ; ddio_out_31f ; Untyped ; ++------------------------+--------------+-----------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++------------------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for Inferred Entity Instance: Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_14 ; ++------------------------------------------------+-------------+---------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------------------------------+-------------+---------------------------------------------------------------------+ +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; +; LPM_WIDTHA ; 12 ; Untyped ; +; LPM_WIDTHB ; 6 ; Untyped ; +; LPM_WIDTHP ; 18 ; Untyped ; +; LPM_WIDTHR ; 18 ; Untyped ; +; LPM_WIDTHS ; 1 ; Untyped ; +; LPM_REPRESENTATION ; UNSIGNED ; Untyped ; +; LPM_PIPELINE ; 0 ; Untyped ; +; LATENCY ; 0 ; Untyped ; +; INPUT_A_IS_CONSTANT ; NO ; Untyped ; +; INPUT_B_IS_CONSTANT ; NO ; Untyped ; +; USE_EAB ; OFF ; Untyped ; +; MAXIMIZE_SPEED ; 5 ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; CARRY_CHAIN ; MANUAL ; Untyped ; +; APEX20K_TECHNOLOGY_MAPPER ; LUT ; TECH_MAPPER_APEX20K ; +; DEDICATED_MULTIPLIER_CIRCUITRY ; AUTO ; Untyped ; +; DEDICATED_MULTIPLIER_MIN_INPUT_WIDTH_FOR_AUTO ; 0 ; Untyped ; +; DEDICATED_MULTIPLIER_MIN_OUTPUT_WIDTH_FOR_AUTO ; 0 ; Untyped ; +; CBXI_PARAMETER ; mult_cat ; Untyped ; +; INPUT_A_FIXED_VALUE ; Bx ; Untyped ; +; INPUT_B_FIXED_VALUE ; Bx ; Untyped ; +; USE_AHDL_IMPLEMENTATION ; OFF ; Untyped ; ++------------------------------------------------+-------------+---------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++-----------------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for Inferred Entity Instance: Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_6 ; ++------------------------------------------------+-------------+--------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------------------------------+-------------+--------------------------------------------------------------------+ +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; +; LPM_WIDTHA ; 12 ; Untyped ; +; LPM_WIDTHB ; 5 ; Untyped ; +; LPM_WIDTHP ; 17 ; Untyped ; +; LPM_WIDTHR ; 17 ; Untyped ; +; LPM_WIDTHS ; 1 ; Untyped ; +; LPM_REPRESENTATION ; UNSIGNED ; Untyped ; +; LPM_PIPELINE ; 0 ; Untyped ; +; LATENCY ; 0 ; Untyped ; +; INPUT_A_IS_CONSTANT ; NO ; Untyped ; +; INPUT_B_IS_CONSTANT ; NO ; Untyped ; +; USE_EAB ; OFF ; Untyped ; +; MAXIMIZE_SPEED ; 5 ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; CARRY_CHAIN ; MANUAL ; Untyped ; +; APEX20K_TECHNOLOGY_MAPPER ; LUT ; TECH_MAPPER_APEX20K ; +; DEDICATED_MULTIPLIER_CIRCUITRY ; AUTO ; Untyped ; +; DEDICATED_MULTIPLIER_MIN_INPUT_WIDTH_FOR_AUTO ; 0 ; Untyped ; +; DEDICATED_MULTIPLIER_MIN_OUTPUT_WIDTH_FOR_AUTO ; 0 ; Untyped ; +; CBXI_PARAMETER ; mult_aat ; Untyped ; +; INPUT_A_FIXED_VALUE ; Bx ; Untyped ; +; INPUT_B_FIXED_VALUE ; Bx ; Untyped ; +; USE_AHDL_IMPLEMENTATION ; OFF ; Untyped ; ++------------------------------------------------+-------------+--------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++------------------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for Inferred Entity Instance: Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_12 ; ++------------------------------------------------+-------------+---------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------------------------------+-------------+---------------------------------------------------------------------+ +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; +; LPM_WIDTHA ; 12 ; Untyped ; +; LPM_WIDTHB ; 5 ; Untyped ; +; LPM_WIDTHP ; 17 ; Untyped ; +; LPM_WIDTHR ; 17 ; Untyped ; +; LPM_WIDTHS ; 1 ; Untyped ; +; LPM_REPRESENTATION ; UNSIGNED ; Untyped ; +; LPM_PIPELINE ; 0 ; Untyped ; +; LATENCY ; 0 ; Untyped ; +; INPUT_A_IS_CONSTANT ; NO ; Untyped ; +; INPUT_B_IS_CONSTANT ; NO ; Untyped ; +; USE_EAB ; OFF ; Untyped ; +; MAXIMIZE_SPEED ; 5 ; Untyped ; +; DEVICE_FAMILY ; Cyclone III ; Untyped ; +; CARRY_CHAIN ; MANUAL ; Untyped ; +; APEX20K_TECHNOLOGY_MAPPER ; LUT ; TECH_MAPPER_APEX20K ; +; DEDICATED_MULTIPLIER_CIRCUITRY ; AUTO ; Untyped ; +; DEDICATED_MULTIPLIER_MIN_INPUT_WIDTH_FOR_AUTO ; 0 ; Untyped ; +; DEDICATED_MULTIPLIER_MIN_OUTPUT_WIDTH_FOR_AUTO ; 0 ; Untyped ; +; CBXI_PARAMETER ; mult_aat ; Untyped ; +; INPUT_A_FIXED_VALUE ; Bx ; Untyped ; +; INPUT_B_FIXED_VALUE ; Bx ; Untyped ; +; USE_AHDL_IMPLEMENTATION ; OFF ; Untyped ; ++------------------------------------------------+-------------+---------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++------------------------------------------------------------------------+ +; altpll Parameter Settings by Entity Instance ; ++-------------------------------+----------------------------------------+ +; Name ; Value ; ++-------------------------------+----------------------------------------+ +; Number of entity instances ; 4 ; +; Entity Instance ; altpll1:inst|altpll:altpll_component ; +; -- OPERATION_MODE ; SOURCE_SYNCHRONOUS ; +; -- PLL_TYPE ; AUTO ; +; -- PRIMARY_CLOCK ; INCLK0 ; +; -- INCLK0_INPUT_FREQUENCY ; 30303 ; +; -- INCLK1_INPUT_FREQUENCY ; 0 ; +; -- VCO_MULTIPLY_BY ; 0 ; +; -- VCO_DIVIDE_BY ; 0 ; +; Entity Instance ; altpll3:inst13|altpll:altpll_component ; +; -- OPERATION_MODE ; SOURCE_SYNCHRONOUS ; +; -- PLL_TYPE ; AUTO ; +; -- PRIMARY_CLOCK ; INCLK0 ; +; -- INCLK0_INPUT_FREQUENCY ; 30303 ; +; -- INCLK1_INPUT_FREQUENCY ; 0 ; +; -- VCO_MULTIPLY_BY ; 0 ; +; -- VCO_DIVIDE_BY ; 0 ; +; Entity Instance ; altpll2:inst12|altpll:altpll_component ; +; -- OPERATION_MODE ; SOURCE_SYNCHRONOUS ; +; -- PLL_TYPE ; AUTO ; +; -- PRIMARY_CLOCK ; INCLK0 ; +; -- INCLK0_INPUT_FREQUENCY ; 30303 ; +; -- INCLK1_INPUT_FREQUENCY ; 0 ; +; -- VCO_MULTIPLY_BY ; 0 ; +; -- VCO_DIVIDE_BY ; 0 ; +; Entity Instance ; altpll4:inst22|altpll:altpll_component ; +; -- OPERATION_MODE ; NORMAL ; +; -- PLL_TYPE ; AUTO ; +; -- PRIMARY_CLOCK ; INCLK0 ; +; -- INCLK0_INPUT_FREQUENCY ; 20833 ; +; -- INCLK1_INPUT_FREQUENCY ; 0 ; +; -- VCO_MULTIPLY_BY ; 0 ; +; -- VCO_DIVIDE_BY ; 0 ; ++-------------------------------+----------------------------------------+ + + ++--------------------------------------------------------------------------------------------------------------+ +; lpm_shiftreg Parameter Settings by Entity Instance ; ++----------------------------+---------------------------------------------------------------------------------+ +; Name ; Value ; ++----------------------------+---------------------------------------------------------------------------------+ +; Number of entity instances ; 11 ; +; Entity Instance ; Video:Fredi_Aschwanden|lpm_shiftreg6:inst89|lpm_shiftreg:lpm_shiftreg_component ; +; -- LPM_WIDTH ; 5 ; +; -- LPM_DIRECTION ; RIGHT ; +; Entity Instance ; Video:Fredi_Aschwanden|lpm_shiftreg4:inst26|lpm_shiftreg:lpm_shiftreg_component ; +; -- LPM_WIDTH ; 5 ; +; -- LPM_DIRECTION ; RIGHT ; +; Entity Instance ; Video:Fredi_Aschwanden|lpm_shiftreg6:inst92|lpm_shiftreg:lpm_shiftreg_component ; +; -- LPM_WIDTH ; 5 ; +; -- LPM_DIRECTION ; RIGHT ; +; Entity Instance ; Video:Fredi_Aschwanden|lpm_shiftreg0:sr0|lpm_shiftreg:lpm_shiftreg_component ; +; -- LPM_WIDTH ; 16 ; +; -- LPM_DIRECTION ; LEFT ; +; Entity Instance ; Video:Fredi_Aschwanden|lpm_shiftreg0:sr4|lpm_shiftreg:lpm_shiftreg_component ; +; -- LPM_WIDTH ; 16 ; +; -- LPM_DIRECTION ; LEFT ; +; Entity Instance ; Video:Fredi_Aschwanden|lpm_shiftreg0:sr5|lpm_shiftreg:lpm_shiftreg_component ; +; -- LPM_WIDTH ; 16 ; +; -- LPM_DIRECTION ; LEFT ; +; Entity Instance ; Video:Fredi_Aschwanden|lpm_shiftreg0:sr6|lpm_shiftreg:lpm_shiftreg_component ; +; -- LPM_WIDTH ; 16 ; +; -- LPM_DIRECTION ; LEFT ; +; Entity Instance ; Video:Fredi_Aschwanden|lpm_shiftreg0:sr7|lpm_shiftreg:lpm_shiftreg_component ; +; -- LPM_WIDTH ; 16 ; +; -- LPM_DIRECTION ; LEFT ; +; Entity Instance ; Video:Fredi_Aschwanden|lpm_shiftreg0:sr1|lpm_shiftreg:lpm_shiftreg_component ; +; -- LPM_WIDTH ; 16 ; +; -- LPM_DIRECTION ; LEFT ; +; Entity Instance ; Video:Fredi_Aschwanden|lpm_shiftreg0:sr2|lpm_shiftreg:lpm_shiftreg_component ; +; -- LPM_WIDTH ; 16 ; +; -- LPM_DIRECTION ; LEFT ; +; Entity Instance ; Video:Fredi_Aschwanden|lpm_shiftreg0:sr3|lpm_shiftreg:lpm_shiftreg_component ; +; -- LPM_WIDTH ; 16 ; +; -- LPM_DIRECTION ; LEFT ; ++----------------------------+---------------------------------------------------------------------------------+ + + ++-----------------------------------------------------------------------------------------------+ +; dcfifo Parameter Settings by Entity Instance ; ++----------------------------+------------------------------------------------------------------+ +; Name ; Value ; ++----------------------------+------------------------------------------------------------------+ +; Number of entity instances ; 1 ; +; Entity Instance ; Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component ; +; -- FIFO Type ; Dual Clock ; +; -- LPM_WIDTH ; 128 ; +; -- LPM_NUMWORDS ; 512 ; +; -- LPM_SHOWAHEAD ; OFF ; +; -- USE_EAB ; ON ; ++----------------------------+------------------------------------------------------------------+ + + ++-----------------------------------------------------------------------------------------------+ +; scfifo Parameter Settings by Entity Instance ; ++----------------------------+------------------------------------------------------------------+ +; Name ; Value ; ++----------------------------+------------------------------------------------------------------+ +; Number of entity instances ; 1 ; +; Entity Instance ; Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component ; +; -- FIFO Type ; Single Clock ; +; -- lpm_width ; 128 ; +; -- LPM_NUMWORDS ; 128 ; +; -- LPM_SHOWAHEAD ; ON ; +; -- USE_EAB ; ON ; ++----------------------------+------------------------------------------------------------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; altsyncram Parameter Settings by Entity Instance ; ++-------------------------------------------+-------------------------------------------------------------------------------------------------------------------+ +; Name ; Value ; ++-------------------------------------------+-------------------------------------------------------------------------------------------------------------------+ +; Number of entity instances ; 10 ; +; Entity Instance ; Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_RED|altsyncram:altsyncram_component ; +; -- OPERATION_MODE ; BIDIR_DUAL_PORT ; +; -- WIDTH_A ; 6 ; +; -- NUMWORDS_A ; 256 ; +; -- OUTDATA_REG_A ; CLOCK0 ; +; -- WIDTH_B ; 6 ; +; -- NUMWORDS_B ; 256 ; +; -- ADDRESS_REG_B ; CLOCK1 ; +; -- OUTDATA_REG_B ; CLOCK1 ; +; -- RAM_BLOCK_TYPE ; AUTO ; +; -- READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; +; Entity Instance ; Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_GREEN|altsyncram:altsyncram_component ; +; -- OPERATION_MODE ; BIDIR_DUAL_PORT ; +; -- WIDTH_A ; 6 ; +; -- NUMWORDS_A ; 256 ; +; -- OUTDATA_REG_A ; CLOCK0 ; +; -- WIDTH_B ; 6 ; +; -- NUMWORDS_B ; 256 ; +; -- ADDRESS_REG_B ; CLOCK1 ; +; -- OUTDATA_REG_B ; CLOCK1 ; +; -- RAM_BLOCK_TYPE ; AUTO ; +; -- READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; +; Entity Instance ; Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_BLUE|altsyncram:altsyncram_component ; +; -- OPERATION_MODE ; BIDIR_DUAL_PORT ; +; -- WIDTH_A ; 6 ; +; -- NUMWORDS_A ; 256 ; +; -- OUTDATA_REG_A ; CLOCK0 ; +; -- WIDTH_B ; 6 ; +; -- NUMWORDS_B ; 256 ; +; -- ADDRESS_REG_B ; CLOCK1 ; +; -- OUTDATA_REG_B ; CLOCK1 ; +; -- RAM_BLOCK_TYPE ; AUTO ; +; -- READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; +; Entity Instance ; Video:Fredi_Aschwanden|altdpram0:ST_CLUT_RED|altsyncram:altsyncram_component ; +; -- OPERATION_MODE ; BIDIR_DUAL_PORT ; +; -- WIDTH_A ; 3 ; +; -- NUMWORDS_A ; 16 ; +; -- OUTDATA_REG_A ; CLOCK0 ; +; -- WIDTH_B ; 3 ; +; -- NUMWORDS_B ; 16 ; +; -- ADDRESS_REG_B ; CLOCK1 ; +; -- OUTDATA_REG_B ; CLOCK1 ; +; -- RAM_BLOCK_TYPE ; AUTO ; +; -- READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; +; Entity Instance ; Video:Fredi_Aschwanden|altdpram0:ST_CLUT_GREEN|altsyncram:altsyncram_component ; +; -- OPERATION_MODE ; BIDIR_DUAL_PORT ; +; -- WIDTH_A ; 3 ; +; -- NUMWORDS_A ; 16 ; +; -- OUTDATA_REG_A ; CLOCK0 ; +; -- WIDTH_B ; 3 ; +; -- NUMWORDS_B ; 16 ; +; -- ADDRESS_REG_B ; CLOCK1 ; +; -- OUTDATA_REG_B ; CLOCK1 ; +; -- RAM_BLOCK_TYPE ; AUTO ; +; -- READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; +; Entity Instance ; Video:Fredi_Aschwanden|altdpram0:ST_CLUT_BLUE|altsyncram:altsyncram_component ; +; -- OPERATION_MODE ; BIDIR_DUAL_PORT ; +; -- WIDTH_A ; 3 ; +; -- NUMWORDS_A ; 16 ; +; -- OUTDATA_REG_A ; CLOCK0 ; +; -- WIDTH_B ; 3 ; +; -- NUMWORDS_B ; 16 ; +; -- ADDRESS_REG_B ; CLOCK1 ; +; -- OUTDATA_REG_B ; CLOCK1 ; +; -- RAM_BLOCK_TYPE ; AUTO ; +; -- READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; +; Entity Instance ; Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55|altsyncram:altsyncram_component ; +; -- OPERATION_MODE ; BIDIR_DUAL_PORT ; +; -- WIDTH_A ; 8 ; +; -- NUMWORDS_A ; 256 ; +; -- OUTDATA_REG_A ; CLOCK0 ; +; -- WIDTH_B ; 8 ; +; -- NUMWORDS_B ; 256 ; +; -- ADDRESS_REG_B ; CLOCK1 ; +; -- OUTDATA_REG_B ; CLOCK1 ; +; -- RAM_BLOCK_TYPE ; AUTO ; +; -- READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; +; Entity Instance ; Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM54|altsyncram:altsyncram_component ; +; -- OPERATION_MODE ; BIDIR_DUAL_PORT ; +; -- WIDTH_A ; 8 ; +; -- NUMWORDS_A ; 256 ; +; -- OUTDATA_REG_A ; CLOCK0 ; +; -- WIDTH_B ; 8 ; +; -- NUMWORDS_B ; 256 ; +; -- ADDRESS_REG_B ; CLOCK1 ; +; -- OUTDATA_REG_B ; CLOCK1 ; +; -- RAM_BLOCK_TYPE ; AUTO ; +; -- READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; +; Entity Instance ; Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM|altsyncram:altsyncram_component ; +; -- OPERATION_MODE ; BIDIR_DUAL_PORT ; +; -- WIDTH_A ; 8 ; +; -- NUMWORDS_A ; 256 ; +; -- OUTDATA_REG_A ; CLOCK0 ; +; -- WIDTH_B ; 8 ; +; -- NUMWORDS_B ; 256 ; +; -- ADDRESS_REG_B ; CLOCK1 ; +; -- OUTDATA_REG_B ; CLOCK1 ; +; -- RAM_BLOCK_TYPE ; AUTO ; +; -- READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; +; Entity Instance ; altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|altsyncram:altsyncram4 ; +; -- OPERATION_MODE ; SINGLE_PORT ; +; -- WIDTH_A ; 1 ; +; -- NUMWORDS_A ; 144 ; +; -- OUTDATA_REG_A ; UNREGISTERED ; +; -- WIDTH_B ; 1 ; +; -- NUMWORDS_B ; 1 ; +; -- ADDRESS_REG_B ; CLOCK1 ; +; -- OUTDATA_REG_B ; UNREGISTERED ; +; -- RAM_BLOCK_TYPE ; AUTO ; +; -- READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; ++-------------------------------------------+-------------------------------------------------------------------------------------------------------------------+ + + ++---------------------------------------------------------------------------------------------------------------------------+ +; lpm_mult Parameter Settings by Entity Instance ; ++---------------------------------------+-----------------------------------------------------------------------------------+ +; Name ; Value ; ++---------------------------------------+-----------------------------------------------------------------------------------+ +; Number of entity instances ; 3 ; +; Entity Instance ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_14 ; +; -- LPM_WIDTHA ; 12 ; +; -- LPM_WIDTHB ; 6 ; +; -- LPM_WIDTHP ; 18 ; +; -- LPM_REPRESENTATION ; UNSIGNED ; +; -- INPUT_A_IS_CONSTANT ; NO ; +; -- INPUT_B_IS_CONSTANT ; NO ; +; -- USE_EAB ; OFF ; +; -- DEDICATED_MULTIPLIER_CIRCUITRY ; AUTO ; +; -- INPUT_A_FIXED_VALUE ; Bx ; +; -- INPUT_B_FIXED_VALUE ; Bx ; +; Entity Instance ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_6 ; +; -- LPM_WIDTHA ; 12 ; +; -- LPM_WIDTHB ; 5 ; +; -- LPM_WIDTHP ; 17 ; +; -- LPM_REPRESENTATION ; UNSIGNED ; +; -- INPUT_A_IS_CONSTANT ; NO ; +; -- INPUT_B_IS_CONSTANT ; NO ; +; -- USE_EAB ; OFF ; +; -- DEDICATED_MULTIPLIER_CIRCUITRY ; AUTO ; +; -- INPUT_A_FIXED_VALUE ; Bx ; +; -- INPUT_B_FIXED_VALUE ; Bx ; +; Entity Instance ; Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_12 ; +; -- LPM_WIDTHA ; 12 ; +; -- LPM_WIDTHB ; 5 ; +; -- LPM_WIDTHP ; 17 ; +; -- LPM_REPRESENTATION ; UNSIGNED ; +; -- INPUT_A_IS_CONSTANT ; NO ; +; -- INPUT_B_IS_CONSTANT ; NO ; +; -- USE_EAB ; OFF ; +; -- DEDICATED_MULTIPLIER_CIRCUITRY ; AUTO ; +; -- INPUT_A_FIXED_VALUE ; Bx ; +; -- INPUT_B_FIXED_VALUE ; Bx ; ++---------------------------------------+-----------------------------------------------------------------------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------+ +; Port Connectivity Checks: "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND" ; ++-------------+--------+----------+-------------------------------------------------------------------------------------+ +; Port ; Type ; Severity ; Details ; ++-------------+--------+----------+-------------------------------------------------------------------------------------+ +; seln ; Input ; Info ; Stuck at VCC ; +; bc2 ; Input ; Info ; Stuck at VCC ; +; a9n ; Input ; Info ; Stuck at GND ; +; a8 ; Input ; Info ; Stuck at VCC ; +; da_en ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; io_a_in ; Input ; Info ; Stuck at GND ; +; io_a_out[2] ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; io_a_en ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; io_b_en ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; ++-------------+--------+----------+-------------------------------------------------------------------------------------+ + + ++--------------------------------------------------------------------------------------------------------------------+ +; Port Connectivity Checks: "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP" ; ++----------+--------+----------+-------------------------------------------------------------------------------------+ +; Port ; Type ; Severity ; Details ; ++----------+--------+----------+-------------------------------------------------------------------------------------+ +; data_en ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; gpip_out ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; gpip_en ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; iein ; Input ; Info ; Stuck at GND ; +; ieon ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; tai ; Input ; Info ; Stuck at GND ; +; tao ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; tbo ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; tco ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; so_en ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; rrn ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; trn ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; ++----------+--------+----------+-------------------------------------------------------------------------------------+ + + ++------------------------------------------------------------------------------------------------------------------------+ +; Port Connectivity Checks: "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_MIDI" ; ++---------+--------+----------+------------------------------------------------------------------------------------------+ +; Port ; Type ; Severity ; Details ; ++---------+--------+----------+------------------------------------------------------------------------------------------+ +; cs2n ; Input ; Info ; Stuck at GND ; +; data_en ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; ctsn ; Input ; Info ; Stuck at GND ; +; dcdn ; Input ; Info ; Stuck at GND ; +; rtsn ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; ++---------+--------+----------+------------------------------------------------------------------------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------+ +; Port Connectivity Checks: "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD" ; ++---------+--------+----------+----------------------------------------------------------------------------------------------+ +; Port ; Type ; Severity ; Details ; ++---------+--------+----------+----------------------------------------------------------------------------------------------+ +; cs1 ; Input ; Info ; Stuck at VCC ; +; data_en ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; ctsn ; Input ; Info ; Stuck at GND ; +; dcdn ; Input ; Info ; Stuck at GND ; +; rtsn ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; ++---------+--------+----------+----------------------------------------------------------------------------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------+ +; Port Connectivity Checks: "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS" ; ++------------+--------+----------+-------------------------------------------------------------------------------------------------------------+ +; Port ; Type ; Severity ; Details ; ++------------+--------+----------+-------------------------------------------------------------------------------------------------------------+ +; icr_out[7] ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; icr_out[5] ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; ++------------+--------+----------+-------------------------------------------------------------------------------------------------------------+ + + ++--------------------------------------------------------------------------------------------------------------------+ +; Port Connectivity Checks: "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI" ; ++----------+--------+----------+-------------------------------------------------------------------------------------+ +; Port ; Type ; Severity ; Details ; ++----------+--------+----------+-------------------------------------------------------------------------------------+ +; data_en ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; csn ; Input ; Info ; Stuck at VCC ; +; eopn ; Input ; Info ; Stuck at VCC ; +; ready ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; ack_inn ; Input ; Info ; Stuck at VCC ; +; ack_en ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; atn_inn ; Input ; Info ; Stuck at VCC ; +; atn_en ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; req_outn ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; req_en ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; ion_out ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; io_en ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; cdn_out ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; cd_en ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; msg_outn ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; msg_en ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; ++----------+--------+----------+-------------------------------------------------------------------------------------+ + + ++-------------------------------------------------------------------------------------------------------------------+ +; Port Connectivity Checks: "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC" ; ++---------+--------+----------+-------------------------------------------------------------------------------------+ +; Port ; Type ; Severity ; Details ; ++---------+--------+----------+-------------------------------------------------------------------------------------+ +; data_en ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; dden ; Input ; Info ; Stuck at GND ; ++---------+--------+----------+-------------------------------------------------------------------------------------+ + + ++-------------------------------+ +; Elapsed Time Per Partition ; ++----------------+--------------+ +; Partition Name ; Elapsed Time ; ++----------------+--------------+ +; Top ; 00:01:26 ; ++----------------+--------------+ + + ++-------------------------------+ +; Analysis & Synthesis Messages ; ++-------------------------------+ +Info: ******************************************************************* +Info: Running Quartus II 32-bit Analysis & Synthesis + Info: Version 12.0 Build 263 08/02/2012 Service Pack 2 SJ Web Edition + Info: Processing started: Sat Oct 20 18:20:25 2012 +Info: Command: quartus_map --read_settings_files=on --write_settings_files=off firebeei1 -c firebee1 +Warning (20028): Parallel compilation is not licensed and has been disabled +Info (12021): Found 1 design units, including 1 entities, in source file Interrupt_Handler/interrupt_handler.tdf + Info (12023): Found entity 1: interrupt_handler +Info (12021): Found 2 design units, including 1 entities, in source file DSP/DSP.vhd + Info (12022): Found design unit 1: DSP-DSP_architecture + Info (12023): Found entity 1: DSP +Info (12021): Found 2 design units, including 1 entities, in source file FalconIO_SDCard_IDE_CF/FalconIO_SDCard_IDE_CF.vhd + Info (12022): Found design unit 1: FalconIO_SDCard_IDE_CF-FalconIO_SDCard_IDE_CF_architecture + Info (12023): Found entity 1: FalconIO_SDCard_IDE_CF +Info (12021): Found 2 design units, including 1 entities, in source file Video/BLITTER/BLITTER.vhd + Info (12022): Found design unit 1: BLITTER-BLITTER_architecture + Info (12023): Found entity 1: BLITTER +Info (12021): Found 2 design units, including 1 entities, in source file FalconIO_SDCard_IDE_CF/WF5380/wf5380_control.vhd + Info (12022): Found design unit 1: WF5380_CONTROL-BEHAVIOUR + Info (12023): Found entity 1: WF5380_CONTROL +Info (12021): Found 1 design units, including 0 entities, in source file FalconIO_SDCard_IDE_CF/WF5380/wf5380_pkg.vhd + Info (12022): Found design unit 1: WF5380_PKG +Info (12021): Found 2 design units, including 1 entities, in source file FalconIO_SDCard_IDE_CF/WF5380/wf5380_registers.vhd + Info (12022): Found design unit 1: WF5380_REGISTERS-BEHAVIOUR + Info (12023): Found entity 1: WF5380_REGISTERS +Info (12021): Found 2 design units, including 1 entities, in source file FalconIO_SDCard_IDE_CF/WF5380/wf5380_soc_top.vhd + Info (12022): Found design unit 1: WF5380_TOP_SOC-STRUCTURE + Info (12023): Found entity 1: WF5380_TOP_SOC +Info (12021): Found 2 design units, including 1 entities, in source file FalconIO_SDCard_IDE_CF/WF5380/wf5380_top.vhd + Info (12022): Found design unit 1: WF5380_TOP-STRUCTURE + Info (12023): Found entity 1: WF5380_TOP +Info (12021): Found 2 design units, including 1 entities, in source file FalconIO_SDCard_IDE_CF/WF_FDC1772_IP/wf1772ip_am_detector.vhd + Info (12022): Found design unit 1: WF1772IP_AM_DETECTOR-BEHAVIOR + Info (12023): Found entity 1: WF1772IP_AM_DETECTOR +Info (12021): Found 2 design units, including 1 entities, in source file FalconIO_SDCard_IDE_CF/dcfifo0.vhd + Info (12022): Found design unit 1: dcfifo0-SYN + Info (12023): Found entity 1: dcfifo0 +Info (12021): Found 1 design units, including 1 entities, in source file Video/DDR_CTR.tdf + Info (12023): Found entity 1: DDR_CTR +Info (12021): Found 2 design units, including 1 entities, in source file Video/lpm_bustri0.vhd + Info (12022): Found design unit 1: lpm_bustri0-SYN + Info (12023): Found entity 1: lpm_bustri0 +Info (12021): Found 2 design units, including 1 entities, in source file FalconIO_SDCard_IDE_CF/WF_FDC1772_IP/wf1772ip_control.vhd + Info (12022): Found design unit 1: WF1772IP_CONTROL-BEHAVIOR + Info (12023): Found entity 1: WF1772IP_CONTROL +Info (12021): Found 2 design units, including 1 entities, in source file FalconIO_SDCard_IDE_CF/WF_FDC1772_IP/wf1772ip_crc_logic.vhd + Info (12022): Found design unit 1: WF1772IP_CRC_LOGIC-BEHAVIOR + Info (12023): Found entity 1: WF1772IP_CRC_LOGIC +Info (12021): Found 2 design units, including 1 entities, in source file FalconIO_SDCard_IDE_CF/WF_FDC1772_IP/wf1772ip_digital_pll.vhd + Info (12022): Found design unit 1: WF1772IP_DIGITAL_PLL-BEHAVIOR + Info (12023): Found entity 1: WF1772IP_DIGITAL_PLL +Info (12021): Found 1 design units, including 0 entities, in source file FalconIO_SDCard_IDE_CF/WF_FDC1772_IP/wf1772ip_pkg.vhd + Info (12022): Found design unit 1: WF1772IP_PKG +Info (12021): Found 2 design units, including 1 entities, in source file FalconIO_SDCard_IDE_CF/WF_FDC1772_IP/wf1772ip_registers.vhd + Info (12022): Found design unit 1: WF1772IP_REGISTERS-BEHAVIOR + Info (12023): Found entity 1: WF1772IP_REGISTERS +Info (12021): Found 2 design units, including 1 entities, in source file FalconIO_SDCard_IDE_CF/WF_FDC1772_IP/wf1772ip_top.vhd + Info (12022): Found design unit 1: WF1772IP_TOP-STRUCTURE + Info (12023): Found entity 1: WF1772IP_TOP +Info (12021): Found 2 design units, including 1 entities, in source file FalconIO_SDCard_IDE_CF/WF_FDC1772_IP/wf1772ip_top_soc.vhd + Info (12022): Found design unit 1: WF1772IP_TOP_SOC-STRUCTURE + Info (12023): Found entity 1: WF1772IP_TOP_SOC +Info (12021): Found 2 design units, including 1 entities, in source file FalconIO_SDCard_IDE_CF/WF_FDC1772_IP/wf1772ip_transceiver.vhd + Info (12022): Found design unit 1: WF1772IP_TRANSCEIVER-BEHAVIOR + Info (12023): Found entity 1: WF1772IP_TRANSCEIVER +Info (12021): Found 2 design units, including 1 entities, in source file Video/lpm_bustri5.vhd + Info (12022): Found design unit 1: lpm_bustri5-SYN + Info (12023): Found entity 1: lpm_bustri5 +Info (12021): Found 2 design units, including 1 entities, in source file FalconIO_SDCard_IDE_CF/WF_UART6850_IP/wf6850ip_ctrl_status.vhd + Info (12022): Found design unit 1: WF6850IP_CTRL_STATUS-BEHAVIOR + Info (12023): Found entity 1: WF6850IP_CTRL_STATUS +Info (12021): Found 2 design units, including 1 entities, in source file Video/lpm_bustri7.vhd + Info (12022): Found design unit 1: lpm_bustri7-SYN + Info (12023): Found entity 1: lpm_bustri7 +Info (12021): Found 2 design units, including 1 entities, in source file FalconIO_SDCard_IDE_CF/WF_UART6850_IP/wf6850ip_receive.vhd + Info (12022): Found design unit 1: WF6850IP_RECEIVE-BEHAVIOR + Info (12023): Found entity 1: WF6850IP_RECEIVE +Info (12021): Found 2 design units, including 1 entities, in source file FalconIO_SDCard_IDE_CF/WF_UART6850_IP/wf6850ip_top.vhd + Info (12022): Found design unit 1: WF6850IP_TOP-STRUCTURE + Info (12023): Found entity 1: WF6850IP_TOP +Info (12021): Found 2 design units, including 1 entities, in source file FalconIO_SDCard_IDE_CF/WF_UART6850_IP/wf6850ip_top_soc.vhd + Info (12022): Found design unit 1: WF6850IP_TOP_SOC-STRUCTURE + Info (12023): Found entity 1: WF6850IP_TOP_SOC +Info (12021): Found 2 design units, including 1 entities, in source file FalconIO_SDCard_IDE_CF/WF_UART6850_IP/wf6850ip_transmit.vhd + Info (12022): Found design unit 1: WF6850IP_TRANSMIT-BEHAVIOR + Info (12023): Found entity 1: WF6850IP_TRANSMIT +Info (12021): Found 2 design units, including 1 entities, in source file FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_gpio.vhd + Info (12022): Found design unit 1: WF68901IP_GPIO-BEHAVIOR + Info (12023): Found entity 1: WF68901IP_GPIO +Info (12021): Found 2 design units, including 1 entities, in source file FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_interrupts.vhd + Info (12022): Found design unit 1: WF68901IP_INTERRUPTS-BEHAVIOR + Info (12023): Found entity 1: WF68901IP_INTERRUPTS +Info (12021): Found 1 design units, including 0 entities, in source file FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_pkg.vhd + Info (12022): Found design unit 1: WF68901IP_PKG +Info (12021): Found 2 design units, including 1 entities, in source file FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_timers.vhd + Info (12022): Found design unit 1: WF68901IP_TIMERS-BEHAVIOR + Info (12023): Found entity 1: WF68901IP_TIMERS +Info (12021): Found 2 design units, including 1 entities, in source file FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_top.vhd + Info (12022): Found design unit 1: WF68901IP_TOP-STRUCTURE + Info (12023): Found entity 1: WF68901IP_TOP +Info (12021): Found 2 design units, including 1 entities, in source file FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_top_soc.vhd + Info (12022): Found design unit 1: WF68901IP_TOP_SOC-STRUCTURE + Info (12023): Found entity 1: WF68901IP_TOP_SOC +Info (12021): Found 2 design units, including 1 entities, in source file FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_usart_ctrl.vhd + Info (12022): Found design unit 1: WF68901IP_USART_CTRL-BEHAVIOR + Info (12023): Found entity 1: WF68901IP_USART_CTRL +Info (12021): Found 2 design units, including 1 entities, in source file FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_usart_rx.vhd + Info (12022): Found design unit 1: WF68901IP_USART_RX-BEHAVIOR + Info (12023): Found entity 1: WF68901IP_USART_RX +Info (12021): Found 2 design units, including 1 entities, in source file FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_usart_top.vhd + Info (12022): Found design unit 1: WF68901IP_USART_TOP-STRUCTURE + Info (12023): Found entity 1: WF68901IP_USART_TOP +Info (12021): Found 2 design units, including 1 entities, in source file FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_usart_tx.vhd + Info (12022): Found design unit 1: WF68901IP_USART_TX-BEHAVIOR + Info (12023): Found entity 1: WF68901IP_USART_TX +Info (12021): Found 1 design units, including 0 entities, in source file FalconIO_SDCard_IDE_CF/WF_SND2149_IP/wf2149ip_pkg.vhd + Info (12022): Found design unit 1: WF2149IP_PKG +Info (12021): Found 2 design units, including 1 entities, in source file FalconIO_SDCard_IDE_CF/WF_SND2149_IP/wf2149ip_top.vhd + Info (12022): Found design unit 1: WF2149IP_TOP-STRUCTURE + Info (12023): Found entity 1: WF2149IP_TOP +Info (12021): Found 2 design units, including 1 entities, in source file FalconIO_SDCard_IDE_CF/WF_SND2149_IP/wf2149ip_top_soc.vhd + Info (12022): Found design unit 1: WF2149IP_TOP_SOC-STRUCTURE + Info (12023): Found entity 1: WF2149IP_TOP_SOC +Info (12021): Found 2 design units, including 1 entities, in source file FalconIO_SDCard_IDE_CF/WF_SND2149_IP/wf2149ip_wave.vhd + Info (12022): Found design unit 1: WF2149IP_WAVE-BEHAVIOR + Info (12023): Found entity 1: WF2149IP_WAVE +Info (12021): Found 2 design units, including 1 entities, in source file lpm_latch0.vhd + Info (12022): Found design unit 1: lpm_latch0-SYN + Info (12023): Found entity 1: lpm_latch0 +Info (12021): Found 2 design units, including 1 entities, in source file altpll1.vhd + Info (12022): Found design unit 1: altpll1-SYN + Info (12023): Found entity 1: altpll1 +Info (12021): Found 2 design units, including 1 entities, in source file Video/lpm_fifoDZ.vhd + Info (12022): Found design unit 1: lpm_fifodz-SYN + Info (12023): Found entity 1: lpm_fifoDZ +Info (12021): Found 2 design units, including 1 entities, in source file altpll2.vhd + Info (12022): Found design unit 1: altpll2-SYN + Info (12023): Found entity 1: altpll2 +Info (12021): Found 2 design units, including 1 entities, in source file altpll3.vhd + Info (12022): Found design unit 1: altpll3-SYN + Info (12023): Found entity 1: altpll3 +Info (12021): Found 2 design units, including 1 entities, in source file Video/altdpram0.vhd + Info (12022): Found design unit 1: altdpram0-SYN + Info (12023): Found entity 1: altdpram0 +Info (12021): Found 2 design units, including 1 entities, in source file Video/lpm_muxDZ2.vhd + Info (12022): Found design unit 1: lpm_muxdz2-SYN + Info (12023): Found entity 1: lpm_muxDZ2 +Info (12021): Found 2 design units, including 1 entities, in source file Video/lpm_muxDZ.vhd + Info (12022): Found design unit 1: lpm_muxdz-SYN + Info (12023): Found entity 1: lpm_muxDZ +Info (12021): Found 2 design units, including 1 entities, in source file Video/lpm_bustri3.vhd + Info (12022): Found design unit 1: lpm_bustri3-SYN + Info (12023): Found entity 1: lpm_bustri3 +Info (12021): Found 2 design units, including 1 entities, in source file Video/lpm_ff0.vhd + Info (12022): Found design unit 1: lpm_ff0-SYN + Info (12023): Found entity 1: lpm_ff0 +Info (12021): Found 2 design units, including 1 entities, in source file Video/lpm_ff1.vhd + Info (12022): Found design unit 1: lpm_ff1-SYN + Info (12023): Found entity 1: lpm_ff1 +Info (12021): Found 2 design units, including 1 entities, in source file Video/lpm_ff3.vhd + Info (12022): Found design unit 1: lpm_ff3-SYN + Info (12023): Found entity 1: lpm_ff3 +Info (12021): Found 1 design units, including 1 entities, in source file Video/VIDEO_MOD_MUX_CLUTCTR.tdf + Info (12023): Found entity 1: VIDEO_MOD_MUX_CLUTCTR +Info (12021): Found 2 design units, including 1 entities, in source file Video/lpm_ff2.vhd + Info (12022): Found design unit 1: lpm_ff2-SYN + Info (12023): Found entity 1: lpm_ff2 +Info (12021): Found 2 design units, including 1 entities, in source file Video/lpm_fifo_dc0.vhd + Info (12022): Found design unit 1: lpm_fifo_dc0-SYN + Info (12023): Found entity 1: lpm_fifo_dc0 +Info (12021): Found 1 design units, including 1 entities, in source file Video/Video.bdf + Info (12023): Found entity 1: Video +Info (12021): Found 1 design units, including 1 entities, in source file firebee1.bdf + Info (12023): Found entity 1: firebee1 +Info (12021): Found 2 design units, including 1 entities, in source file altpll0.vhd + Info (12022): Found design unit 1: altpll0-SYN + Info (12023): Found entity 1: altpll0 +Info (12021): Found 2 design units, including 1 entities, in source file lpm_counter0.vhd + Info (12022): Found design unit 1: lpm_counter0-SYN + Info (12023): Found entity 1: lpm_counter0 +Info (12021): Found 2 design units, including 1 entities, in source file Video/lpm_shiftreg0.vhd + Info (12022): Found design unit 1: lpm_shiftreg0-SYN + Info (12023): Found entity 1: lpm_shiftreg0 +Info (12021): Found 2 design units, including 1 entities, in source file Video/lpm_bustri1.vhd + Info (12022): Found design unit 1: lpm_bustri1-SYN + Info (12023): Found entity 1: lpm_bustri1 +Info (12021): Found 2 design units, including 1 entities, in source file Video/altdpram1.vhd + Info (12022): Found design unit 1: altdpram1-SYN + Info (12023): Found entity 1: altdpram1 +Info (12021): Found 2 design units, including 1 entities, in source file Video/lpm_bustri2.vhd + Info (12022): Found design unit 1: lpm_bustri2-SYN + Info (12023): Found entity 1: lpm_bustri2 +Info (12021): Found 2 design units, including 1 entities, in source file Video/lpm_bustri4.vhd + Info (12022): Found design unit 1: lpm_bustri4-SYN + Info (12023): Found entity 1: lpm_bustri4 +Info (12021): Found 2 design units, including 1 entities, in source file Video/lpm_constant0.vhd + Info (12022): Found design unit 1: lpm_constant0-SYN + Info (12023): Found entity 1: lpm_constant0 +Info (12021): Found 2 design units, including 1 entities, in source file Video/lpm_constant1.vhd + Info (12022): Found design unit 1: lpm_constant1-SYN + Info (12023): Found entity 1: lpm_constant1 +Info (12021): Found 2 design units, including 1 entities, in source file Video/lpm_mux0.vhd + Info (12022): Found design unit 1: lpm_mux0-SYN + Info (12023): Found entity 1: lpm_mux0 +Info (12021): Found 2 design units, including 1 entities, in source file Video/lpm_mux1.vhd + Info (12022): Found design unit 1: lpm_mux1-SYN + Info (12023): Found entity 1: lpm_mux1 +Info (12021): Found 2 design units, including 1 entities, in source file Video/lpm_mux2.vhd + Info (12022): Found design unit 1: lpm_mux2-SYN + Info (12023): Found entity 1: lpm_mux2 +Info (12021): Found 2 design units, including 1 entities, in source file Video/lpm_constant2.vhd + Info (12022): Found design unit 1: lpm_constant2-SYN + Info (12023): Found entity 1: lpm_constant2 +Info (12021): Found 2 design units, including 1 entities, in source file Video/altdpram2.vhd + Info (12022): Found design unit 1: altdpram2-SYN + Info (12023): Found entity 1: altdpram2 +Info (12021): Found 2 design units, including 1 entities, in source file Video/lpm_bustri6.vhd + Info (12022): Found design unit 1: lpm_bustri6-SYN + Info (12023): Found entity 1: lpm_bustri6 +Info (12021): Found 2 design units, including 1 entities, in source file Video/lpm_mux3.vhd + Info (12022): Found design unit 1: lpm_mux3-SYN + Info (12023): Found entity 1: lpm_mux3 +Info (12021): Found 2 design units, including 1 entities, in source file Video/lpm_mux4.vhd + Info (12022): Found design unit 1: lpm_mux4-SYN + Info (12023): Found entity 1: lpm_mux4 +Info (12021): Found 2 design units, including 1 entities, in source file Video/lpm_constant3.vhd + Info (12022): Found design unit 1: lpm_constant3-SYN + Info (12023): Found entity 1: lpm_constant3 +Info (12021): Found 2 design units, including 1 entities, in source file Video/lpm_shiftreg1.vhd + Info (12022): Found design unit 1: lpm_shiftreg1-SYN + Info (12023): Found entity 1: lpm_shiftreg1 +Info (12021): Found 2 design units, including 1 entities, in source file Video/lpm_latch1.vhd + Info (12022): Found design unit 1: lpm_latch1-SYN + Info (12023): Found entity 1: lpm_latch1 +Info (12021): Found 2 design units, including 1 entities, in source file Video/lpm_constant4.vhd + Info (12022): Found design unit 1: lpm_constant4-SYN + Info (12023): Found entity 1: lpm_constant4 +Info (12021): Found 2 design units, including 1 entities, in source file Video/lpm_shiftreg2.vhd + Info (12022): Found design unit 1: lpm_shiftreg2-SYN + Info (12023): Found entity 1: lpm_shiftreg2 +Info (12021): Found 2 design units, including 1 entities, in source file Video/lpm_compare1.vhd + Info (12022): Found design unit 1: lpm_compare1-SYN + Info (12023): Found entity 1: lpm_compare1 +Info (12021): Found 2 design units, including 1 entities, in source file lpm_bustri_LONG.vhd + Info (12022): Found design unit 1: lpm_bustri_long-SYN + Info (12023): Found entity 1: lpm_bustri_LONG +Info (12021): Found 2 design units, including 1 entities, in source file lpm_bustri_BYT.vhd + Info (12022): Found design unit 1: lpm_bustri_byt-SYN + Info (12023): Found entity 1: lpm_bustri_BYT +Info (12021): Found 2 design units, including 1 entities, in source file lpm_bustri_WORD.vhd + Info (12022): Found design unit 1: lpm_bustri_word-SYN + Info (12023): Found entity 1: lpm_bustri_WORD +Info (12021): Found 2 design units, including 1 entities, in source file Video/lpm_ff4.vhd + Info (12022): Found design unit 1: lpm_ff4-SYN + Info (12023): Found entity 1: lpm_ff4 +Info (12021): Found 2 design units, including 1 entities, in source file Video/lpm_ff5.vhd + Info (12022): Found design unit 1: lpm_ff5-SYN + Info (12023): Found entity 1: lpm_ff5 +Info (12021): Found 2 design units, including 1 entities, in source file Video/lpm_ff6.vhd + Info (12022): Found design unit 1: lpm_ff6-SYN + Info (12023): Found entity 1: lpm_ff6 +Info (12021): Found 2 design units, including 1 entities, in source file Video/lpm_shiftreg3.vhd + Info (12022): Found design unit 1: lpm_shiftreg3-SYN + Info (12023): Found entity 1: lpm_shiftreg3 +Info (12021): Found 2 design units, including 1 entities, in source file Video/altddio_bidir0.vhd + Info (12022): Found design unit 1: altddio_bidir0-SYN + Info (12023): Found entity 1: altddio_bidir0 +Info (12021): Found 2 design units, including 1 entities, in source file Video/altddio_out0.vhd + Info (12022): Found design unit 1: altddio_out0-SYN + Info (12023): Found entity 1: altddio_out0 +Info (12021): Found 2 design units, including 1 entities, in source file Video/lpm_mux5.vhd + Info (12022): Found design unit 1: lpm_mux5-SYN + Info (12023): Found entity 1: lpm_mux5 +Info (12021): Found 2 design units, including 1 entities, in source file Video/lpm_shiftreg5.vhd + Info (12022): Found design unit 1: lpm_shiftreg5-SYN + Info (12023): Found entity 1: lpm_shiftreg5 +Info (12021): Found 2 design units, including 1 entities, in source file Video/lpm_shiftreg6.vhd + Info (12022): Found design unit 1: lpm_shiftreg6-SYN + Info (12023): Found entity 1: lpm_shiftreg6 +Info (12021): Found 2 design units, including 1 entities, in source file Video/lpm_shiftreg4.vhd + Info (12022): Found design unit 1: lpm_shiftreg4-SYN + Info (12023): Found entity 1: lpm_shiftreg4 +Info (12021): Found 2 design units, including 1 entities, in source file Video/altddio_out1.vhd + Info (12022): Found design unit 1: altddio_out1-SYN + Info (12023): Found entity 1: altddio_out1 +Info (12021): Found 2 design units, including 1 entities, in source file Video/altddio_out2.vhd + Info (12022): Found design unit 1: altddio_out2-SYN + Info (12023): Found entity 1: altddio_out2 +Info (12021): Found 2 design units, including 1 entities, in source file altddio_out3.vhd + Info (12022): Found design unit 1: altddio_out3-SYN + Info (12023): Found entity 1: altddio_out3 +Info (12021): Found 2 design units, including 1 entities, in source file Video/lpm_mux6.vhd + Info (12022): Found design unit 1: lpm_mux6-SYN + Info (12023): Found entity 1: lpm_mux6 +Info (12021): Found 1 design units, including 0 entities, in source file FalconIO_SDCard_IDE_CF/FalconIO_SDCard_IDE_CF_pgk.vhd + Info (12022): Found design unit 1: FalconIO_SDCard_IDE_CF_PKG +Info (12021): Found 2 design units, including 1 entities, in source file FalconIO_SDCard_IDE_CF/dcfifo1.vhd + Info (12022): Found design unit 1: dcfifo1-SYN + Info (12023): Found entity 1: dcfifo1 +Info (12021): Found 2 design units, including 1 entities, in source file Video/lpm_muxVDM.vhd + Info (12022): Found design unit 1: lpm_muxvdm-SYN + Info (12023): Found entity 1: lpm_muxVDM +Info (12127): Elaborating entity "firebee1" for the top level hierarchy +Warning (275009): Pin "TOUT0" not connected +Warning (275009): Pin "nMASTER" not connected +Info (12128): Elaborating entity "altpll1" for hierarchy "altpll1:inst" +Info (12128): Elaborating entity "altpll" for hierarchy "altpll1:inst|altpll:altpll_component" +Info (12130): Elaborated megafunction instantiation "altpll1:inst|altpll:altpll_component" +Info (12133): Instantiated megafunction "altpll1:inst|altpll:altpll_component" with the following parameter: + Info (12134): Parameter "bandwidth_type" = "AUTO" + Info (12134): Parameter "clk0_divide_by" = "66" + Info (12134): Parameter "clk0_duty_cycle" = "50" + Info (12134): Parameter "clk0_multiply_by" = "1" + Info (12134): Parameter "clk0_phase_shift" = "0" + Info (12134): Parameter "clk1_divide_by" = "900" + Info (12134): Parameter "clk1_duty_cycle" = "50" + Info (12134): Parameter "clk1_multiply_by" = "67" + Info (12134): Parameter "clk1_phase_shift" = "0" + Info (12134): Parameter "clk2_divide_by" = "90" + Info (12134): Parameter "clk2_duty_cycle" = "50" + Info (12134): Parameter "clk2_multiply_by" = "67" + Info (12134): Parameter "clk2_phase_shift" = "0" + Info (12134): Parameter "compensate_clock" = "CLK0" + Info (12134): Parameter "inclk0_input_frequency" = "30303" + Info (12134): Parameter "intended_device_family" = "Cyclone III" + Info (12134): Parameter "lpm_type" = "altpll" + Info (12134): Parameter "operation_mode" = "SOURCE_SYNCHRONOUS" + Info (12134): Parameter "pll_type" = "AUTO" + Info (12134): Parameter "port_activeclock" = "PORT_UNUSED" + Info (12134): Parameter "port_areset" = "PORT_UNUSED" + Info (12134): Parameter "port_clkbad0" = "PORT_UNUSED" + Info (12134): Parameter "port_clkbad1" = "PORT_UNUSED" + Info (12134): Parameter "port_clkloss" = "PORT_UNUSED" + Info (12134): Parameter "port_clkswitch" = "PORT_UNUSED" + Info (12134): Parameter "port_configupdate" = "PORT_UNUSED" + Info (12134): Parameter "port_fbin" = "PORT_UNUSED" + Info (12134): Parameter "port_inclk0" = "PORT_USED" + Info (12134): Parameter "port_inclk1" = "PORT_UNUSED" + Info (12134): Parameter "port_locked" = "PORT_USED" + Info (12134): Parameter "port_pfdena" = "PORT_UNUSED" + Info (12134): Parameter "port_phasecounterselect" = "PORT_UNUSED" + Info (12134): Parameter "port_phasedone" = "PORT_UNUSED" + Info (12134): Parameter "port_phasestep" = "PORT_UNUSED" + Info (12134): Parameter "port_phaseupdown" = "PORT_UNUSED" + Info (12134): Parameter "port_pllena" = "PORT_UNUSED" + Info (12134): Parameter "port_scanaclr" = "PORT_UNUSED" + Info (12134): Parameter "port_scanclk" = "PORT_UNUSED" + Info (12134): Parameter "port_scanclkena" = "PORT_UNUSED" + Info (12134): Parameter "port_scandata" = "PORT_UNUSED" + Info (12134): Parameter "port_scandataout" = "PORT_UNUSED" + Info (12134): Parameter "port_scandone" = "PORT_UNUSED" + Info (12134): Parameter "port_scanread" = "PORT_UNUSED" + Info (12134): Parameter "port_scanwrite" = "PORT_UNUSED" + Info (12134): Parameter "port_clk0" = "PORT_USED" + Info (12134): Parameter "port_clk1" = "PORT_USED" + Info (12134): Parameter "port_clk2" = "PORT_USED" + Info (12134): Parameter "port_clk3" = "PORT_UNUSED" + Info (12134): Parameter "port_clk4" = "PORT_UNUSED" + Info (12134): Parameter "port_clk5" = "PORT_UNUSED" + Info (12134): Parameter "port_clkena0" = "PORT_UNUSED" + Info (12134): Parameter "port_clkena1" = "PORT_UNUSED" + Info (12134): Parameter "port_clkena2" = "PORT_UNUSED" + Info (12134): Parameter "port_clkena3" = "PORT_UNUSED" + Info (12134): Parameter "port_clkena4" = "PORT_UNUSED" + Info (12134): Parameter "port_clkena5" = "PORT_UNUSED" + Info (12134): Parameter "port_extclk0" = "PORT_UNUSED" + Info (12134): Parameter "port_extclk1" = "PORT_UNUSED" + Info (12134): Parameter "port_extclk2" = "PORT_UNUSED" + Info (12134): Parameter "port_extclk3" = "PORT_UNUSED" + Info (12134): Parameter "self_reset_on_loss_lock" = "OFF" + Info (12134): Parameter "width_clock" = "5" +Info (12021): Found 1 design units, including 1 entities, in source file db/altpll_8tp2.tdf + Info (12023): Found entity 1: altpll_8tp2 +Info (12128): Elaborating entity "altpll_8tp2" for hierarchy "altpll1:inst|altpll:altpll_component|altpll_8tp2:auto_generated" +Info (12128): Elaborating entity "FalconIO_SDCard_IDE_CF" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden" +Warning (10036): Verilog HDL or VHDL warning at FalconIO_SDCard_IDE_CF.vhd(244): object "SCSI_CSn" assigned a value but never read +Warning (10492): VHDL Process Statement warning at FalconIO_SDCard_IDE_CF.vhd(303): signal "nIDE_RD" is read inside the Process Statement but isn't in the Process Statement's sensitivity list +Warning (10492): VHDL Process Statement warning at FalconIO_SDCard_IDE_CF.vhd(304): signal "nIDE_WR" is read inside the Process Statement but isn't in the Process Statement's sensitivity list +Warning (10492): VHDL Process Statement warning at FalconIO_SDCard_IDE_CF.vhd(313): signal "IDE_CF_CS" is read inside the Process Statement but isn't in the Process Statement's sensitivity list +Warning (10492): VHDL Process Statement warning at FalconIO_SDCard_IDE_CF.vhd(314): signal "nFB_WR" is read inside the Process Statement but isn't in the Process Statement's sensitivity list +Warning (10492): VHDL Process Statement warning at FalconIO_SDCard_IDE_CF.vhd(315): signal "nFB_WR" is read inside the Process Statement but isn't in the Process Statement's sensitivity list +Warning (10492): VHDL Process Statement warning at FalconIO_SDCard_IDE_CF.vhd(324): signal "nFB_WR" is read inside the Process Statement but isn't in the Process Statement's sensitivity list +Warning (10492): VHDL Process Statement warning at FalconIO_SDCard_IDE_CF.vhd(325): signal "nFB_WR" is read inside the Process Statement but isn't in the Process Statement's sensitivity list +Warning (10492): VHDL Process Statement warning at FalconIO_SDCard_IDE_CF.vhd(335): signal "nFB_WR" is read inside the Process Statement but isn't in the Process Statement's sensitivity list +Warning (10492): VHDL Process Statement warning at FalconIO_SDCard_IDE_CF.vhd(336): signal "nFB_WR" is read inside the Process Statement but isn't in the Process Statement's sensitivity list +Critical Warning (10920): VHDL Incomplete Partial Association warning at FalconIO_SDCard_IDE_CF.vhd(928): port or argument "IO_A_OUT" has 1/8 unassociated elements +Info (12128): Elaborating entity "dcfifo0" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF" +Info (12128): Elaborating entity "dcfifo_mixed_widths" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component" +Info (12130): Elaborated megafunction instantiation "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component" +Info (12133): Instantiated megafunction "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component" with the following parameter: + Info (12134): Parameter "intended_device_family" = "Cyclone III" + Info (12134): Parameter "lpm_numwords" = "1024" + Info (12134): Parameter "lpm_showahead" = "OFF" + Info (12134): Parameter "lpm_type" = "dcfifo" + Info (12134): Parameter "lpm_width" = "8" + Info (12134): Parameter "lpm_widthu" = "10" + Info (12134): Parameter "lpm_widthu_r" = "8" + Info (12134): Parameter "lpm_width_r" = "32" + Info (12134): Parameter "overflow_checking" = "ON" + Info (12134): Parameter "rdsync_delaypipe" = "5" + Info (12134): Parameter "underflow_checking" = "ON" + Info (12134): Parameter "use_eab" = "ON" + Info (12134): Parameter "write_aclr_synch" = "OFF" + Info (12134): Parameter "wrsync_delaypipe" = "5" +Info (12021): Found 1 design units, including 1 entities, in source file db/dcfifo_0hh1.tdf + Info (12023): Found entity 1: dcfifo_0hh1 +Info (12128): Elaborating entity "dcfifo_0hh1" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated" +Info (12021): Found 1 design units, including 1 entities, in source file db/a_gray2bin_lfb.tdf + Info (12023): Found entity 1: a_gray2bin_lfb +Info (12128): Elaborating entity "a_gray2bin_lfb" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_gray2bin_lfb:wrptr_g_gray2bin" +Info (12021): Found 1 design units, including 1 entities, in source file db/a_graycounter_k47.tdf + Info (12023): Found entity 1: a_graycounter_k47 +Info (12128): Elaborating entity "a_graycounter_k47" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_graycounter_k47:rdptr_g1p" +Info (12021): Found 1 design units, including 1 entities, in source file db/a_graycounter_fic.tdf + Info (12023): Found entity 1: a_graycounter_fic +Info (12128): Elaborating entity "a_graycounter_fic" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|a_graycounter_fic:wrptr_g1p" +Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_bi31.tdf + Info (12023): Found entity 1: altsyncram_bi31 +Info (12128): Elaborating entity "altsyncram_bi31" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|altsyncram_bi31:fifo_ram" +Info (12021): Found 1 design units, including 1 entities, in source file db/alt_synch_pipe_ikd.tdf + Info (12023): Found entity 1: alt_synch_pipe_ikd +Info (12128): Elaborating entity "alt_synch_pipe_ikd" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|alt_synch_pipe_ikd:rs_dgwp" +Info (12021): Found 1 design units, including 1 entities, in source file db/dffpipe_hd9.tdf + Info (12023): Found entity 1: dffpipe_hd9 +Info (12128): Elaborating entity "dffpipe_hd9" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|alt_synch_pipe_ikd:rs_dgwp|dffpipe_hd9:dffpipe12" +Info (12021): Found 1 design units, including 1 entities, in source file db/dffpipe_gd9.tdf + Info (12023): Found entity 1: dffpipe_gd9 +Info (12128): Elaborating entity "dffpipe_gd9" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|dffpipe_gd9:ws_brp" +Info (12021): Found 1 design units, including 1 entities, in source file db/dffpipe_pe9.tdf + Info (12023): Found entity 1: dffpipe_pe9 +Info (12128): Elaborating entity "dffpipe_pe9" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|dffpipe_pe9:ws_bwp" +Info (12021): Found 1 design units, including 1 entities, in source file db/alt_synch_pipe_jkd.tdf + Info (12023): Found entity 1: alt_synch_pipe_jkd +Info (12128): Elaborating entity "alt_synch_pipe_jkd" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|alt_synch_pipe_jkd:ws_dgrp" +Info (12021): Found 1 design units, including 1 entities, in source file db/dffpipe_id9.tdf + Info (12023): Found entity 1: dffpipe_id9 +Info (12128): Elaborating entity "dffpipe_id9" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|alt_synch_pipe_jkd:ws_dgrp|dffpipe_id9:dffpipe17" +Info (12021): Found 1 design units, including 1 entities, in source file db/cmpr_256.tdf + Info (12023): Found entity 1: cmpr_256 +Info (12128): Elaborating entity "cmpr_256" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|cmpr_256:rdempty_eq_comp1_lsb" +Info (12021): Found 1 design units, including 1 entities, in source file db/cmpr_156.tdf + Info (12023): Found entity 1: cmpr_156 +Info (12128): Elaborating entity "cmpr_156" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|cmpr_156:rdempty_eq_comp1_msb" +Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_t2e.tdf + Info (12023): Found entity 1: cntr_t2e +Info (12128): Elaborating entity "cntr_t2e" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|cntr_t2e:cntr_b" +Info (12021): Found 1 design units, including 1 entities, in source file db/mux_a18.tdf + Info (12023): Found entity 1: mux_a18 +Info (12128): Elaborating entity "mux_a18" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|mux_a18:rdemp_eq_comp_lsb_mux" +Info (12128): Elaborating entity "dcfifo1" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF" +Info (12128): Elaborating entity "dcfifo_mixed_widths" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component" +Info (12130): Elaborated megafunction instantiation "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component" +Info (12133): Instantiated megafunction "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component" with the following parameter: + Info (12134): Parameter "intended_device_family" = "Cyclone III" + Info (12134): Parameter "lpm_numwords" = "256" + Info (12134): Parameter "lpm_showahead" = "OFF" + Info (12134): Parameter "lpm_type" = "dcfifo" + Info (12134): Parameter "lpm_width" = "32" + Info (12134): Parameter "lpm_widthu" = "8" + Info (12134): Parameter "lpm_widthu_r" = "10" + Info (12134): Parameter "lpm_width_r" = "8" + Info (12134): Parameter "overflow_checking" = "ON" + Info (12134): Parameter "rdsync_delaypipe" = "5" + Info (12134): Parameter "underflow_checking" = "ON" + Info (12134): Parameter "use_eab" = "ON" + Info (12134): Parameter "write_aclr_synch" = "OFF" + Info (12134): Parameter "wrsync_delaypipe" = "5" +Info (12021): Found 1 design units, including 1 entities, in source file db/dcfifo_3fh1.tdf + Info (12023): Found entity 1: dcfifo_3fh1 +Info (12128): Elaborating entity "dcfifo_3fh1" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated" +Info (12021): Found 1 design units, including 1 entities, in source file db/a_graycounter_j47.tdf + Info (12023): Found entity 1: a_graycounter_j47 +Info (12128): Elaborating entity "a_graycounter_j47" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_graycounter_j47:rdptr_g1p" +Info (12021): Found 1 design units, including 1 entities, in source file db/a_graycounter_gic.tdf + Info (12023): Found entity 1: a_graycounter_gic +Info (12128): Elaborating entity "a_graycounter_gic" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|a_graycounter_gic:wrptr_g1p" +Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_ci31.tdf + Info (12023): Found entity 1: altsyncram_ci31 +Info (12128): Elaborating entity "altsyncram_ci31" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram" +Info (12021): Found 1 design units, including 1 entities, in source file db/alt_synch_pipe_kkd.tdf + Info (12023): Found entity 1: alt_synch_pipe_kkd +Info (12128): Elaborating entity "alt_synch_pipe_kkd" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|alt_synch_pipe_kkd:rs_dgwp" +Info (12021): Found 1 design units, including 1 entities, in source file db/dffpipe_jd9.tdf + Info (12023): Found entity 1: dffpipe_jd9 +Info (12128): Elaborating entity "dffpipe_jd9" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|alt_synch_pipe_kkd:rs_dgwp|dffpipe_jd9:dffpipe12" +Info (12021): Found 1 design units, including 1 entities, in source file db/alt_synch_pipe_lkd.tdf + Info (12023): Found entity 1: alt_synch_pipe_lkd +Info (12128): Elaborating entity "alt_synch_pipe_lkd" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|alt_synch_pipe_lkd:ws_dgrp" +Info (12021): Found 1 design units, including 1 entities, in source file db/dffpipe_kd9.tdf + Info (12023): Found entity 1: dffpipe_kd9 +Info (12128): Elaborating entity "dffpipe_kd9" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|alt_synch_pipe_lkd:ws_dgrp|dffpipe_kd9:dffpipe15" +Info (12128): Elaborating entity "WF1772IP_TOP_SOC" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC" +Info (12128): Elaborating entity "WF1772IP_CONTROL" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CONTROL:I_CONTROL" +Info (12128): Elaborating entity "WF1772IP_REGISTERS" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_REGISTERS:I_REGISTERS" +Info (12128): Elaborating entity "WF1772IP_DIGITAL_PLL" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_DIGITAL_PLL:I_DIGITAL_PLL" +Info (12128): Elaborating entity "WF1772IP_AM_DETECTOR" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_AM_DETECTOR:I_AM_DETECTOR" +Info (12128): Elaborating entity "WF1772IP_CRC_LOGIC" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_CRC_LOGIC:I_CRC_LOGIC" +Info (12128): Elaborating entity "WF1772IP_TRANSCEIVER" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER" +Info (12128): Elaborating entity "WF5380_TOP_SOC" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI" +Info (12128): Elaborating entity "WF5380_REGISTERS" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS" +Info (12128): Elaborating entity "WF5380_CONTROL" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_CONTROL:I_CONTROL" +Info (12128): Elaborating entity "WF6850IP_TOP_SOC" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD" +Info (12128): Elaborating entity "WF6850IP_CTRL_STATUS" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_CTRL_STATUS:I_UART_CTRL_STATUS" +Info (12128): Elaborating entity "WF6850IP_RECEIVE" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_RECEIVE:I_UART_RECEIVE" +Info (12128): Elaborating entity "WF6850IP_TRANSMIT" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT" +Info (12128): Elaborating entity "WF68901IP_TOP_SOC" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP" +Info (12128): Elaborating entity "WF68901IP_USART_TOP" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART" +Info (12128): Elaborating entity "WF68901IP_USART_CTRL" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_CTRL:I_USART_CTRL" +Info (12128): Elaborating entity "WF68901IP_USART_RX" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_RX:I_USART_RECEIVE" +Info (12128): Elaborating entity "WF68901IP_USART_TX" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_USART_TOP:I_USART|WF68901IP_USART_TX:I_USART_TRANSMIT" +Info (12128): Elaborating entity "WF68901IP_INTERRUPTS" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS" +Info (12128): Elaborating entity "WF68901IP_GPIO" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_GPIO:I_GPIO" +Info (12128): Elaborating entity "WF68901IP_TIMERS" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_TIMERS:I_TIMERS" +Info (12128): Elaborating entity "WF2149IP_TOP_SOC" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND" +Info (12128): Elaborating entity "WF2149IP_WAVE" for hierarchy "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|WF2149IP_WAVE:I_PSG_WAVE" +Info (12128): Elaborating entity "altpll3" for hierarchy "altpll3:inst13" +Info (12128): Elaborating entity "altpll" for hierarchy "altpll3:inst13|altpll:altpll_component" +Info (12130): Elaborated megafunction instantiation "altpll3:inst13|altpll:altpll_component" +Info (12133): Instantiated megafunction "altpll3:inst13|altpll:altpll_component" with the following parameter: + Info (12134): Parameter "bandwidth_type" = "AUTO" + Info (12134): Parameter "clk0_divide_by" = "33" + Info (12134): Parameter "clk0_duty_cycle" = "50" + Info (12134): Parameter "clk0_multiply_by" = "2" + Info (12134): Parameter "clk0_phase_shift" = "0" + Info (12134): Parameter "clk1_divide_by" = "33" + Info (12134): Parameter "clk1_duty_cycle" = "50" + Info (12134): Parameter "clk1_multiply_by" = "16" + Info (12134): Parameter "clk1_phase_shift" = "0" + Info (12134): Parameter "clk2_divide_by" = "33" + Info (12134): Parameter "clk2_duty_cycle" = "50" + Info (12134): Parameter "clk2_multiply_by" = "25" + Info (12134): Parameter "clk2_phase_shift" = "0" + Info (12134): Parameter "clk3_divide_by" = "11" + Info (12134): Parameter "clk3_duty_cycle" = "50" + Info (12134): Parameter "clk3_multiply_by" = "16" + Info (12134): Parameter "clk3_phase_shift" = "0" + Info (12134): Parameter "compensate_clock" = "CLK1" + Info (12134): Parameter "inclk0_input_frequency" = "30303" + Info (12134): Parameter "intended_device_family" = "Cyclone III" + Info (12134): Parameter "lpm_type" = "altpll" + Info (12134): Parameter "operation_mode" = "SOURCE_SYNCHRONOUS" + Info (12134): Parameter "pll_type" = "AUTO" + Info (12134): Parameter "port_activeclock" = "PORT_UNUSED" + Info (12134): Parameter "port_areset" = "PORT_UNUSED" + Info (12134): Parameter "port_clkbad0" = "PORT_UNUSED" + Info (12134): Parameter "port_clkbad1" = "PORT_UNUSED" + Info (12134): Parameter "port_clkloss" = "PORT_UNUSED" + Info (12134): Parameter "port_clkswitch" = "PORT_UNUSED" + Info (12134): Parameter "port_configupdate" = "PORT_UNUSED" + Info (12134): Parameter "port_fbin" = "PORT_UNUSED" + Info (12134): Parameter "port_inclk0" = "PORT_USED" + Info (12134): Parameter "port_inclk1" = "PORT_UNUSED" + Info (12134): Parameter "port_locked" = "PORT_UNUSED" + Info (12134): Parameter "port_pfdena" = "PORT_UNUSED" + Info (12134): Parameter "port_phasecounterselect" = "PORT_UNUSED" + Info (12134): Parameter "port_phasedone" = "PORT_UNUSED" + Info (12134): Parameter "port_phasestep" = "PORT_UNUSED" + Info (12134): Parameter "port_phaseupdown" = "PORT_UNUSED" + Info (12134): Parameter "port_pllena" = "PORT_UNUSED" + Info (12134): Parameter "port_scanaclr" = "PORT_UNUSED" + Info (12134): Parameter "port_scanclk" = "PORT_UNUSED" + Info (12134): Parameter "port_scanclkena" = "PORT_UNUSED" + Info (12134): Parameter "port_scandata" = "PORT_UNUSED" + Info (12134): Parameter "port_scandataout" = "PORT_UNUSED" + Info (12134): Parameter "port_scandone" = "PORT_UNUSED" + Info (12134): Parameter "port_scanread" = "PORT_UNUSED" + Info (12134): Parameter "port_scanwrite" = "PORT_UNUSED" + Info (12134): Parameter "port_clk0" = "PORT_USED" + Info (12134): Parameter "port_clk1" = "PORT_USED" + Info (12134): Parameter "port_clk2" = "PORT_USED" + Info (12134): Parameter "port_clk3" = "PORT_USED" + Info (12134): Parameter "port_clk4" = "PORT_UNUSED" + Info (12134): Parameter "port_clk5" = "PORT_UNUSED" + Info (12134): Parameter "port_clkena0" = "PORT_UNUSED" + Info (12134): Parameter "port_clkena1" = "PORT_UNUSED" + Info (12134): Parameter "port_clkena2" = "PORT_UNUSED" + Info (12134): Parameter "port_clkena3" = "PORT_UNUSED" + Info (12134): Parameter "port_clkena4" = "PORT_UNUSED" + Info (12134): Parameter "port_clkena5" = "PORT_UNUSED" + Info (12134): Parameter "port_extclk0" = "PORT_UNUSED" + Info (12134): Parameter "port_extclk1" = "PORT_UNUSED" + Info (12134): Parameter "port_extclk2" = "PORT_UNUSED" + Info (12134): Parameter "port_extclk3" = "PORT_UNUSED" + Info (12134): Parameter "width_clock" = "5" +Info (12021): Found 1 design units, including 1 entities, in source file db/altpll_jvs2.tdf + Info (12023): Found entity 1: altpll_jvs2 +Info (12128): Elaborating entity "altpll_jvs2" for hierarchy "altpll3:inst13|altpll:altpll_component|altpll_jvs2:auto_generated" +Info (12128): Elaborating entity "Video" for hierarchy "Video:Fredi_Aschwanden" +Warning (275014): INPUTC, OUTPUTC and BIDIRC pins not supported for pin "FB_ADR[31..0]" +Warning (275014): INPUTC, OUTPUTC and BIDIRC pins not supported for pin "MAIN_CLK" +Warning (275014): INPUTC, OUTPUTC and BIDIRC pins not supported for pin "nFB_CS1" +Warning (275014): INPUTC, OUTPUTC and BIDIRC pins not supported for pin "nFB_CS2" +Warning (275014): INPUTC, OUTPUTC and BIDIRC pins not supported for pin "nFB_CS3" +Warning (275014): INPUTC, OUTPUTC and BIDIRC pins not supported for pin "nFB_WR" +Warning (275014): INPUTC, OUTPUTC and BIDIRC pins not supported for pin "FB_SIZE0" +Warning (275014): INPUTC, OUTPUTC and BIDIRC pins not supported for pin "FB_SIZE1" +Warning (275014): INPUTC, OUTPUTC and BIDIRC pins not supported for pin "nRSTO" +Warning (275014): INPUTC, OUTPUTC and BIDIRC pins not supported for pin "nFB_OE" +Warning (275014): INPUTC, OUTPUTC and BIDIRC pins not supported for pin "FB_ALE" +Warning (275014): INPUTC, OUTPUTC and BIDIRC pins not supported for pin "DDRCLK[3..0]" +Warning (275014): INPUTC, OUTPUTC and BIDIRC pins not supported for pin "DDR_SYNC_66M" +Warning (275014): INPUTC, OUTPUTC and BIDIRC pins not supported for pin "CLK33M" +Warning (275014): INPUTC, OUTPUTC and BIDIRC pins not supported for pin "CLK25M" +Warning (275014): INPUTC, OUTPUTC and BIDIRC pins not supported for pin "CLK_VIDEO" +Warning (275014): INPUTC, OUTPUTC and BIDIRC pins not supported for pin "VR_D[8..0]" +Warning (275014): INPUTC, OUTPUTC and BIDIRC pins not supported for pin "VR_BUSY" +Warning (275014): INPUTC, OUTPUTC and BIDIRC pins not supported for pin "VG[7..0]" +Warning (275014): INPUTC, OUTPUTC and BIDIRC pins not supported for pin "VB[7..0]" +Warning (275014): INPUTC, OUTPUTC and BIDIRC pins not supported for pin "VR[7..0]" +Warning (275014): INPUTC, OUTPUTC and BIDIRC pins not supported for pin "nBLANK" +Warning (275014): INPUTC, OUTPUTC and BIDIRC pins not supported for pin "VA[12..0]" +Warning (275014): INPUTC, OUTPUTC and BIDIRC pins not supported for pin "nVWE" +Warning (275014): INPUTC, OUTPUTC and BIDIRC pins not supported for pin "nVCAS" +Warning (275014): INPUTC, OUTPUTC and BIDIRC pins not supported for pin "nVRAS" +Warning (275014): INPUTC, OUTPUTC and BIDIRC pins not supported for pin "nVCS" +Warning (275014): INPUTC, OUTPUTC and BIDIRC pins not supported for pin "VDM[3..0]" +Warning (275014): INPUTC, OUTPUTC and BIDIRC pins not supported for pin "nPD_VGA" +Warning (275014): INPUTC, OUTPUTC and BIDIRC pins not supported for pin "VCKE" +Warning (275014): INPUTC, OUTPUTC and BIDIRC pins not supported for pin "VSYNC" +Warning (275014): INPUTC, OUTPUTC and BIDIRC pins not supported for pin "HSYNC" +Warning (275014): INPUTC, OUTPUTC and BIDIRC pins not supported for pin "nSYNC" +Warning (275014): INPUTC, OUTPUTC and BIDIRC pins not supported for pin "VIDEO_TA" +Warning (275014): INPUTC, OUTPUTC and BIDIRC pins not supported for pin "PIXEL_CLK" +Warning (275014): INPUTC, OUTPUTC and BIDIRC pins not supported for pin "BA[1..0]" +Warning (275014): INPUTC, OUTPUTC and BIDIRC pins not supported for pin "VIDEO_RECONFIG" +Warning (275014): INPUTC, OUTPUTC and BIDIRC pins not supported for pin "VR_WR" +Warning (275014): INPUTC, OUTPUTC and BIDIRC pins not supported for pin "VR_RD" +Warning (275014): INPUTC, OUTPUTC and BIDIRC pins not supported for pin "VDQS[3..0]" +Warning (275014): INPUTC, OUTPUTC and BIDIRC pins not supported for pin "FB_AD[31..0]" +Warning (275014): INPUTC, OUTPUTC and BIDIRC pins not supported for pin "VD[31..0]" +Info (12128): Elaborating entity "VIDEO_MOD_MUX_CLUTCTR" for hierarchy "Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR" +Warning (287013): Variable or input pin "nRSTO" is defined but never used. +Warning (287013): Variable or input pin "nFB_CS3" is defined but never used. +Warning (287013): Variable or input pin "nFB_BURST" is defined but never used. +Info (12128): Elaborating entity "lpm_bustri_WORD" for hierarchy "Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_bustri_WORD:$00000" +Info (12128): Elaborating entity "lpm_bustri" for hierarchy "Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_bustri_WORD:$00000|lpm_bustri:lpm_bustri_component" +Info (12130): Elaborated megafunction instantiation "Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_bustri_WORD:$00000|lpm_bustri:lpm_bustri_component" +Info (12133): Instantiated megafunction "Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_bustri_WORD:$00000|lpm_bustri:lpm_bustri_component" with the following parameter: + Info (12134): Parameter "lpm_type" = "LPM_BUSTRI" + Info (12134): Parameter "lpm_width" = "16" +Info (12128): Elaborating entity "BLITTER" for hierarchy "Video:Fredi_Aschwanden|BLITTER:BLITTER" +Info (12128): Elaborating entity "lpm_shiftreg6" for hierarchy "Video:Fredi_Aschwanden|lpm_shiftreg6:inst89" +Info (12128): Elaborating entity "lpm_shiftreg" for hierarchy "Video:Fredi_Aschwanden|lpm_shiftreg6:inst89|lpm_shiftreg:lpm_shiftreg_component" +Info (12130): Elaborated megafunction instantiation "Video:Fredi_Aschwanden|lpm_shiftreg6:inst89|lpm_shiftreg:lpm_shiftreg_component" +Info (12133): Instantiated megafunction "Video:Fredi_Aschwanden|lpm_shiftreg6:inst89|lpm_shiftreg:lpm_shiftreg_component" with the following parameter: + Info (12134): Parameter "lpm_direction" = "RIGHT" + Info (12134): Parameter "lpm_type" = "LPM_SHIFTREG" + Info (12134): Parameter "lpm_width" = "5" +Info (12128): Elaborating entity "DDR_CTR" for hierarchy "Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR" +Warning (287013): Variable or input pin "nFB_CS2" is defined but never used. +Warning (287013): Variable or input pin "nFB_CS3" is defined but never used. +Warning (287013): Variable or input pin "nRSTO" is defined but never used. +Info (12128): Elaborating entity "lpm_bustri_BYT" for hierarchy "Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|lpm_bustri_BYT:$00002" +Info (12128): Elaborating entity "lpm_bustri" for hierarchy "Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|lpm_bustri_BYT:$00002|lpm_bustri:lpm_bustri_component" +Info (12130): Elaborated megafunction instantiation "Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|lpm_bustri_BYT:$00002|lpm_bustri:lpm_bustri_component" +Info (12133): Instantiated megafunction "Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|lpm_bustri_BYT:$00002|lpm_bustri:lpm_bustri_component" with the following parameter: + Info (12134): Parameter "lpm_type" = "LPM_BUSTRI" + Info (12134): Parameter "lpm_width" = "8" +Info (12128): Elaborating entity "lpm_fifo_dc0" for hierarchy "Video:Fredi_Aschwanden|lpm_fifo_dc0:inst" +Info (12128): Elaborating entity "dcfifo" for hierarchy "Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component" +Info (12130): Elaborated megafunction instantiation "Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component" +Info (12133): Instantiated megafunction "Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component" with the following parameter: + Info (12134): Parameter "intended_device_family" = "Cyclone III" + Info (12134): Parameter "lpm_numwords" = "512" + Info (12134): Parameter "lpm_showahead" = "OFF" + Info (12134): Parameter "lpm_type" = "dcfifo" + Info (12134): Parameter "lpm_width" = "128" + Info (12134): Parameter "lpm_widthu" = "9" + Info (12134): Parameter "overflow_checking" = "OFF" + Info (12134): Parameter "rdsync_delaypipe" = "6" + Info (12134): Parameter "underflow_checking" = "OFF" + Info (12134): Parameter "use_eab" = "ON" + Info (12134): Parameter "write_aclr_synch" = "ON" + Info (12134): Parameter "wrsync_delaypipe" = "6" +Info (12021): Found 1 design units, including 1 entities, in source file db/dcfifo_8fi1.tdf + Info (12023): Found entity 1: dcfifo_8fi1 +Info (12128): Elaborating entity "dcfifo_8fi1" for hierarchy "Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated" +Info (12021): Found 1 design units, including 1 entities, in source file db/a_gray2bin_tgb.tdf + Info (12023): Found entity 1: a_gray2bin_tgb +Info (12128): Elaborating entity "a_gray2bin_tgb" for hierarchy "Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_gray2bin_tgb:wrptr_g_gray2bin" +Info (12021): Found 1 design units, including 1 entities, in source file db/a_graycounter_s57.tdf + Info (12023): Found entity 1: a_graycounter_s57 +Info (12128): Elaborating entity "a_graycounter_s57" for hierarchy "Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_s57:rdptr_g1p" +Info (12021): Found 1 design units, including 1 entities, in source file db/a_graycounter_ojc.tdf + Info (12023): Found entity 1: a_graycounter_ojc +Info (12128): Elaborating entity "a_graycounter_ojc" for hierarchy "Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_ojc:wrptr_g1p" +Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_tl31.tdf + Info (12023): Found entity 1: altsyncram_tl31 +Info (12128): Elaborating entity "altsyncram_tl31" for hierarchy "Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|altsyncram_tl31:fifo_ram" +Info (12021): Found 1 design units, including 1 entities, in source file db/alt_synch_pipe_rld.tdf + Info (12023): Found entity 1: alt_synch_pipe_rld +Info (12128): Elaborating entity "alt_synch_pipe_rld" for hierarchy "Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|alt_synch_pipe_rld:rs_dgwp" +Info (12021): Found 1 design units, including 1 entities, in source file db/dffpipe_qe9.tdf + Info (12023): Found entity 1: dffpipe_qe9 +Info (12128): Elaborating entity "dffpipe_qe9" for hierarchy "Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|alt_synch_pipe_rld:rs_dgwp|dffpipe_qe9:dffpipe12" +Info (12021): Found 1 design units, including 1 entities, in source file db/dffpipe_3dc.tdf + Info (12023): Found entity 1: dffpipe_3dc +Info (12128): Elaborating entity "dffpipe_3dc" for hierarchy "Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|dffpipe_3dc:wraclr" +Info (12021): Found 1 design units, including 1 entities, in source file db/dffpipe_oe9.tdf + Info (12023): Found entity 1: dffpipe_oe9 +Info (12128): Elaborating entity "dffpipe_oe9" for hierarchy "Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|dffpipe_oe9:ws_brp" +Info (12021): Found 1 design units, including 1 entities, in source file db/alt_synch_pipe_sld.tdf + Info (12023): Found entity 1: alt_synch_pipe_sld +Info (12128): Elaborating entity "alt_synch_pipe_sld" for hierarchy "Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|alt_synch_pipe_sld:ws_dgrp" +Info (12021): Found 1 design units, including 1 entities, in source file db/dffpipe_re9.tdf + Info (12023): Found entity 1: dffpipe_re9 +Info (12128): Elaborating entity "dffpipe_re9" for hierarchy "Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|alt_synch_pipe_sld:ws_dgrp|dffpipe_re9:dffpipe19" +Info (12128): Elaborating entity "lpm_shiftreg4" for hierarchy "Video:Fredi_Aschwanden|lpm_shiftreg4:inst26" +Info (12128): Elaborating entity "lpm_shiftreg" for hierarchy "Video:Fredi_Aschwanden|lpm_shiftreg4:inst26|lpm_shiftreg:lpm_shiftreg_component" +Info (12130): Elaborated megafunction instantiation "Video:Fredi_Aschwanden|lpm_shiftreg4:inst26|lpm_shiftreg:lpm_shiftreg_component" +Info (12133): Instantiated megafunction "Video:Fredi_Aschwanden|lpm_shiftreg4:inst26|lpm_shiftreg:lpm_shiftreg_component" with the following parameter: + Info (12134): Parameter "lpm_direction" = "RIGHT" + Info (12134): Parameter "lpm_type" = "LPM_SHIFTREG" + Info (12134): Parameter "lpm_width" = "5" +Info (12128): Elaborating entity "lpm_muxVDM" for hierarchy "Video:Fredi_Aschwanden|lpm_muxVDM:inst100" +Info (12128): Elaborating entity "LPM_MUX" for hierarchy "Video:Fredi_Aschwanden|lpm_muxVDM:inst100|LPM_MUX:lpm_mux_component" +Info (12130): Elaborated megafunction instantiation "Video:Fredi_Aschwanden|lpm_muxVDM:inst100|LPM_MUX:lpm_mux_component" +Info (12133): Instantiated megafunction "Video:Fredi_Aschwanden|lpm_muxVDM:inst100|LPM_MUX:lpm_mux_component" with the following parameter: + Info (12134): Parameter "LPM_WIDTH" = "128" + Info (12134): Parameter "LPM_SIZE" = "16" + Info (12134): Parameter "LPM_WIDTHS" = "4" + Info (12134): Parameter "LPM_PIPELINE" = "0" + Info (12134): Parameter "LPM_TYPE" = "LPM_MUX" + Info (12134): Parameter "LPM_HINT" = "UNUSED" +Info (12021): Found 1 design units, including 1 entities, in source file db/mux_bbe.tdf + Info (12023): Found entity 1: mux_bbe +Info (12128): Elaborating entity "mux_bbe" for hierarchy "Video:Fredi_Aschwanden|lpm_muxVDM:inst100|LPM_MUX:lpm_mux_component|mux_bbe:auto_generated" +Info (12128): Elaborating entity "lpm_ff6" for hierarchy "Video:Fredi_Aschwanden|lpm_ff6:inst94" +Info (12128): Elaborating entity "lpm_ff" for hierarchy "Video:Fredi_Aschwanden|lpm_ff6:inst94|lpm_ff:lpm_ff_component" +Info (12130): Elaborated megafunction instantiation "Video:Fredi_Aschwanden|lpm_ff6:inst94|lpm_ff:lpm_ff_component" +Info (12133): Instantiated megafunction "Video:Fredi_Aschwanden|lpm_ff6:inst94|lpm_ff:lpm_ff_component" with the following parameter: + Info (12134): Parameter "lpm_fftype" = "DFF" + Info (12134): Parameter "lpm_type" = "LPM_FF" + Info (12134): Parameter "lpm_width" = "128" +Info (12128): Elaborating entity "lpm_ff1" for hierarchy "Video:Fredi_Aschwanden|lpm_ff1:inst4" +Info (12128): Elaborating entity "lpm_ff" for hierarchy "Video:Fredi_Aschwanden|lpm_ff1:inst4|lpm_ff:lpm_ff_component" +Info (12130): Elaborated megafunction instantiation "Video:Fredi_Aschwanden|lpm_ff1:inst4|lpm_ff:lpm_ff_component" +Info (12133): Instantiated megafunction "Video:Fredi_Aschwanden|lpm_ff1:inst4|lpm_ff:lpm_ff_component" with the following parameter: + Info (12134): Parameter "lpm_fftype" = "DFF" + Info (12134): Parameter "lpm_type" = "LPM_FF" + Info (12134): Parameter "lpm_width" = "32" +Info (12128): Elaborating entity "altddio_bidir0" for hierarchy "Video:Fredi_Aschwanden|altddio_bidir0:inst1" +Info (12128): Elaborating entity "altddio_bidir" for hierarchy "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component" +Info (12130): Elaborated megafunction instantiation "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component" +Info (12133): Instantiated megafunction "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component" with the following parameter: + Info (12134): Parameter "extend_oe_disable" = "UNUSED" + Info (12134): Parameter "implement_input_in_lcell" = "ON" + Info (12134): Parameter "intended_device_family" = "Cyclone III" + Info (12134): Parameter "invert_output" = "OFF" + Info (12134): Parameter "lpm_type" = "altddio_bidir" + Info (12134): Parameter "oe_reg" = "UNUSED" + Info (12134): Parameter "power_up_high" = "OFF" + Info (12134): Parameter "width" = "32" +Info (12021): Found 1 design units, including 1 entities, in source file db/ddio_bidir_3jl.tdf + Info (12023): Found entity 1: ddio_bidir_3jl +Info (12128): Elaborating entity "ddio_bidir_3jl" for hierarchy "Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated" +Info (12128): Elaborating entity "lpm_mux5" for hierarchy "Video:Fredi_Aschwanden|lpm_mux5:inst22" +Info (12128): Elaborating entity "LPM_MUX" for hierarchy "Video:Fredi_Aschwanden|lpm_mux5:inst22|LPM_MUX:lpm_mux_component" +Info (12130): Elaborated megafunction instantiation "Video:Fredi_Aschwanden|lpm_mux5:inst22|LPM_MUX:lpm_mux_component" +Info (12133): Instantiated megafunction "Video:Fredi_Aschwanden|lpm_mux5:inst22|LPM_MUX:lpm_mux_component" with the following parameter: + Info (12134): Parameter "LPM_WIDTH" = "64" + Info (12134): Parameter "LPM_SIZE" = "4" + Info (12134): Parameter "LPM_WIDTHS" = "2" + Info (12134): Parameter "LPM_PIPELINE" = "0" + Info (12134): Parameter "LPM_TYPE" = "LPM_MUX" + Info (12134): Parameter "LPM_HINT" = "UNUSED" +Info (12021): Found 1 design units, including 1 entities, in source file db/mux_58e.tdf + Info (12023): Found entity 1: mux_58e +Info (12128): Elaborating entity "mux_58e" for hierarchy "Video:Fredi_Aschwanden|lpm_mux5:inst22|LPM_MUX:lpm_mux_component|mux_58e:auto_generated" +Info (12128): Elaborating entity "lpm_ff0" for hierarchy "Video:Fredi_Aschwanden|lpm_ff0:inst14" +Info (12128): Elaborating entity "lpm_ff" for hierarchy "Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component" +Info (12130): Elaborated megafunction instantiation "Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component" +Info (12133): Instantiated megafunction "Video:Fredi_Aschwanden|lpm_ff0:inst14|lpm_ff:lpm_ff_component" with the following parameter: + Info (12134): Parameter "lpm_fftype" = "DFF" + Info (12134): Parameter "lpm_type" = "LPM_FF" + Info (12134): Parameter "lpm_width" = "32" +Info (12128): Elaborating entity "lpm_bustri_LONG" for hierarchy "Video:Fredi_Aschwanden|lpm_bustri_LONG:inst108" +Info (12128): Elaborating entity "lpm_bustri" for hierarchy "Video:Fredi_Aschwanden|lpm_bustri_LONG:inst108|lpm_bustri:lpm_bustri_component" +Info (12130): Elaborated megafunction instantiation "Video:Fredi_Aschwanden|lpm_bustri_LONG:inst108|lpm_bustri:lpm_bustri_component" +Info (12133): Instantiated megafunction "Video:Fredi_Aschwanden|lpm_bustri_LONG:inst108|lpm_bustri:lpm_bustri_component" with the following parameter: + Info (12134): Parameter "lpm_type" = "LPM_BUSTRI" + Info (12134): Parameter "lpm_width" = "32" +Info (12128): Elaborating entity "lpm_latch0" for hierarchy "Video:Fredi_Aschwanden|lpm_latch0:inst27" +Info (12128): Elaborating entity "lpm_latch" for hierarchy "Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component" +Info (12130): Elaborated megafunction instantiation "Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component" +Info (12133): Instantiated megafunction "Video:Fredi_Aschwanden|lpm_latch0:inst27|lpm_latch:lpm_latch_component" with the following parameter: + Info (12134): Parameter "lpm_type" = "LPM_LATCH" + Info (12134): Parameter "lpm_width" = "32" +Info (12128): Elaborating entity "lpm_bustri3" for hierarchy "Video:Fredi_Aschwanden|lpm_bustri3:inst66" +Info (12128): Elaborating entity "lpm_bustri" for hierarchy "Video:Fredi_Aschwanden|lpm_bustri3:inst66|lpm_bustri:lpm_bustri_component" +Info (12130): Elaborated megafunction instantiation "Video:Fredi_Aschwanden|lpm_bustri3:inst66|lpm_bustri:lpm_bustri_component" +Info (12133): Instantiated megafunction "Video:Fredi_Aschwanden|lpm_bustri3:inst66|lpm_bustri:lpm_bustri_component" with the following parameter: + Info (12134): Parameter "lpm_type" = "LPM_BUSTRI" + Info (12134): Parameter "lpm_width" = "6" +Info (12128): Elaborating entity "altdpram1" for hierarchy "Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_RED" +Info (12128): Elaborating entity "altsyncram" for hierarchy "Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_RED|altsyncram:altsyncram_component" +Info (12130): Elaborated megafunction instantiation "Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_RED|altsyncram:altsyncram_component" +Info (12133): Instantiated megafunction "Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_RED|altsyncram:altsyncram_component" with the following parameter: + Info (12134): Parameter "address_reg_b" = "CLOCK1" + Info (12134): Parameter "clock_enable_input_a" = "BYPASS" + Info (12134): Parameter "clock_enable_input_b" = "BYPASS" + Info (12134): Parameter "clock_enable_output_a" = "BYPASS" + Info (12134): Parameter "clock_enable_output_b" = "BYPASS" + Info (12134): Parameter "indata_reg_b" = "CLOCK1" + Info (12134): Parameter "intended_device_family" = "Cyclone III" + Info (12134): Parameter "lpm_type" = "altsyncram" + Info (12134): Parameter "numwords_a" = "256" + Info (12134): Parameter "numwords_b" = "256" + Info (12134): Parameter "operation_mode" = "BIDIR_DUAL_PORT" + Info (12134): Parameter "outdata_aclr_a" = "NONE" + Info (12134): Parameter "outdata_aclr_b" = "NONE" + Info (12134): Parameter "outdata_reg_a" = "CLOCK0" + Info (12134): Parameter "outdata_reg_b" = "CLOCK1" + Info (12134): Parameter "power_up_uninitialized" = "FALSE" + Info (12134): Parameter "read_during_write_mode_port_a" = "OLD_DATA" + Info (12134): Parameter "read_during_write_mode_port_b" = "OLD_DATA" + Info (12134): Parameter "widthad_a" = "8" + Info (12134): Parameter "widthad_b" = "8" + Info (12134): Parameter "width_a" = "6" + Info (12134): Parameter "width_b" = "6" + Info (12134): Parameter "width_byteena_a" = "1" + Info (12134): Parameter "width_byteena_b" = "1" + Info (12134): Parameter "wrcontrol_wraddress_reg_b" = "CLOCK1" +Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_lf92.tdf + Info (12023): Found entity 1: altsyncram_lf92 +Info (12128): Elaborating entity "altsyncram_lf92" for hierarchy "Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_RED|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated" +Info (12128): Elaborating entity "lpm_shiftreg0" for hierarchy "Video:Fredi_Aschwanden|lpm_shiftreg0:sr0" +Info (12128): Elaborating entity "lpm_shiftreg" for hierarchy "Video:Fredi_Aschwanden|lpm_shiftreg0:sr0|lpm_shiftreg:lpm_shiftreg_component" +Info (12130): Elaborated megafunction instantiation "Video:Fredi_Aschwanden|lpm_shiftreg0:sr0|lpm_shiftreg:lpm_shiftreg_component" +Info (12133): Instantiated megafunction "Video:Fredi_Aschwanden|lpm_shiftreg0:sr0|lpm_shiftreg:lpm_shiftreg_component" with the following parameter: + Info (12134): Parameter "lpm_direction" = "LEFT" + Info (12134): Parameter "lpm_type" = "LPM_SHIFTREG" + Info (12134): Parameter "lpm_width" = "16" +Info (12128): Elaborating entity "MUX41" for hierarchy "Video:Fredi_Aschwanden|MUX41:inst45" +Info (12130): Elaborated megafunction instantiation "Video:Fredi_Aschwanden|MUX41:inst45" +Info (12128): Elaborating entity "lpm_muxDZ" for hierarchy "Video:Fredi_Aschwanden|lpm_muxDZ:inst62" +Info (12128): Elaborating entity "LPM_MUX" for hierarchy "Video:Fredi_Aschwanden|lpm_muxDZ:inst62|LPM_MUX:lpm_mux_component" +Info (12130): Elaborated megafunction instantiation "Video:Fredi_Aschwanden|lpm_muxDZ:inst62|LPM_MUX:lpm_mux_component" +Info (12133): Instantiated megafunction "Video:Fredi_Aschwanden|lpm_muxDZ:inst62|LPM_MUX:lpm_mux_component" with the following parameter: + Info (12134): Parameter "LPM_WIDTH" = "128" + Info (12134): Parameter "LPM_SIZE" = "2" + Info (12134): Parameter "LPM_WIDTHS" = "1" + Info (12134): Parameter "LPM_PIPELINE" = "1" + Info (12134): Parameter "LPM_TYPE" = "LPM_MUX" + Info (12134): Parameter "LPM_HINT" = "UNUSED" +Info (12021): Found 1 design units, including 1 entities, in source file db/mux_dcf.tdf + Info (12023): Found entity 1: mux_dcf +Info (12128): Elaborating entity "mux_dcf" for hierarchy "Video:Fredi_Aschwanden|lpm_muxDZ:inst62|LPM_MUX:lpm_mux_component|mux_dcf:auto_generated" +Info (12128): Elaborating entity "lpm_fifoDZ" for hierarchy "Video:Fredi_Aschwanden|lpm_fifoDZ:inst63" +Info (12128): Elaborating entity "scfifo" for hierarchy "Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component" +Info (12130): Elaborated megafunction instantiation "Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component" +Info (12133): Instantiated megafunction "Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component" with the following parameter: + Info (12134): Parameter "add_ram_output_register" = "OFF" + Info (12134): Parameter "intended_device_family" = "Cyclone III" + Info (12134): Parameter "lpm_numwords" = "128" + Info (12134): Parameter "lpm_showahead" = "ON" + Info (12134): Parameter "lpm_type" = "scfifo" + Info (12134): Parameter "lpm_width" = "128" + Info (12134): Parameter "lpm_widthu" = "7" + Info (12134): Parameter "overflow_checking" = "OFF" + Info (12134): Parameter "underflow_checking" = "OFF" + Info (12134): Parameter "use_eab" = "ON" +Info (12021): Found 1 design units, including 1 entities, in source file db/scfifo_hk21.tdf + Info (12023): Found entity 1: scfifo_hk21 +Info (12128): Elaborating entity "scfifo_hk21" for hierarchy "Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_hk21:auto_generated" +Info (12021): Found 1 design units, including 1 entities, in source file db/a_dpfifo_oq21.tdf + Info (12023): Found entity 1: a_dpfifo_oq21 +Info (12128): Elaborating entity "a_dpfifo_oq21" for hierarchy "Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_hk21:auto_generated|a_dpfifo_oq21:dpfifo" +Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_gj81.tdf + Info (12023): Found entity 1: altsyncram_gj81 +Info (12128): Elaborating entity "altsyncram_gj81" for hierarchy "Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_hk21:auto_generated|a_dpfifo_oq21:dpfifo|altsyncram_gj81:FIFOram" +Info (12021): Found 1 design units, including 1 entities, in source file db/cmpr_br8.tdf + Info (12023): Found entity 1: cmpr_br8 +Info (12128): Elaborating entity "cmpr_br8" for hierarchy "Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_hk21:auto_generated|a_dpfifo_oq21:dpfifo|cmpr_br8:almost_full_comparer" +Info (12128): Elaborating entity "cmpr_br8" for hierarchy "Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_hk21:auto_generated|a_dpfifo_oq21:dpfifo|cmpr_br8:three_comparison" +Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_omb.tdf + Info (12023): Found entity 1: cntr_omb +Info (12128): Elaborating entity "cntr_omb" for hierarchy "Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_hk21:auto_generated|a_dpfifo_oq21:dpfifo|cntr_omb:rd_ptr_msb" +Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_5n7.tdf + Info (12023): Found entity 1: cntr_5n7 +Info (12128): Elaborating entity "cntr_5n7" for hierarchy "Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_hk21:auto_generated|a_dpfifo_oq21:dpfifo|cntr_5n7:usedw_counter" +Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_pmb.tdf + Info (12023): Found entity 1: cntr_pmb +Info (12128): Elaborating entity "cntr_pmb" for hierarchy "Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_hk21:auto_generated|a_dpfifo_oq21:dpfifo|cntr_pmb:wr_ptr" +Info (12128): Elaborating entity "lpm_bustri1" for hierarchy "Video:Fredi_Aschwanden|lpm_bustri1:inst51" +Info (12128): Elaborating entity "lpm_bustri" for hierarchy "Video:Fredi_Aschwanden|lpm_bustri1:inst51|lpm_bustri:lpm_bustri_component" +Info (12130): Elaborated megafunction instantiation "Video:Fredi_Aschwanden|lpm_bustri1:inst51|lpm_bustri:lpm_bustri_component" +Info (12133): Instantiated megafunction "Video:Fredi_Aschwanden|lpm_bustri1:inst51|lpm_bustri:lpm_bustri_component" with the following parameter: + Info (12134): Parameter "lpm_type" = "LPM_BUSTRI" + Info (12134): Parameter "lpm_width" = "3" +Info (12128): Elaborating entity "altdpram0" for hierarchy "Video:Fredi_Aschwanden|altdpram0:ST_CLUT_RED" +Info (12128): Elaborating entity "altsyncram" for hierarchy "Video:Fredi_Aschwanden|altdpram0:ST_CLUT_RED|altsyncram:altsyncram_component" +Info (12130): Elaborated megafunction instantiation "Video:Fredi_Aschwanden|altdpram0:ST_CLUT_RED|altsyncram:altsyncram_component" +Info (12133): Instantiated megafunction "Video:Fredi_Aschwanden|altdpram0:ST_CLUT_RED|altsyncram:altsyncram_component" with the following parameter: + Info (12134): Parameter "address_reg_b" = "CLOCK1" + Info (12134): Parameter "clock_enable_input_a" = "BYPASS" + Info (12134): Parameter "clock_enable_input_b" = "BYPASS" + Info (12134): Parameter "clock_enable_output_a" = "BYPASS" + Info (12134): Parameter "clock_enable_output_b" = "BYPASS" + Info (12134): Parameter "indata_reg_b" = "CLOCK1" + Info (12134): Parameter "intended_device_family" = "Cyclone III" + Info (12134): Parameter "lpm_type" = "altsyncram" + Info (12134): Parameter "numwords_a" = "16" + Info (12134): Parameter "numwords_b" = "16" + Info (12134): Parameter "operation_mode" = "BIDIR_DUAL_PORT" + Info (12134): Parameter "outdata_aclr_a" = "NONE" + Info (12134): Parameter "outdata_aclr_b" = "NONE" + Info (12134): Parameter "outdata_reg_a" = "CLOCK0" + Info (12134): Parameter "outdata_reg_b" = "CLOCK1" + Info (12134): Parameter "power_up_uninitialized" = "FALSE" + Info (12134): Parameter "read_during_write_mode_port_a" = "OLD_DATA" + Info (12134): Parameter "read_during_write_mode_port_b" = "OLD_DATA" + Info (12134): Parameter "widthad_a" = "4" + Info (12134): Parameter "widthad_b" = "4" + Info (12134): Parameter "width_a" = "3" + Info (12134): Parameter "width_b" = "3" + Info (12134): Parameter "width_byteena_a" = "1" + Info (12134): Parameter "width_byteena_b" = "1" + Info (12134): Parameter "wrcontrol_wraddress_reg_b" = "CLOCK1" +Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_rb92.tdf + Info (12023): Found entity 1: altsyncram_rb92 +Info (12128): Elaborating entity "altsyncram_rb92" for hierarchy "Video:Fredi_Aschwanden|altdpram0:ST_CLUT_RED|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated" +Info (12128): Elaborating entity "altdpram2" for hierarchy "Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55" +Info (12128): Elaborating entity "altsyncram" for hierarchy "Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55|altsyncram:altsyncram_component" +Info (12130): Elaborated megafunction instantiation "Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55|altsyncram:altsyncram_component" +Info (12133): Instantiated megafunction "Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55|altsyncram:altsyncram_component" with the following parameter: + Info (12134): Parameter "address_reg_b" = "CLOCK1" + Info (12134): Parameter "clock_enable_input_a" = "BYPASS" + Info (12134): Parameter "clock_enable_input_b" = "BYPASS" + Info (12134): Parameter "clock_enable_output_a" = "BYPASS" + Info (12134): Parameter "clock_enable_output_b" = "BYPASS" + Info (12134): Parameter "indata_reg_b" = "CLOCK1" + Info (12134): Parameter "intended_device_family" = "Cyclone III" + Info (12134): Parameter "lpm_type" = "altsyncram" + Info (12134): Parameter "numwords_a" = "256" + Info (12134): Parameter "numwords_b" = "256" + Info (12134): Parameter "operation_mode" = "BIDIR_DUAL_PORT" + Info (12134): Parameter "outdata_aclr_a" = "NONE" + Info (12134): Parameter "outdata_aclr_b" = "NONE" + Info (12134): Parameter "outdata_reg_a" = "CLOCK0" + Info (12134): Parameter "outdata_reg_b" = "CLOCK1" + Info (12134): Parameter "power_up_uninitialized" = "FALSE" + Info (12134): Parameter "read_during_write_mode_port_a" = "OLD_DATA" + Info (12134): Parameter "read_during_write_mode_port_b" = "OLD_DATA" + Info (12134): Parameter "widthad_a" = "8" + Info (12134): Parameter "widthad_b" = "8" + Info (12134): Parameter "width_a" = "8" + Info (12134): Parameter "width_b" = "8" + Info (12134): Parameter "width_byteena_a" = "1" + Info (12134): Parameter "width_byteena_b" = "1" + Info (12134): Parameter "wrcontrol_wraddress_reg_b" = "CLOCK1" +Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_pf92.tdf + Info (12023): Found entity 1: altsyncram_pf92 +Info (12128): Elaborating entity "altsyncram_pf92" for hierarchy "Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated" +Info (12128): Elaborating entity "lpm_mux3" for hierarchy "Video:Fredi_Aschwanden|lpm_mux3:inst102" +Info (12128): Elaborating entity "LPM_MUX" for hierarchy "Video:Fredi_Aschwanden|lpm_mux3:inst102|LPM_MUX:lpm_mux_component" +Info (12130): Elaborated megafunction instantiation "Video:Fredi_Aschwanden|lpm_mux3:inst102|LPM_MUX:lpm_mux_component" +Info (12133): Instantiated megafunction "Video:Fredi_Aschwanden|lpm_mux3:inst102|LPM_MUX:lpm_mux_component" with the following parameter: + Info (12134): Parameter "LPM_WIDTH" = "1" + Info (12134): Parameter "LPM_SIZE" = "2" + Info (12134): Parameter "LPM_WIDTHS" = "1" + Info (12134): Parameter "LPM_PIPELINE" = "0" + Info (12134): Parameter "LPM_TYPE" = "LPM_MUX" + Info (12134): Parameter "LPM_HINT" = "UNUSED" +Info (12021): Found 1 design units, including 1 entities, in source file db/mux_96e.tdf + Info (12023): Found entity 1: mux_96e +Info (12128): Elaborating entity "mux_96e" for hierarchy "Video:Fredi_Aschwanden|lpm_mux3:inst102|LPM_MUX:lpm_mux_component|mux_96e:auto_generated" +Info (12128): Elaborating entity "lpm_ff5" for hierarchy "Video:Fredi_Aschwanden|lpm_ff5:inst11" +Info (12128): Elaborating entity "lpm_ff" for hierarchy "Video:Fredi_Aschwanden|lpm_ff5:inst11|lpm_ff:lpm_ff_component" +Info (12130): Elaborated megafunction instantiation "Video:Fredi_Aschwanden|lpm_ff5:inst11|lpm_ff:lpm_ff_component" +Info (12133): Instantiated megafunction "Video:Fredi_Aschwanden|lpm_ff5:inst11|lpm_ff:lpm_ff_component" with the following parameter: + Info (12134): Parameter "lpm_fftype" = "DFF" + Info (12134): Parameter "lpm_type" = "LPM_FF" + Info (12134): Parameter "lpm_width" = "8" +Info (12128): Elaborating entity "lpm_mux2" for hierarchy "Video:Fredi_Aschwanden|lpm_mux2:inst25" +Info (12128): Elaborating entity "LPM_MUX" for hierarchy "Video:Fredi_Aschwanden|lpm_mux2:inst25|LPM_MUX:lpm_mux_component" +Info (12130): Elaborated megafunction instantiation "Video:Fredi_Aschwanden|lpm_mux2:inst25|LPM_MUX:lpm_mux_component" +Info (12133): Instantiated megafunction "Video:Fredi_Aschwanden|lpm_mux2:inst25|LPM_MUX:lpm_mux_component" with the following parameter: + Info (12134): Parameter "LPM_WIDTH" = "8" + Info (12134): Parameter "LPM_SIZE" = "16" + Info (12134): Parameter "LPM_WIDTHS" = "4" + Info (12134): Parameter "LPM_PIPELINE" = "2" + Info (12134): Parameter "LPM_TYPE" = "LPM_MUX" + Info (12134): Parameter "LPM_HINT" = "UNUSED" +Info (12021): Found 1 design units, including 1 entities, in source file db/mux_mpe.tdf + Info (12023): Found entity 1: mux_mpe +Info (12128): Elaborating entity "mux_mpe" for hierarchy "Video:Fredi_Aschwanden|lpm_mux2:inst25|LPM_MUX:lpm_mux_component|mux_mpe:auto_generated" +Info (12128): Elaborating entity "lpm_mux4" for hierarchy "Video:Fredi_Aschwanden|lpm_mux4:inst81" +Info (12128): Elaborating entity "LPM_MUX" for hierarchy "Video:Fredi_Aschwanden|lpm_mux4:inst81|LPM_MUX:lpm_mux_component" +Info (12130): Elaborated megafunction instantiation "Video:Fredi_Aschwanden|lpm_mux4:inst81|LPM_MUX:lpm_mux_component" +Info (12133): Instantiated megafunction "Video:Fredi_Aschwanden|lpm_mux4:inst81|LPM_MUX:lpm_mux_component" with the following parameter: + Info (12134): Parameter "LPM_WIDTH" = "7" + Info (12134): Parameter "LPM_SIZE" = "2" + Info (12134): Parameter "LPM_WIDTHS" = "1" + Info (12134): Parameter "LPM_PIPELINE" = "0" + Info (12134): Parameter "LPM_TYPE" = "LPM_MUX" + Info (12134): Parameter "LPM_HINT" = "UNUSED" +Info (12021): Found 1 design units, including 1 entities, in source file db/mux_f6e.tdf + Info (12023): Found entity 1: mux_f6e +Info (12128): Elaborating entity "mux_f6e" for hierarchy "Video:Fredi_Aschwanden|lpm_mux4:inst81|LPM_MUX:lpm_mux_component|mux_f6e:auto_generated" +Info (12128): Elaborating entity "lpm_constant3" for hierarchy "Video:Fredi_Aschwanden|lpm_constant3:inst82" +Info (12128): Elaborating entity "lpm_constant" for hierarchy "Video:Fredi_Aschwanden|lpm_constant3:inst82|lpm_constant:lpm_constant_component" +Info (12130): Elaborated megafunction instantiation "Video:Fredi_Aschwanden|lpm_constant3:inst82|lpm_constant:lpm_constant_component" +Info (12133): Instantiated megafunction "Video:Fredi_Aschwanden|lpm_constant3:inst82|lpm_constant:lpm_constant_component" with the following parameter: + Info (12134): Parameter "lpm_cvalue" = "0" + Info (12134): Parameter "lpm_hint" = "ENABLE_RUNTIME_MOD=NO" + Info (12134): Parameter "lpm_type" = "LPM_CONSTANT" + Info (12134): Parameter "lpm_width" = "7" +Info (12128): Elaborating entity "altddio_out2" for hierarchy "Video:Fredi_Aschwanden|altddio_out2:inst5" +Info (12128): Elaborating entity "altddio_out" for hierarchy "Video:Fredi_Aschwanden|altddio_out2:inst5|altddio_out:altddio_out_component" +Info (12130): Elaborated megafunction instantiation "Video:Fredi_Aschwanden|altddio_out2:inst5|altddio_out:altddio_out_component" +Info (12133): Instantiated megafunction "Video:Fredi_Aschwanden|altddio_out2:inst5|altddio_out:altddio_out_component" with the following parameter: + Info (12134): Parameter "extend_oe_disable" = "UNUSED" + Info (12134): Parameter "intended_device_family" = "Cyclone III" + Info (12134): Parameter "invert_output" = "OFF" + Info (12134): Parameter "lpm_type" = "altddio_out" + Info (12134): Parameter "oe_reg" = "UNUSED" + Info (12134): Parameter "power_up_high" = "OFF" + Info (12134): Parameter "width" = "24" +Info (12021): Found 1 design units, including 1 entities, in source file db/ddio_out_o2f.tdf + Info (12023): Found entity 1: ddio_out_o2f +Info (12128): Elaborating entity "ddio_out_o2f" for hierarchy "Video:Fredi_Aschwanden|altddio_out2:inst5|altddio_out:altddio_out_component|ddio_out_o2f:auto_generated" +Info (12128): Elaborating entity "lpm_mux6" for hierarchy "Video:Fredi_Aschwanden|lpm_mux6:inst7" +Info (12128): Elaborating entity "LPM_MUX" for hierarchy "Video:Fredi_Aschwanden|lpm_mux6:inst7|LPM_MUX:lpm_mux_component" +Info (12130): Elaborated megafunction instantiation "Video:Fredi_Aschwanden|lpm_mux6:inst7|LPM_MUX:lpm_mux_component" +Info (12133): Instantiated megafunction "Video:Fredi_Aschwanden|lpm_mux6:inst7|LPM_MUX:lpm_mux_component" with the following parameter: + Info (12134): Parameter "LPM_WIDTH" = "24" + Info (12134): Parameter "LPM_SIZE" = "8" + Info (12134): Parameter "LPM_WIDTHS" = "3" + Info (12134): Parameter "LPM_PIPELINE" = "2" + Info (12134): Parameter "LPM_TYPE" = "LPM_MUX" + Info (12134): Parameter "LPM_HINT" = "UNUSED" +Info (12021): Found 1 design units, including 1 entities, in source file db/mux_kpe.tdf + Info (12023): Found entity 1: mux_kpe +Info (12128): Elaborating entity "mux_kpe" for hierarchy "Video:Fredi_Aschwanden|lpm_mux6:inst7|LPM_MUX:lpm_mux_component|mux_kpe:auto_generated" +Info (12128): Elaborating entity "lpm_ff3" for hierarchy "Video:Fredi_Aschwanden|lpm_ff3:inst49" +Info (12128): Elaborating entity "lpm_ff" for hierarchy "Video:Fredi_Aschwanden|lpm_ff3:inst49|lpm_ff:lpm_ff_component" +Info (12130): Elaborated megafunction instantiation "Video:Fredi_Aschwanden|lpm_ff3:inst49|lpm_ff:lpm_ff_component" +Info (12133): Instantiated megafunction "Video:Fredi_Aschwanden|lpm_ff3:inst49|lpm_ff:lpm_ff_component" with the following parameter: + Info (12134): Parameter "lpm_fftype" = "DFF" + Info (12134): Parameter "lpm_type" = "LPM_FF" + Info (12134): Parameter "lpm_width" = "24" +Info (12128): Elaborating entity "lpm_constant0" for hierarchy "Video:Fredi_Aschwanden|lpm_constant0:inst59" +Info (12128): Elaborating entity "lpm_constant" for hierarchy "Video:Fredi_Aschwanden|lpm_constant0:inst59|lpm_constant:lpm_constant_component" +Info (12130): Elaborated megafunction instantiation "Video:Fredi_Aschwanden|lpm_constant0:inst59|lpm_constant:lpm_constant_component" +Info (12133): Instantiated megafunction "Video:Fredi_Aschwanden|lpm_constant0:inst59|lpm_constant:lpm_constant_component" with the following parameter: + Info (12134): Parameter "lpm_cvalue" = "0" + Info (12134): Parameter "lpm_hint" = "ENABLE_RUNTIME_MOD=NO" + Info (12134): Parameter "lpm_type" = "LPM_CONSTANT" + Info (12134): Parameter "lpm_width" = "5" +Info (12128): Elaborating entity "lpm_constant1" for hierarchy "Video:Fredi_Aschwanden|lpm_constant1:inst77" +Info (12128): Elaborating entity "lpm_constant" for hierarchy "Video:Fredi_Aschwanden|lpm_constant1:inst77|lpm_constant:lpm_constant_component" +Info (12130): Elaborated megafunction instantiation "Video:Fredi_Aschwanden|lpm_constant1:inst77|lpm_constant:lpm_constant_component" +Info (12133): Instantiated megafunction "Video:Fredi_Aschwanden|lpm_constant1:inst77|lpm_constant:lpm_constant_component" with the following parameter: + Info (12134): Parameter "lpm_cvalue" = "0" + Info (12134): Parameter "lpm_hint" = "ENABLE_RUNTIME_MOD=NO" + Info (12134): Parameter "lpm_type" = "LPM_CONSTANT" + Info (12134): Parameter "lpm_width" = "2" +Info (12128): Elaborating entity "lpm_ff4" for hierarchy "Video:Fredi_Aschwanden|lpm_ff4:inst10" +Info (12128): Elaborating entity "lpm_ff" for hierarchy "Video:Fredi_Aschwanden|lpm_ff4:inst10|lpm_ff:lpm_ff_component" +Info (12130): Elaborated megafunction instantiation "Video:Fredi_Aschwanden|lpm_ff4:inst10|lpm_ff:lpm_ff_component" +Info (12133): Instantiated megafunction "Video:Fredi_Aschwanden|lpm_ff4:inst10|lpm_ff:lpm_ff_component" with the following parameter: + Info (12134): Parameter "lpm_fftype" = "DFF" + Info (12134): Parameter "lpm_type" = "LPM_FF" + Info (12134): Parameter "lpm_width" = "16" +Info (12128): Elaborating entity "lpm_mux1" for hierarchy "Video:Fredi_Aschwanden|lpm_mux1:inst24" +Info (12128): Elaborating entity "LPM_MUX" for hierarchy "Video:Fredi_Aschwanden|lpm_mux1:inst24|LPM_MUX:lpm_mux_component" +Info (287000): Assertion information: Value of LPM_PIPELINE parameter (4) should be lower -- use 1 for best performance/utilization +Info (12130): Elaborated megafunction instantiation "Video:Fredi_Aschwanden|lpm_mux1:inst24|LPM_MUX:lpm_mux_component" +Info (12133): Instantiated megafunction "Video:Fredi_Aschwanden|lpm_mux1:inst24|LPM_MUX:lpm_mux_component" with the following parameter: + Info (12134): Parameter "LPM_WIDTH" = "16" + Info (12134): Parameter "LPM_SIZE" = "8" + Info (12134): Parameter "LPM_WIDTHS" = "3" + Info (12134): Parameter "LPM_PIPELINE" = "4" + Info (12134): Parameter "LPM_TYPE" = "LPM_MUX" + Info (12134): Parameter "LPM_HINT" = "UNUSED" +Info (287000): Assertion information: Value of LPM_PIPELINE parameter 4 should be lower -- use 1 for best performance/utilization +Info (12021): Found 1 design units, including 1 entities, in source file db/mux_npe.tdf + Info (12023): Found entity 1: mux_npe +Info (12128): Elaborating entity "mux_npe" for hierarchy "Video:Fredi_Aschwanden|lpm_mux1:inst24|LPM_MUX:lpm_mux_component|mux_npe:auto_generated" +Info (12128): Elaborating entity "lpm_constant2" for hierarchy "Video:Fredi_Aschwanden|lpm_constant2:inst23" +Info (12128): Elaborating entity "lpm_constant" for hierarchy "Video:Fredi_Aschwanden|lpm_constant2:inst23|lpm_constant:lpm_constant_component" +Info (12130): Elaborated megafunction instantiation "Video:Fredi_Aschwanden|lpm_constant2:inst23|lpm_constant:lpm_constant_component" +Info (12133): Instantiated megafunction "Video:Fredi_Aschwanden|lpm_constant2:inst23|lpm_constant:lpm_constant_component" with the following parameter: + Info (12134): Parameter "lpm_cvalue" = "0" + Info (12134): Parameter "lpm_hint" = "ENABLE_RUNTIME_MOD=NO" + Info (12134): Parameter "lpm_type" = "LPM_CONSTANT" + Info (12134): Parameter "lpm_width" = "8" +Info (12128): Elaborating entity "lpm_mux0" for hierarchy "Video:Fredi_Aschwanden|lpm_mux0:inst21" +Info (12128): Elaborating entity "LPM_MUX" for hierarchy "Video:Fredi_Aschwanden|lpm_mux0:inst21|LPM_MUX:lpm_mux_component" +Info (12130): Elaborated megafunction instantiation "Video:Fredi_Aschwanden|lpm_mux0:inst21|LPM_MUX:lpm_mux_component" +Info (12133): Instantiated megafunction "Video:Fredi_Aschwanden|lpm_mux0:inst21|LPM_MUX:lpm_mux_component" with the following parameter: + Info (12134): Parameter "LPM_WIDTH" = "32" + Info (12134): Parameter "LPM_SIZE" = "4" + Info (12134): Parameter "LPM_WIDTHS" = "2" + Info (12134): Parameter "LPM_PIPELINE" = "4" + Info (12134): Parameter "LPM_TYPE" = "LPM_MUX" + Info (12134): Parameter "LPM_HINT" = "UNUSED" +Info (12021): Found 1 design units, including 1 entities, in source file db/mux_gpe.tdf + Info (12023): Found entity 1: mux_gpe +Info (12128): Elaborating entity "mux_gpe" for hierarchy "Video:Fredi_Aschwanden|lpm_mux0:inst21|LPM_MUX:lpm_mux_component|mux_gpe:auto_generated" +Info (12128): Elaborating entity "altddio_out0" for hierarchy "Video:Fredi_Aschwanden|altddio_out0:inst2" +Info (12128): Elaborating entity "altddio_out" for hierarchy "Video:Fredi_Aschwanden|altddio_out0:inst2|altddio_out:altddio_out_component" +Info (12130): Elaborated megafunction instantiation "Video:Fredi_Aschwanden|altddio_out0:inst2|altddio_out:altddio_out_component" +Info (12133): Instantiated megafunction "Video:Fredi_Aschwanden|altddio_out0:inst2|altddio_out:altddio_out_component" with the following parameter: + Info (12134): Parameter "extend_oe_disable" = "UNUSED" + Info (12134): Parameter "intended_device_family" = "Cyclone III" + Info (12134): Parameter "invert_output" = "ON" + Info (12134): Parameter "lpm_type" = "altddio_out" + Info (12134): Parameter "oe_reg" = "UNUSED" + Info (12134): Parameter "power_up_high" = "ON" + Info (12134): Parameter "width" = "4" +Info (12021): Found 1 design units, including 1 entities, in source file db/ddio_out_are.tdf + Info (12023): Found entity 1: ddio_out_are +Info (12128): Elaborating entity "ddio_out_are" for hierarchy "Video:Fredi_Aschwanden|altddio_out0:inst2|altddio_out:altddio_out_component|ddio_out_are:auto_generated" +Info (12128): Elaborating entity "altpll2" for hierarchy "altpll2:inst12" +Info (12128): Elaborating entity "altpll" for hierarchy "altpll2:inst12|altpll:altpll_component" +Info (12130): Elaborated megafunction instantiation "altpll2:inst12|altpll:altpll_component" +Info (12133): Instantiated megafunction "altpll2:inst12|altpll:altpll_component" with the following parameter: + Info (12134): Parameter "bandwidth_type" = "AUTO" + Info (12134): Parameter "clk0_divide_by" = "1" + Info (12134): Parameter "clk0_duty_cycle" = "50" + Info (12134): Parameter "clk0_multiply_by" = "4" + Info (12134): Parameter "clk0_phase_shift" = "5051" + Info (12134): Parameter "clk1_divide_by" = "1" + Info (12134): Parameter "clk1_duty_cycle" = "50" + Info (12134): Parameter "clk1_multiply_by" = "4" + Info (12134): Parameter "clk1_phase_shift" = "0" + Info (12134): Parameter "clk2_divide_by" = "1" + Info (12134): Parameter "clk2_duty_cycle" = "50" + Info (12134): Parameter "clk2_multiply_by" = "4" + Info (12134): Parameter "clk2_phase_shift" = "3788" + Info (12134): Parameter "clk3_divide_by" = "1" + Info (12134): Parameter "clk3_duty_cycle" = "50" + Info (12134): Parameter "clk3_multiply_by" = "4" + Info (12134): Parameter "clk3_phase_shift" = "2210" + Info (12134): Parameter "clk4_divide_by" = "1" + Info (12134): Parameter "clk4_duty_cycle" = "50" + Info (12134): Parameter "clk4_multiply_by" = "2" + Info (12134): Parameter "clk4_phase_shift" = "11364" + Info (12134): Parameter "compensate_clock" = "CLK0" + Info (12134): Parameter "inclk0_input_frequency" = "30303" + Info (12134): Parameter "intended_device_family" = "Cyclone III" + Info (12134): Parameter "lpm_type" = "altpll" + Info (12134): Parameter "operation_mode" = "SOURCE_SYNCHRONOUS" + Info (12134): Parameter "pll_type" = "AUTO" + Info (12134): Parameter "port_activeclock" = "PORT_UNUSED" + Info (12134): Parameter "port_areset" = "PORT_UNUSED" + Info (12134): Parameter "port_clkbad0" = "PORT_UNUSED" + Info (12134): Parameter "port_clkbad1" = "PORT_UNUSED" + Info (12134): Parameter "port_clkloss" = "PORT_UNUSED" + Info (12134): Parameter "port_clkswitch" = "PORT_UNUSED" + Info (12134): Parameter "port_configupdate" = "PORT_UNUSED" + Info (12134): Parameter "port_fbin" = "PORT_UNUSED" + Info (12134): Parameter "port_inclk0" = "PORT_USED" + Info (12134): Parameter "port_inclk1" = "PORT_UNUSED" + Info (12134): Parameter "port_locked" = "PORT_UNUSED" + Info (12134): Parameter "port_pfdena" = "PORT_UNUSED" + Info (12134): Parameter "port_phasecounterselect" = "PORT_UNUSED" + Info (12134): Parameter "port_phasedone" = "PORT_UNUSED" + Info (12134): Parameter "port_phasestep" = "PORT_UNUSED" + Info (12134): Parameter "port_phaseupdown" = "PORT_UNUSED" + Info (12134): Parameter "port_pllena" = "PORT_UNUSED" + Info (12134): Parameter "port_scanaclr" = "PORT_UNUSED" + Info (12134): Parameter "port_scanclk" = "PORT_UNUSED" + Info (12134): Parameter "port_scanclkena" = "PORT_UNUSED" + Info (12134): Parameter "port_scandata" = "PORT_UNUSED" + Info (12134): Parameter "port_scandataout" = "PORT_UNUSED" + Info (12134): Parameter "port_scandone" = "PORT_UNUSED" + Info (12134): Parameter "port_scanread" = "PORT_UNUSED" + Info (12134): Parameter "port_scanwrite" = "PORT_UNUSED" + Info (12134): Parameter "port_clk0" = "PORT_USED" + Info (12134): Parameter "port_clk1" = "PORT_USED" + Info (12134): Parameter "port_clk2" = "PORT_USED" + Info (12134): Parameter "port_clk3" = "PORT_USED" + Info (12134): Parameter "port_clk4" = "PORT_USED" + Info (12134): Parameter "port_clk5" = "PORT_UNUSED" + Info (12134): Parameter "port_clkena0" = "PORT_UNUSED" + Info (12134): Parameter "port_clkena1" = "PORT_UNUSED" + Info (12134): Parameter "port_clkena2" = "PORT_UNUSED" + Info (12134): Parameter "port_clkena3" = "PORT_UNUSED" + Info (12134): Parameter "port_clkena4" = "PORT_UNUSED" + Info (12134): Parameter "port_clkena5" = "PORT_UNUSED" + Info (12134): Parameter "port_extclk0" = "PORT_UNUSED" + Info (12134): Parameter "port_extclk1" = "PORT_UNUSED" + Info (12134): Parameter "port_extclk2" = "PORT_UNUSED" + Info (12134): Parameter "port_extclk3" = "PORT_UNUSED" + Info (12134): Parameter "width_clock" = "5" +Info (12021): Found 1 design units, including 1 entities, in source file db/altpll_1r33.tdf + Info (12023): Found entity 1: altpll_1r33 +Info (12128): Elaborating entity "altpll_1r33" for hierarchy "altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated" +Warning (12125): Using design file altpll4.tdf, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project + Info (12023): Found entity 1: altpll4 +Info (12128): Elaborating entity "altpll4" for hierarchy "altpll4:inst22" +Info (12128): Elaborating entity "altpll" for hierarchy "altpll4:inst22|altpll:altpll_component" +Info (12130): Elaborated megafunction instantiation "altpll4:inst22|altpll:altpll_component" +Info (12133): Instantiated megafunction "altpll4:inst22|altpll:altpll_component" with the following parameter: + Info (12134): Parameter "bandwidth_type" = "AUTO" + Info (12134): Parameter "clk0_divide_by" = "1" + Info (12134): Parameter "clk0_duty_cycle" = "50" + Info (12134): Parameter "clk0_multiply_by" = "2" + Info (12134): Parameter "clk0_phase_shift" = "0" + Info (12134): Parameter "compensate_clock" = "CLK0" + Info (12134): Parameter "inclk0_input_frequency" = "20833" + Info (12134): Parameter "intended_device_family" = "Cyclone III" + Info (12134): Parameter "lpm_type" = "altpll" + Info (12134): Parameter "operation_mode" = "NORMAL" + Info (12134): Parameter "pll_type" = "AUTO" + Info (12134): Parameter "port_activeclock" = "PORT_UNUSED" + Info (12134): Parameter "port_areset" = "PORT_USED" + Info (12134): Parameter "port_clk0" = "PORT_USED" + Info (12134): Parameter "port_clk1" = "PORT_UNUSED" + Info (12134): Parameter "port_clk2" = "PORT_UNUSED" + Info (12134): Parameter "port_clk3" = "PORT_UNUSED" + Info (12134): Parameter "port_clk4" = "PORT_UNUSED" + Info (12134): Parameter "port_clk5" = "PORT_UNUSED" + Info (12134): Parameter "port_clkbad0" = "PORT_UNUSED" + Info (12134): Parameter "port_clkbad1" = "PORT_UNUSED" + Info (12134): Parameter "port_clkena0" = "PORT_UNUSED" + Info (12134): Parameter "port_clkena1" = "PORT_UNUSED" + Info (12134): Parameter "port_clkena2" = "PORT_UNUSED" + Info (12134): Parameter "port_clkena3" = "PORT_UNUSED" + Info (12134): Parameter "port_clkena4" = "PORT_UNUSED" + Info (12134): Parameter "port_clkena5" = "PORT_UNUSED" + Info (12134): Parameter "port_clkloss" = "PORT_UNUSED" + Info (12134): Parameter "port_clkswitch" = "PORT_UNUSED" + Info (12134): Parameter "port_configupdate" = "PORT_USED" + Info (12134): Parameter "port_extclk0" = "PORT_UNUSED" + Info (12134): Parameter "port_extclk1" = "PORT_UNUSED" + Info (12134): Parameter "port_extclk2" = "PORT_UNUSED" + Info (12134): Parameter "port_extclk3" = "PORT_UNUSED" + Info (12134): Parameter "port_fbin" = "PORT_UNUSED" + Info (12134): Parameter "port_inclk0" = "PORT_USED" + Info (12134): Parameter "port_inclk1" = "PORT_UNUSED" + Info (12134): Parameter "port_locked" = "PORT_USED" + Info (12134): Parameter "port_pfdena" = "PORT_UNUSED" + Info (12134): Parameter "port_phasecounterselect" = "PORT_UNUSED" + Info (12134): Parameter "port_phasedone" = "PORT_UNUSED" + Info (12134): Parameter "port_phasestep" = "PORT_UNUSED" + Info (12134): Parameter "port_phaseupdown" = "PORT_UNUSED" + Info (12134): Parameter "port_pllena" = "PORT_UNUSED" + Info (12134): Parameter "port_scanaclr" = "PORT_UNUSED" + Info (12134): Parameter "port_scanclk" = "PORT_USED" + Info (12134): Parameter "port_scanclkena" = "PORT_USED" + Info (12134): Parameter "port_scandata" = "PORT_USED" + Info (12134): Parameter "port_scandataout" = "PORT_USED" + Info (12134): Parameter "port_scandone" = "PORT_USED" + Info (12134): Parameter "port_scanread" = "PORT_UNUSED" + Info (12134): Parameter "port_scanwrite" = "PORT_UNUSED" + Info (12134): Parameter "scan_chain_mif_file" = "altpll4.mif" + Info (12134): Parameter "self_reset_on_loss_lock" = "OFF" + Info (12134): Parameter "width_clock" = "5" + Info (12134): Parameter "width_phasecounterselect" = "4" +Info (12021): Found 1 design units, including 1 entities, in source file db/altpll_r4n2.tdf + Info (12023): Found entity 1: altpll_r4n2 +Info (12128): Elaborating entity "altpll_r4n2" for hierarchy "altpll4:inst22|altpll:altpll_component|altpll_r4n2:auto_generated" +Warning (12125): Using design file altpll_reconfig1.tdf, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project + Info (12023): Found entity 1: altpll_reconfig1 +Info (12128): Elaborating entity "altpll_reconfig1" for hierarchy "altpll_reconfig1:inst7" +Warning (12125): Using design file altpll_reconfig1_pllrcfg_t4q.tdf, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project + Info (12023): Found entity 1: altpll_reconfig1_pllrcfg_t4q +Info (12128): Elaborating entity "altpll_reconfig1_pllrcfg_t4q" for hierarchy "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component" +Info (12128): Elaborating entity "altsyncram" for hierarchy "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|altsyncram:altsyncram4" +Info (12130): Elaborated megafunction instantiation "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|altsyncram:altsyncram4" +Info (12133): Instantiated megafunction "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|altsyncram:altsyncram4" with the following parameter: + Info (12134): Parameter "OPERATION_MODE" = "SINGLE_PORT" + Info (12134): Parameter "WIDTH_A" = "1" + Info (12134): Parameter "WIDTHAD_A" = "8" + Info (12134): Parameter "NUMWORDS_A" = "144" + Info (12134): Parameter "WIDTH_BYTEENA_A" = "1" +Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_46r.tdf + Info (12023): Found entity 1: altsyncram_46r +Info (12128): Elaborating entity "altsyncram_46r" for hierarchy "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|altsyncram:altsyncram4|altsyncram_46r:auto_generated" +Info (12128): Elaborating entity "lpm_add_sub" for hierarchy "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_add_sub:add_sub5" +Info (12130): Elaborated megafunction instantiation "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_add_sub:add_sub5" +Info (12133): Instantiated megafunction "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_add_sub:add_sub5" with the following parameter: + Info (12134): Parameter "LPM_WIDTH" = "9" +Info (12021): Found 1 design units, including 1 entities, in source file db/add_sub_hpa.tdf + Info (12023): Found entity 1: add_sub_hpa +Info (12128): Elaborating entity "add_sub_hpa" for hierarchy "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_add_sub:add_sub5|add_sub_hpa:auto_generated" +Info (12128): Elaborating entity "lpm_add_sub" for hierarchy "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_add_sub:add_sub6" +Info (12130): Elaborated megafunction instantiation "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_add_sub:add_sub6" +Info (12133): Instantiated megafunction "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_add_sub:add_sub6" with the following parameter: + Info (12134): Parameter "LPM_WIDTH" = "8" +Info (12021): Found 1 design units, including 1 entities, in source file db/add_sub_k8a.tdf + Info (12023): Found entity 1: add_sub_k8a +Info (12128): Elaborating entity "add_sub_k8a" for hierarchy "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_add_sub:add_sub6|add_sub_k8a:auto_generated" +Info (12128): Elaborating entity "lpm_compare" for hierarchy "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_compare:cmpr7" +Info (12130): Elaborated megafunction instantiation "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_compare:cmpr7" +Info (12133): Instantiated megafunction "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_compare:cmpr7" with the following parameter: + Info (12134): Parameter "LPM_WIDTH" = "8" +Info (12021): Found 1 design units, including 1 entities, in source file db/cmpr_tnd.tdf + Info (12023): Found entity 1: cmpr_tnd +Info (12128): Elaborating entity "cmpr_tnd" for hierarchy "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_compare:cmpr7|cmpr_tnd:auto_generated" +Info (12128): Elaborating entity "lpm_counter" for hierarchy "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr1" +Warning (287001): Assertion warning: The data[] port is connected but aload or sload are not connected or are ground. This means the data will be ignored +Info (12130): Elaborated megafunction instantiation "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr1" +Info (12133): Instantiated megafunction "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr1" with the following parameter: + Info (12134): Parameter "LPM_DIRECTION" = "DOWN" + Info (12134): Parameter "lpm_modulus" = "144" + Info (12134): Parameter "lpm_port_updown" = "PORT_UNUSED" + Info (12134): Parameter "LPM_WIDTH" = "8" +Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_30l.tdf + Info (12023): Found entity 1: cntr_30l +Info (12128): Elaborating entity "cntr_30l" for hierarchy "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr1|cntr_30l:auto_generated" +Info (12128): Elaborating entity "lpm_counter" for hierarchy "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr13" +Warning (287001): Assertion warning: The data[] port is connected but aload or sload are not connected or are ground. This means the data will be ignored +Info (12130): Elaborated megafunction instantiation "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr13" +Info (12133): Instantiated megafunction "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr13" with the following parameter: + Info (12134): Parameter "LPM_DIRECTION" = "DOWN" + Info (12134): Parameter "lpm_port_updown" = "PORT_UNUSED" + Info (12134): Parameter "LPM_WIDTH" = "6" +Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_qij.tdf + Info (12023): Found entity 1: cntr_qij +Info (12128): Elaborating entity "cntr_qij" for hierarchy "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr13|cntr_qij:auto_generated" +Info (12128): Elaborating entity "lpm_counter" for hierarchy "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr14" +Warning (287001): Assertion warning: The data[] port is connected but aload or sload are not connected or are ground. This means the data will be ignored +Info (12130): Elaborated megafunction instantiation "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr14" +Info (12133): Instantiated megafunction "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr14" with the following parameter: + Info (12134): Parameter "LPM_DIRECTION" = "DOWN" + Info (12134): Parameter "lpm_port_updown" = "PORT_UNUSED" + Info (12134): Parameter "LPM_WIDTH" = "5" +Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_pij.tdf + Info (12023): Found entity 1: cntr_pij +Info (12128): Elaborating entity "cntr_pij" for hierarchy "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr14|cntr_pij:auto_generated" +Info (12128): Elaborating entity "lpm_counter" for hierarchy "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr2" +Warning (287001): Assertion warning: The data[] port is connected but aload or sload are not connected or are ground. This means the data will be ignored +Info (12130): Elaborated megafunction instantiation "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr2" +Info (12133): Instantiated megafunction "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr2" with the following parameter: + Info (12134): Parameter "LPM_DIRECTION" = "UP" + Info (12134): Parameter "lpm_port_updown" = "PORT_UNUSED" + Info (12134): Parameter "LPM_WIDTH" = "8" +Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_9cj.tdf + Info (12023): Found entity 1: cntr_9cj +Info (12128): Elaborating entity "cntr_9cj" for hierarchy "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_counter:cntr2|cntr_9cj:auto_generated" +Info (12128): Elaborating entity "lpm_decode" for hierarchy "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_decode:decode11" +Info (12130): Elaborated megafunction instantiation "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_decode:decode11" +Info (12133): Instantiated megafunction "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_decode:decode11" with the following parameter: + Info (12134): Parameter "LPM_DECODES" = "5" + Info (12134): Parameter "LPM_WIDTH" = "3" +Info (12021): Found 1 design units, including 1 entities, in source file db/decode_2af.tdf + Info (12023): Found entity 1: decode_2af +Info (12128): Elaborating entity "decode_2af" for hierarchy "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|lpm_decode:decode11|decode_2af:auto_generated" +Info (12128): Elaborating entity "DSP" for hierarchy "DSP:Mathias_Alles" +Info (12128): Elaborating entity "interrupt_handler" for hierarchy "interrupt_handler:nobody" +Info (12128): Elaborating entity "lpm_counter0" for hierarchy "lpm_counter0:inst18" +Info (12128): Elaborating entity "lpm_counter" for hierarchy "lpm_counter0:inst18|lpm_counter:lpm_counter_component" +Info (12130): Elaborated megafunction instantiation "lpm_counter0:inst18|lpm_counter:lpm_counter_component" +Info (12133): Instantiated megafunction "lpm_counter0:inst18|lpm_counter:lpm_counter_component" with the following parameter: + Info (12134): Parameter "lpm_direction" = "UP" + Info (12134): Parameter "lpm_port_updown" = "PORT_UNUSED" + Info (12134): Parameter "lpm_type" = "LPM_COUNTER" + Info (12134): Parameter "lpm_width" = "18" +Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_mph.tdf + Info (12023): Found entity 1: cntr_mph +Info (12128): Elaborating entity "cntr_mph" for hierarchy "lpm_counter0:inst18|lpm_counter:lpm_counter_component|cntr_mph:auto_generated" +Info (12128): Elaborating entity "altddio_out3" for hierarchy "altddio_out3:inst5" +Info (12128): Elaborating entity "altddio_out" for hierarchy "altddio_out3:inst5|altddio_out:altddio_out_component" +Info (12130): Elaborated megafunction instantiation "altddio_out3:inst5|altddio_out:altddio_out_component" +Info (12133): Instantiated megafunction "altddio_out3:inst5|altddio_out:altddio_out_component" with the following parameter: + Info (12134): Parameter "extend_oe_disable" = "UNUSED" + Info (12134): Parameter "intended_device_family" = "Cyclone III" + Info (12134): Parameter "invert_output" = "OFF" + Info (12134): Parameter "lpm_type" = "altddio_out" + Info (12134): Parameter "oe_reg" = "UNUSED" + Info (12134): Parameter "power_up_high" = "OFF" + Info (12134): Parameter "width" = "1" +Info (12021): Found 1 design units, including 1 entities, in source file db/ddio_out_31f.tdf + Info (12023): Found entity 1: ddio_out_31f +Info (12128): Elaborating entity "ddio_out_31f" for hierarchy "altddio_out3:inst5|altddio_out:altddio_out_component|ddio_out_31f:auto_generated" +Info (286030): Timing-Driven Synthesis is running +Info (278001): Inferred 3 megafunctions from design logic + Info (278003): Inferred multiplier megafunction ("lpm_mult") from the following logic: "Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|op_14" + Info (278003): Inferred multiplier megafunction ("lpm_mult") from the following logic: "Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|op_6" + Info (278003): Inferred multiplier megafunction ("lpm_mult") from the following logic: "Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|op_12" +Info (12130): Elaborated megafunction instantiation "Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_14" +Info (12133): Instantiated megafunction "Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_14" with the following parameter: + Info (12134): Parameter "LPM_WIDTHA" = "12" + Info (12134): Parameter "LPM_WIDTHB" = "6" + Info (12134): Parameter "LPM_WIDTHP" = "18" + Info (12134): Parameter "LPM_WIDTHR" = "18" + Info (12134): Parameter "LPM_WIDTHS" = "1" + Info (12134): Parameter "LPM_REPRESENTATION" = "UNSIGNED" + Info (12134): Parameter "INPUT_A_IS_CONSTANT" = "NO" + Info (12134): Parameter "INPUT_B_IS_CONSTANT" = "NO" + Info (12134): Parameter "MAXIMIZE_SPEED" = "5" +Info (12021): Found 1 design units, including 1 entities, in source file db/mult_cat.tdf + Info (12023): Found entity 1: mult_cat +Info (12130): Elaborated megafunction instantiation "Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_6" +Info (12133): Instantiated megafunction "Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|lpm_mult:op_6" with the following parameter: + Info (12134): Parameter "LPM_WIDTHA" = "12" + Info (12134): Parameter "LPM_WIDTHB" = "5" + Info (12134): Parameter "LPM_WIDTHP" = "17" + Info (12134): Parameter "LPM_WIDTHR" = "17" + Info (12134): Parameter "LPM_WIDTHS" = "1" + Info (12134): Parameter "LPM_REPRESENTATION" = "UNSIGNED" + Info (12134): Parameter "INPUT_A_IS_CONSTANT" = "NO" + Info (12134): Parameter "INPUT_B_IS_CONSTANT" = "NO" + Info (12134): Parameter "MAXIMIZE_SPEED" = "5" +Info (12021): Found 1 design units, including 1 entities, in source file db/mult_aat.tdf + Info (12023): Found entity 1: mult_aat +Warning (13034): The following nodes have both tri-state and non-tri-state drivers + Warning (13035): Inserted always-enabled tri-state buffer between "IO[17]" and its non-tri-state driver. + Warning (13035): Inserted always-enabled tri-state buffer between "IO[16]" and its non-tri-state driver. + Warning (13035): Inserted always-enabled tri-state buffer between "IO[15]" and its non-tri-state driver. + Warning (13035): Inserted always-enabled tri-state buffer between "IO[14]" and its non-tri-state driver. + Warning (13035): Inserted always-enabled tri-state buffer between "IO[13]" and its non-tri-state driver. + Warning (13035): Inserted always-enabled tri-state buffer between "IO[12]" and its non-tri-state driver. + Warning (13035): Inserted always-enabled tri-state buffer between "IO[11]" and its non-tri-state driver. + Warning (13035): Inserted always-enabled tri-state buffer between "IO[10]" and its non-tri-state driver. + Warning (13035): Inserted always-enabled tri-state buffer between "IO[9]" and its non-tri-state driver. + Warning (13035): Inserted always-enabled tri-state buffer between "IO[8]" and its non-tri-state driver. + Warning (13035): Inserted always-enabled tri-state buffer between "IO[7]" and its non-tri-state driver. + Warning (13035): Inserted always-enabled tri-state buffer between "IO[6]" and its non-tri-state driver. + Warning (13035): Inserted always-enabled tri-state buffer between "IO[5]" and its non-tri-state driver. + Warning (13035): Inserted always-enabled tri-state buffer between "IO[4]" and its non-tri-state driver. + Warning (13035): Inserted always-enabled tri-state buffer between "IO[3]" and its non-tri-state driver. + Warning (13035): Inserted always-enabled tri-state buffer between "IO[2]" and its non-tri-state driver. + Warning (13035): Inserted always-enabled tri-state buffer between "IO[1]" and its non-tri-state driver. + Warning (13035): Inserted always-enabled tri-state buffer between "IO[0]" and its non-tri-state driver. +Info (13000): Registers with preset signals will power-up high +Info (13003): DEV_CLRn pin will set, and not reset, register with preset signal due to NOT Gate Push-Back +Warning (13008): TRI or OPNDRN buffers permanently disabled + Warning (13010): Node "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|SCSI_PAR~synth" + Warning (13010): Node "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|nSCSI_RST~synth" + Warning (13010): Node "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|SCSI_D[7]~synth" + Warning (13010): Node "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|SCSI_D[6]~synth" + Warning (13010): Node "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|SCSI_D[5]~synth" + Warning (13010): Node "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|SCSI_D[4]~synth" + Warning (13010): Node "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|SCSI_D[3]~synth" + Warning (13010): Node "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|SCSI_D[2]~synth" + Warning (13010): Node "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|SCSI_D[1]~synth" + Warning (13010): Node "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|SCSI_D[0]~synth" +Warning (13009): TRI or OPNDRN buffers permanently enabled + Warning (13010): Node "IO~synth" + Warning (13010): Node "IO~synth" + Warning (13010): Node "IO~synth" + Warning (13010): Node "IO~synth" + Warning (13010): Node "IO~synth" + Warning (13010): Node "IO~synth" + Warning (13010): Node "IO~synth" + Warning (13010): Node "IO~synth" + Warning (13010): Node "IO~synth" + Warning (13010): Node "IO~synth" + Warning (13010): Node "IO~synth" + Warning (13010): Node "IO~synth" + Warning (13010): Node "IO~synth" + Warning (13010): Node "IO~synth" + Warning (13010): Node "IO~synth" + Warning (13010): Node "IO~synth" + Warning (13010): Node "IO~synth" + Warning (13010): Node "IO~synth" + Warning (13010): Node "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|nSCSI_SEL~synth" + Warning (13010): Node "FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|nSCSI_BUSY~synth" +Warning (13024): Output pins are stuck at VCC or GND + Warning (13410): Pin "nACSI_ACK" is stuck at VCC + Warning (13410): Pin "nACSI_CS" is stuck at VCC + Warning (13410): Pin "ACSI_DIR" is stuck at GND + Warning (13410): Pin "nSCSI_ACK" is stuck at VCC + Warning (13410): Pin "nSCSI_ATN" is stuck at VCC + Warning (13410): Pin "SCSI_DIR" is stuck at VCC + Warning (13410): Pin "nSYNC" is stuck at GND +Info (17049): 78 registers lost all their fanouts during netlist optimizations. +Info (17016): Found the following redundant logic cells in design + Info (17048): Logic cell "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|cuda_combout_wire[0]" + Info (17048): Logic cell "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|cuda_combout_wire[1]" + Info (17048): Logic cell "altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|cuda_combout_wire[2]" +Info (128000): Starting physical synthesis optimizations for speed +Warning (335093): TimeQuest Timing Analyzer is analyzing 32 combinational loops as latches. +Info (332164): Evaluating HDL-embedded SDC commands + Info (332165): Entity dcfifo_0hh1 + Info (332166): set_false_path -from *rdptr_g* -to *ws_dgrp|dffpipe_id9:dffpipe17|dffe18a* + Info (332166): set_false_path -from *delayed_wrptr_g* -to *rs_dgwp|dffpipe_hd9:dffpipe12|dffe13a* + Info (332165): Entity dcfifo_3fh1 + Info (332166): set_false_path -from *rdptr_g* -to *ws_dgrp|dffpipe_kd9:dffpipe15|dffe16a* + Info (332166): set_false_path -from *delayed_wrptr_g* -to *rs_dgwp|dffpipe_jd9:dffpipe12|dffe13a* + Info (332165): Entity dcfifo_8fi1 + Info (332166): set_false_path -from *rdptr_g* -to *ws_dgrp|dffpipe_re9:dffpipe19|dffe20a* + Info (332166): set_false_path -from *delayed_wrptr_g* -to *rs_dgwp|dffpipe_qe9:dffpipe12|dffe13a* +Warning (332173): Ignored filter: *rs_dgwp|dffpipe_qe9:dffpipe12|dffe13a* could not be matched with a clock or keeper or register or port or pin or cell or partition +Warning (332048): Ignored set_false_path: Argument is not an object ID +Critical Warning (332012): Synopsys Design Constraints File file not found: 'firebee1.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. +Info (336004): TimeQuest will use the Classic Timing Analyzer's FMAX_REQUIREMENT assignment (or --fmax command-line argument) as default timing requirement. Any other Classic Timing Analyzer assignment will be ignored. +Info (332144): No user constrained generated clocks found in the design +Info (332144): No user constrained base clocks found in the design +Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty" +Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties. +Info (332129): Detected timing requirements -- optimizing circuit to achieve only the specified requirements +Info (332111): Found 24 clocks + Info (332111): Period Clock Name + Info (332111): ======== ============ + Info (332111): 30.303 CLK33M + Info (332111): 1.000 DVI_INT + Info (332111): 1.000 E0_INT + Info (332111): 7.575 inst12|altpll_component|auto_generated|pll1|clk[0] + Info (332111): 7.575 inst12|altpll_component|auto_generated|pll1|clk[1] + Info (332111): 7.575 inst12|altpll_component|auto_generated|pll1|clk[2] + Info (332111): 7.575 inst12|altpll_component|auto_generated|pll1|clk[3] + Info (332111): 15.151 inst12|altpll_component|auto_generated|pll1|clk[4] + Info (332111): 499.999 inst13|altpll_component|auto_generated|pll1|clk[0] + Info (332111): 62.499 inst13|altpll_component|auto_generated|pll1|clk[1] + Info (332111): 39.999 inst13|altpll_component|auto_generated|pll1|clk[2] + Info (332111): 20.833 inst13|altpll_component|auto_generated|pll1|clk[3] + Info (332111): 10.416 inst22|altpll_component|auto_generated|pll1|clk[0] + Info (332111): 1999.998 inst|altpll_component|auto_generated|pll1|clk[0] + Info (332111): 407.055 inst|altpll_component|auto_generated|pll1|clk[1] + Info (332111): 40.705 inst|altpll_component|auto_generated|pll1|clk[2] + Info (332111): 30.303 MAIN_CLK + Info (332111): 1.000 nPCI_INTA + Info (332111): 1.000 nPCI_INTB + Info (332111): 1.000 nPCI_INTC + Info (332111): 1.000 nPCI_INTD + Info (332111): 1.000 PIC_INT + Info (332111): 1.000 Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|HSYNC + Info (332111): 1.000 Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|VSYNC +Info (128002): Starting physical synthesis algorithm combinational resynthesis using boolean division +Info (128003): Physical synthesis algorithm combinational resynthesis using boolean division complete: estimated slack improvement of 0 ps +Info (128001): Physical synthesis optimizations for speed complete: elapsed CPU time is 00:00:08 +Info (16010): Generating hard_block partition "hard_block:auto_generated_inst" + Info (16011): Adding 4 node(s), including 0 DDIO, 4 PLL, 0 transceiver and 0 LCELL +Warning (21074): Design contains 18 input pin(s) that do not drive logic + Warning (15610): No output dependent on input pin "nFB_BURST" + Warning (15610): No output dependent on input pin "nACSI_DRQ" + Warning (15610): No output dependent on input pin "nACSI_INT" + Warning (15610): No output dependent on input pin "nSCSI_DRQ" + Warning (15610): No output dependent on input pin "nSCSI_MSG" + Warning (15610): No output dependent on input pin "nDCHG" + Warning (15610): No output dependent on input pin "SD_DATA0" + Warning (15610): No output dependent on input pin "SD_DATA1" + Warning (15610): No output dependent on input pin "SD_DATA2" + Warning (15610): No output dependent on input pin "SD_CARD_DEDECT" + Warning (15610): No output dependent on input pin "SD_WP" + Warning (15610): No output dependent on input pin "nDACK0" + Warning (15610): No output dependent on input pin "WP_CF_CARD" + Warning (15610): No output dependent on input pin "nSCSI_C_D" + Warning (15610): No output dependent on input pin "nSCSI_I_O" + Warning (15610): No output dependent on input pin "nFB_CS3" + Warning (15610): No output dependent on input pin "TOUT0" + Warning (15610): No output dependent on input pin "nMASTER" +Info (21057): Implemented 11386 device resources after synthesis - the final resource count might be different + Info (21058): Implemented 51 input pins + Info (21059): Implemented 112 output pins + Info (21060): Implemented 132 bidirectional pins + Info (21061): Implemented 10693 logic cells + Info (21064): Implemented 324 RAM segments + Info (21065): Implemented 4 PLLs + Info (21062): Implemented 6 DSP elements +Info: Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 151 warnings + Info: Peak virtual memory: 498 megabytes + Info: Processing ended: Sat Oct 20 18:22:18 2012 + Info: Elapsed time: 00:01:53 + Info: Total CPU time (on all processors): 00:01:51 + + diff --git a/firebee1.map.summary b/firebee1.map.summary index f8da91e..a78a6e5 100644 --- a/firebee1.map.summary +++ b/firebee1.map.summary @@ -1,14 +1,14 @@ -Analysis & Synthesis Status : Successful - Wed Dec 15 02:21:55 2010 -Quartus II Version : 9.1 Build 350 03/24/2010 SP 2 SJ Web Edition -Revision Name : firebee1 -Top-level Entity Name : firebee1 -Family : Cyclone III -Total logic elements : 10,706 - Total combinational functions : 8,060 - Dedicated logic registers : 4,612 -Total registers : 4740 -Total pins : 295 -Total virtual pins : 0 -Total memory bits : 109,344 -Embedded Multiplier 9-bit elements : 6 -Total PLLs : 4 +Analysis & Synthesis Status : Successful - Sat Oct 20 18:22:17 2012 +Quartus II 32-bit Version : 12.0 Build 263 08/02/2012 SP 2 SJ Web Edition +Revision Name : firebee1 +Top-level Entity Name : firebee1 +Family : Cyclone III +Total logic elements : 10,604 + Total combinational functions : 7,954 + Dedicated logic registers : 4,622 +Total registers : 4750 +Total pins : 295 +Total virtual pins : 0 +Total memory bits : 109,344 +Embedded Multiplier 9-bit elements : 6 +Total PLLs : 4 diff --git a/firebee1.pin b/firebee1.pin index 50b8dd7..544c5f2 100644 --- a/firebee1.pin +++ b/firebee1.pin @@ -1,557 +1,554 @@ - -- Copyright (C) 1991-2010 Altera Corporation - -- Your use of Altera Corporation's design tools, logic functions - -- and other software and tools, and its AMPP partner logic - -- functions, and any output files from any of the foregoing - -- (including device programming or simulation files), and any - -- associated documentation or information are expressly subject - -- to the terms and conditions of the Altera Program License - -- Subscription Agreement, Altera MegaCore Function License - -- Agreement, or other applicable license agreement, including, - -- without limitation, that your use is for the sole purpose of - -- programming logic devices manufactured by Altera and sold by - -- Altera or its authorized distributors. Please refer to the - -- applicable agreement for further details. - -- - -- This is a Quartus II output file. It is for reporting purposes only, and is - -- not intended for use as a Quartus II input file. This file cannot be used - -- to make Quartus II pin assignments - for instructions on how to make pin - -- assignments, please see Quartus II help. - --------------------------------------------------------------------------------- - - - - --------------------------------------------------------------------------------- - -- NC : No Connect. This pin has no internal connection to the device. - -- DNU : Do Not Use. This pin MUST NOT be connected. - -- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.2V). - -- VCCIO : Dedicated power pin, which MUST be connected to VCC - -- of its bank. - -- Bank 1: 3.3V - -- Bank 2: 3.3V - -- Bank 3: 3.3V - -- Bank 4: 2.5V - -- Bank 5: 2.5V - -- Bank 6: 3.0V - -- Bank 7: 3.3V - -- Bank 8: 3.3V - -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. - -- It can also be used to report unused dedicated pins. The connection - -- on the board for unused dedicated pins depends on whether this will - -- be used in a future design. One example is device migration. When - -- using device migration, refer to the device pin-tables. If it is a - -- GND pin in the pin table or if it will not be used in a future design - -- for another purpose the it MUST be connected to GND. If it is an unused - -- dedicated pin, then it can be connected to a valid signal on the board - -- (low, high, or toggling) if that signal is required for a different - -- revision of the design. - -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. - -- This pin should be connected to GND. It may also be connected to a - -- valid signal on the board (low, high, or toggling) if that signal - -- is required for a different revision of the design. - -- GND* : Unused I/O pin. For transceiver I/O banks, connect each pin marked GND* - -- either individually through a 10k Ohm resistor to GND or tie all pins - -- together and connect through a single 10k Ohm resistor to GND. - -- For non-transceiver I/O banks, connect each pin marked GND* directly to GND - -- or leave it unconnected. - -- RESERVED : Unused I/O pin, which MUST be left unconnected. - -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. - -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. - -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. - -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. - --------------------------------------------------------------------------------- - - - - --------------------------------------------------------------------------------- - -- Pin directions (input, output or bidir) are based on device operating in user mode. - --------------------------------------------------------------------------------- - -Quartus II Version 9.1 Build 350 03/24/2010 Service Pack 2 SJ Web Edition -CHIP "firebee1" ASSIGNED TO AN: EP3C40F484C6 - -Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment -------------------------------------------------------------------------------------------------------------- -GND : A1 : gnd : : : : -VCCIO8 : A2 : power : : 3.3V : 8 : -LP_D[6] : A3 : bidir : 3.3-V LVTTL : : 8 : Y -nSRBLE : A4 : output : 3.3-V LVTTL : : 8 : Y -SRD[1] : A5 : bidir : 3.3-V LVTTL : : 8 : Y -IO[3] : A6 : bidir : 3.3-V LVTTL : : 8 : Y -IO[1] : A7 : bidir : 3.3-V LVTTL : : 8 : Y -IO[0] : A8 : bidir : 3.3-V LVTTL : : 8 : Y -SRD[10] : A9 : bidir : 3.3-V LVTTL : : 8 : Y -SRD[9] : A10 : bidir : 3.3-V LVTTL : : 8 : Y -DVI_INT : A11 : input : 3.3-V LVTTL : : 8 : Y -nDACK1 : A12 : input : 3.3-V LVTTL : : 7 : Y -IO[16] : A13 : bidir : 3.3-V LVTTL : : 7 : Y -IO[14] : A14 : bidir : 3.3-V LVTTL : : 7 : Y -IO[9] : A15 : bidir : 3.3-V LVTTL : : 7 : Y -SD_DATA1 : A16 : input : 3.3-V LVTTL : : 7 : Y -YM_QA : A17 : output : 3.3-V LVTTL : : 7 : Y -TxD : A18 : output : 3.3-V LVTTL : : 7 : Y -DCD : A19 : input : 3.3-V LVTTL : : 7 : Y -nRD_DATA : A20 : input : 3.3-V LVTTL : : 7 : Y -VCCIO7 : A21 : power : : 3.3V : 7 : -GND : A22 : gnd : : : : -nPCI_INTA : AA1 : input : 3.3-V LVTTL : : 2 : Y -PIC_INT : AA2 : input : 3.3-V LVTTL : : 2 : Y -FB_AD[2] : AA3 : bidir : 3.3-V LVTTL : : 3 : Y -FB_AD[6] : AA4 : bidir : 3.3-V LVTTL : : 3 : Y -FB_AD[8] : AA5 : bidir : 3.3-V LVTTL : : 3 : Y -VCCIO3 : AA6 : power : : 3.3V : 3 : -FB_AD[15] : AA7 : bidir : 3.3-V LVTTL : : 3 : Y -FB_AD[22] : AA8 : bidir : 3.3-V LVTTL : : 3 : Y -FB_AD[25] : AA9 : bidir : 3.3-V LVTTL : : 3 : Y -FB_AD[31] : AA10 : bidir : 3.3-V LVTTL : : 3 : Y -GND+ : AA11 : : : : 3 : -GND+ : AA12 : : : : 4 : -VD[18] : AA13 : bidir : 2.5 V : : 4 : Y -VD[25] : AA14 : bidir : 2.5 V : : 4 : Y -VDQS[0] : AA15 : bidir : 2.5 V : : 4 : Y -VDM[0] : AA16 : output : 2.5 V : : 4 : Y -nDDR_CLK : AA17 : output : 2.5 V : : 4 : Y -VA[12] : AA18 : output : 2.5 V : : 4 : Y -BA[1] : AA19 : output : 2.5 V : : 4 : Y -VA[7] : AA20 : output : 2.5 V : : 4 : Y -VA[6] : AA21 : output : 2.5 V : : 5 : Y -VA[4] : AA22 : output : 2.5 V : : 5 : Y -GND : AB1 : gnd : : : : -VCCIO3 : AB2 : power : : 3.3V : 3 : -FB_AD[3] : AB3 : bidir : 3.3-V LVTTL : : 3 : Y -FB_AD[7] : AB4 : bidir : 3.3-V LVTTL : : 3 : Y -FB_AD[9] : AB5 : bidir : 3.3-V LVTTL : : 3 : Y -GND : AB6 : gnd : : : : -FB_AD[16] : AB7 : bidir : 3.3-V LVTTL : : 3 : Y -FB_AD[23] : AB8 : bidir : 3.3-V LVTTL : : 3 : Y -FB_AD[26] : AB9 : bidir : 3.3-V LVTTL : : 3 : Y -CLK24M576 : AB10 : output : 3.3-V LVTTL : : 3 : Y -GND+ : AB11 : : : : 3 : -CLK33M : AB12 : input : 3.3-V LVTTL : : 4 : Y -VD[29] : AB13 : bidir : 2.5 V : : 4 : Y -VD[26] : AB14 : bidir : 2.5 V : : 4 : Y -VD[24] : AB15 : bidir : 2.5 V : : 4 : Y -VD[23] : AB16 : bidir : 2.5 V : : 4 : Y -DDR_CLK : AB17 : output : 2.5 V : : 4 : Y -nVCAS : AB18 : output : 2.5 V : : 4 : Y -VA[9] : AB19 : output : 2.5 V : : 4 : Y -VA[8] : AB20 : output : 2.5 V : : 4 : Y -VCCIO4 : AB21 : power : : 2.5V : 4 : -GND : AB22 : gnd : : : : -ACSI_D[0] : B1 : bidir : 3.3-V LVTTL : : 1 : Y -MIDI_TLR : B2 : output : 3.3-V LVTTL : : 1 : Y -LP_D[5] : B3 : bidir : 3.3-V LVTTL : : 8 : Y -nSRBHE : B4 : output : 3.3-V LVTTL : : 8 : Y -SRD[0] : B5 : bidir : 3.3-V LVTTL : : 8 : Y -IO[4] : B6 : bidir : 3.3-V LVTTL : : 8 : Y -IO[2] : B7 : bidir : 3.3-V LVTTL : : 8 : Y -nSRCS : B8 : output : 3.3-V LVTTL : : 8 : Y -SRD[8] : B9 : bidir : 3.3-V LVTTL : : 8 : Y -SRD[11] : B10 : bidir : 3.3-V LVTTL : : 8 : Y -nRSTO_MCF : B11 : input : 3.3-V LVTTL : : 8 : Y -nDACK0 : B12 : input : 3.3-V LVTTL : : 7 : Y -IO[17] : B13 : bidir : 3.3-V LVTTL : : 7 : Y -IO[15] : B14 : bidir : 3.3-V LVTTL : : 7 : Y -IO[10] : B15 : bidir : 3.3-V LVTTL : : 7 : Y -SD_DATA0 : B16 : input : 3.3-V LVTTL : : 7 : Y -SD_DATA2 : B17 : input : 3.3-V LVTTL : : 7 : Y -RTS : B18 : output : 3.3-V LVTTL : : 7 : Y -RI : B19 : input : 3.3-V LVTTL : : 7 : Y -nSDSEL : B20 : output : 3.3-V LVTTL : : 7 : Y -VB[5] : B21 : output : 3.0-V LVTTL : : 6 : Y -VB[4] : B22 : output : 3.0-V LVTTL : : 6 : Y -ACSI_D[4] : C1 : bidir : 3.3-V LVTTL : : 1 : Y -ACSI_D[3] : C2 : bidir : 3.3-V LVTTL : : 1 : Y -LP_D[2] : C3 : bidir : 3.3-V LVTTL : : 8 : Y -LP_D[1] : C4 : bidir : 3.3-V LVTTL : : 8 : Y -GND : C5 : gnd : : : : -SRD[2] : C6 : bidir : 3.3-V LVTTL : : 8 : Y -IO[7] : C7 : bidir : 3.3-V LVTTL : : 8 : Y -IO[6] : C8 : bidir : 3.3-V LVTTL : : 8 : Y -GND : C9 : gnd : : : : -SRD[4] : C10 : bidir : 3.3-V LVTTL : : 8 : Y -GND : C11 : gnd : : : : -GND : C12 : gnd : : : : -IO[11] : C13 : bidir : 3.3-V LVTTL : : 7 : Y -GND : C14 : gnd : : : : -SD_CLK : C15 : output : 3.3-V LVTTL : : 7 : Y -GND : C16 : gnd : : : : -nDCHG : C17 : input : 3.3-V LVTTL : : 7 : Y -GND : C18 : gnd : : : : -TRACK00 : C19 : input : 3.3-V LVTTL : : 7 : Y -VB[6] : C20 : output : 3.0-V LVTTL : : 6 : Y -VB[3] : C21 : output : 3.0-V LVTTL : : 6 : Y -VB[2] : C22 : output : 3.0-V LVTTL : : 6 : Y -~ALTERA_ASDO_DATA1~ / RESERVED_INPUT : D1 : input : 3.3-V LVTTL : : 1 : N -ACSI_D[5] : D2 : bidir : 3.3-V LVTTL : : 1 : Y -GND : D3 : gnd : : : : -VCCIO1 : D4 : power : : 3.3V : 1 : -VCCIO8 : D5 : power : : 3.3V : 8 : -LP_D[4] : D6 : bidir : 3.3-V LVTTL : : 8 : Y -RESERVED_INPUT_WITH_WEAK_PULLUP : D7 : : : : 8 : -GND : D8 : gnd : : : : -VCCIO8 : D9 : power : : 3.3V : 8 : -SRD[12] : D10 : bidir : 3.3-V LVTTL : : 8 : Y -VCCIO8 : D11 : power : : 3.3V : 8 : -VCCIO7 : D12 : power : : 3.3V : 7 : -IO[12] : D13 : bidir : 3.3-V LVTTL : : 7 : Y -VCCIO7 : D14 : power : : 3.3V : 7 : -DTR : D15 : output : 3.3-V LVTTL : : 7 : Y -VCCIO7 : D16 : power : : 3.3V : 7 : -nWR_GATE : D17 : output : 3.3-V LVTTL : : 7 : Y -VCCIO7 : D18 : power : : 3.3V : 7 : -nWP : D19 : input : 3.3-V LVTTL : : 7 : Y -VB[7] : D20 : output : 3.0-V LVTTL : : 6 : Y -VG[7] : D21 : output : 3.0-V LVTTL : : 6 : Y -VG[6] : D22 : output : 3.0-V LVTTL : : 6 : Y -SCSI_D[1] : E1 : bidir : 3.3-V LVTTL : : 1 : Y -~ALTERA_FLASH_nCE_nCSO~ / RESERVED_INPUT : E2 : input : 3.3-V LVTTL : : 1 : N -ACSI_D[2] : E3 : bidir : 3.3-V LVTTL : : 1 : Y -RESERVED_INPUT_WITH_WEAK_PULLUP : E4 : : : : 1 : -LPDIR : E5 : output : 3.3-V LVTTL : : 8 : Y -LP_STR : E6 : output : 3.3-V LVTTL : : 8 : Y -LP_D[3] : E7 : bidir : 3.3-V LVTTL : : 8 : Y -VCCIO8 : E8 : power : : 3.3V : 8 : -IO[5] : E9 : bidir : 3.3-V LVTTL : : 8 : Y -SRD[6] : E10 : bidir : 3.3-V LVTTL : : 8 : Y -nDREQ1 : E11 : output : 3.3-V LVTTL : : 7 : Y -MIDI_IN : E12 : input : 3.3-V LVTTL : : 7 : Y -IO[13] : E13 : bidir : 3.3-V LVTTL : : 7 : Y -SD_CMD_D1 : E14 : bidir : 3.3-V LVTTL : : 7 : Y -YM_QC : E15 : output : 3.3-V LVTTL : : 7 : Y -nINDEX : E16 : input : 3.3-V LVTTL : : 7 : Y -VCCD_PLL2 : E17 : power : : 1.2V : : -GNDA2 : E18 : gnd : : : : -VCCIO6 : E19 : power : : 3.0V : 6 : -GND : E20 : gnd : : : : -VG[2] : E21 : output : 3.0-V LVTTL : : 6 : Y -VG[1] : E22 : output : 3.0-V LVTTL : : 6 : Y -SCSI_D[3] : F1 : bidir : 3.3-V LVTTL : : 1 : Y -SCSI_D[2] : F2 : bidir : 3.3-V LVTTL : : 1 : Y -GND : F3 : gnd : : : : -VCCIO1 : F4 : power : : 3.3V : 1 : -GNDA3 : F5 : gnd : : : : -VCCD_PLL3 : F6 : power : : 1.2V : : -LP_D[0] : F7 : bidir : 3.3-V LVTTL : : 8 : Y -nSRWE : F8 : output : 3.3-V LVTTL : : 8 : Y -SRD[5] : F9 : bidir : 3.3-V LVTTL : : 8 : Y -SRD[13] : F10 : bidir : 3.3-V LVTTL : : 8 : Y -nSROE : F11 : output : 3.3-V LVTTL : : 7 : Y -GND : F12 : gnd : : : : -SD_CD_DATA3 : F13 : bidir : 3.3-V LVTTL : : 7 : Y -nSTEP : F14 : output : 3.3-V LVTTL : : 7 : Y -DSA_D : F15 : output : 3.3-V LVTTL : : 7 : Y -HD_DD : F16 : input : 3.3-V LVTTL : : 7 : Y -nSYNC : F17 : output : 3.0-V LVCMOS : : 6 : Y -VCCA2 : F18 : power : : 2.5V : : -PIXEL_CLK_PAD : F19 : output : 3.0-V LVTTL : : 6 : Y -nIRQ[4] : F20 : output : 3.0-V LVCMOS : : 6 : Y -nIRQ[2] : F21 : output : 3.0-V LVCMOS : : 6 : Y -VR[7] : F22 : output : 3.0-V LVTTL : : 6 : Y -GND+ : G1 : : : : 1 : -MAIN_CLK : G2 : input : 3.3-V LVTTL : : 1 : Y -SCSI_D[5] : G3 : bidir : 3.3-V LVTTL : : 1 : Y -SCSI_D[4] : G4 : bidir : 3.3-V LVTTL : : 1 : Y -ACSI_D[1] : G5 : bidir : 3.3-V LVTTL : : 1 : Y -VCCA3 : G6 : power : : 2.5V : : -LP_BUSY : G7 : input : 3.3-V LVTTL : : 8 : Y -LP_D[7] : G8 : bidir : 3.3-V LVTTL : : 8 : Y -SRD[14] : G9 : bidir : 3.3-V LVTTL : : 8 : Y -IO[8] : G10 : bidir : 3.3-V LVTTL : : 8 : Y -SRD[3] : G11 : bidir : 3.3-V LVTTL : : 8 : Y -VCCINT : G12 : power : : 1.2V : : -YM_QB : G13 : output : 3.3-V LVTTL : : 7 : Y -nWR : G14 : output : 3.3-V LVTTL : : 7 : Y -nSTEP_DIR : G15 : output : 3.3-V LVTTL : : 7 : Y -nMOT_ON : G16 : output : 3.3-V LVTTL : : 7 : Y -nBLANK_PAD : G17 : output : 3.0-V LVTTL : : 6 : Y -VB[0] : G18 : output : 3.0-V LVTTL : : 6 : Y -VCCIO6 : G19 : power : : 3.0V : 6 : -GND : G20 : gnd : : : : -E0_INT : G21 : input : 3.3-V LVTTL : : 6 : Y -IDE_INT : G22 : input : 3.3-V LVTTL : : 6 : Y -nSCSI_C_D : H1 : input : 3.3-V LVTTL : : 1 : Y -nSCSI_MSG : H2 : input : 3.3-V LVTTL : : 1 : Y -GND : H3 : gnd : : : : -VCCIO1 : H4 : power : : 3.3V : 1 : -MIDI_OLR : H5 : output : 3.3-V LVTTL : : 1 : Y -ACSI_D[7] : H6 : bidir : 3.3-V LVTTL : : 1 : Y -ACSI_D[6] : H7 : bidir : 3.3-V LVTTL : : 1 : Y -RESERVED_INPUT_WITH_WEAK_PULLUP : H8 : : : : 1 : -VCCINT : H9 : power : : 1.2V : : -SRD[15] : H10 : bidir : 3.3-V LVTTL : : 8 : Y -SRD[7] : H11 : bidir : 3.3-V LVTTL : : 8 : Y -GND : H12 : gnd : : : : -GND : H13 : gnd : : : : -CTS : H14 : input : 3.3-V LVTTL : : 7 : Y -RxD : H15 : input : 3.3-V LVTTL : : 7 : Y -VG[5] : H16 : output : 3.0-V LVTTL : : 6 : Y -VB[1] : H17 : output : 3.0-V LVTTL : : 6 : Y -VG[3] : H18 : output : 3.0-V LVTTL : : 6 : Y -VG[0] : H19 : output : 3.0-V LVTTL : : 6 : Y -nIRQ[3] : H20 : output : 3.0-V LVCMOS : : 6 : Y -VR[3] : H21 : output : 3.0-V LVTTL : : 6 : Y -VR[2] : H22 : output : 3.0-V LVTTL : : 6 : Y -CLKUSB : J1 : output : 3.3-V LVTTL : : 1 : Y -RESERVED_INPUT_WITH_WEAK_PULLUP : J2 : : : : 1 : -nSCSI_I_O : J3 : input : 3.3-V LVTTL : : 1 : Y -nACSI_INT : J4 : input : 3.3-V LVTTL : : 1 : Y -RESERVED_INPUT_WITH_WEAK_PULLUP : J5 : : : : 1 : -SCSI_D[0] : J6 : bidir : 3.3-V LVTTL : : 1 : Y -SCSI_DIR : J7 : output : 3.3-V LVTTL : : 1 : Y -RESERVED_INPUT_WITH_WEAK_PULLUP : J8 : : : : 1 : -GND : J9 : gnd : : : : -VCCINT : J10 : power : : 1.2V : : -VCCINT : J11 : power : : 1.2V : : -VCCINT : J12 : power : : 1.2V : : -VCCINT : J13 : power : : 1.2V : : -VCCINT : J14 : power : : 1.2V : : -GND : J15 : gnd : : : : -VCCINT : J16 : power : : 1.2V : : -VG[4] : J17 : output : 3.0-V LVTTL : : 6 : Y -VR[6] : J18 : output : 3.0-V LVTTL : : 6 : Y -GND : J19 : gnd : : : : -VCCIO6 : J20 : power : : 3.0V : 6 : -VR[1] : J21 : output : 3.0-V LVTTL : : 6 : Y -VR[0] : J22 : output : 3.0-V LVTTL : : 6 : Y -~ALTERA_DATA0~ / RESERVED_INPUT : K1 : input : 3.3-V LVTTL : : 1 : N -~ALTERA_DCLK~ / RESERVED_INPUT : K2 : input : 3.3-V LVTTL : : 1 : N -GND : K3 : gnd : : : : -VCCIO1 : K4 : power : : 3.3V : 1 : -nCONFIG : K5 : : : : 1 : -nSTATUS : K6 : : : : 1 : -nACSI_DRQ : K7 : input : 3.3-V LVTTL : : 1 : Y -SCSI_D[7] : K8 : bidir : 3.3-V LVTTL : : 1 : Y -VCCINT : K9 : power : : 1.2V : : -GND : K10 : gnd : : : : -GND : K11 : gnd : : : : -GND : K12 : gnd : : : : -GND : K13 : gnd : : : : -VCCINT : K14 : power : : 1.2V : : -VCCINT : K15 : power : : 1.2V : : -GND : K16 : gnd : : : : -VR[4] : K17 : output : 3.0-V LVTTL : : 6 : Y -VR[5] : K18 : output : 3.0-V LVTTL : : 6 : Y -VSYNC_PAD : K19 : output : 3.0-V LVTTL : : 6 : Y -MSEL3 : K20 : : : : 6 : -HSYNC_PAD : K21 : output : 3.0-V LVTTL : : 6 : Y -~ALTERA_nCEO~ / RESERVED_OUTPUT_OPEN_DRAIN : K22 : output : 3.0-V LVTTL : : 6 : N -TMS : L1 : input : : : 1 : -TCK : L2 : input : : : 1 : -nCE : L3 : : : : 1 : -TDO : L4 : output : : : 1 : -TDI : L5 : input : : : 1 : -ACSI_DIR : L6 : output : 3.3-V LVTTL : : 2 : Y -PIC_AMKB_RX : L7 : input : 3.3-V LVTTL : : 2 : Y -SCSI_D[6] : L8 : bidir : 3.3-V LVTTL : : 1 : Y -VCCINT : L9 : power : : 1.2V : : -GND : L10 : gnd : : : : -GND : L11 : gnd : : : : -GND : L12 : gnd : : : : -GND : L13 : gnd : : : : -VCCINT : L14 : power : : 1.2V : : -GND : L15 : gnd : : : : -VCCINT : L16 : power : : 1.2V : : -MSEL2 : L17 : : : : 6 : -MSEL1 : L18 : : : : 6 : -VCCIO6 : L19 : power : : 3.0V : 6 : -GND : L20 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : L21 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : L22 : : : : 6 : -nACSI_RESET : M1 : output : 3.3-V LVTTL : : 2 : Y -nACSI_CS : M2 : output : 3.3-V LVTTL : : 2 : Y -nSCSI_ATN : M3 : output : 3.3-V LVTTL : : 2 : Y -nACSI_ACK : M4 : output : 3.3-V LVTTL : : 2 : Y -IDE_RES : M5 : output : 3.3-V LVTTL : : 2 : Y -ACSI_A1 : M6 : output : 3.3-V LVTTL : : 2 : Y -SCSI_PAR : M7 : bidir : 3.3-V LVTTL : : 2 : Y -nSCSI_SEL : M8 : bidir : 3.3-V LVTTL : : 2 : Y -VCCINT : M9 : power : : 1.2V : : -GND : M10 : gnd : : : : -GND : M11 : gnd : : : : -GND : M12 : gnd : : : : -GND : M13 : gnd : : : : -VCCINT : M14 : power : : 1.2V : : -VCCINT : M15 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : M16 : : : : 5 : -MSEL0 : M17 : : : : 6 : -CONF_DONE : M18 : : : : 6 : -SD_WP : M19 : input : 3.3-V LVTTL : : 5 : Y -SD_CARD_DEDECT : M20 : input : 3.3-V LVTTL : : 5 : Y -VD[1] : M21 : bidir : 2.5 V : : 5 : Y -VD[0] : M22 : bidir : 2.5 V : : 5 : Y -AMKB_TX : N1 : output : 3.3-V LVCMOS : : 2 : Y -nSCSI_ACK : N2 : output : 3.3-V LVTTL : : 2 : Y -GND : N3 : gnd : : : : -VCCIO2 : N4 : power : : 3.3V : 2 : -nRP_LDS : N5 : output : 3.3-V LVTTL : : 2 : Y -nSCSI_RST : N6 : bidir : 3.3-V LVTTL : : 2 : Y -nIRQ[7] : N7 : output : 3.3-V LVTTL : : 2 : Y -nSCSI_BUSY : N8 : bidir : 3.3-V LVTTL : : 2 : Y -VCCINT : N9 : power : : 1.2V : : -GND : N10 : gnd : : : : -GND : N11 : gnd : : : : -GND : N12 : gnd : : : : -GND : N13 : gnd : : : : -VCCINT : N14 : power : : 1.2V : : -GND : N15 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : N16 : : : : 5 : -VD[12] : N17 : bidir : 2.5 V : : 5 : Y -RESERVED_INPUT_WITH_WEAK_PULLUP : N18 : : : : 5 : -LED_FPGA_OK : N19 : output : 2.5 V : : 5 : Y -VD[15] : N20 : bidir : 2.5 V : : 5 : Y -~ALTERA_DEV_CLRn~ / RESERVED_INPUT : N21 : input : 2.5 V : : 5 : N -~ALTERA_DEV_OE~ / RESERVED_INPUT : N22 : input : 2.5 V : : 5 : N -nIDE_RD : P1 : output : 3.3-V LVTTL : : 2 : Y -nIDE_WR : P2 : output : 3.3-V LVTTL : : 2 : Y -nROM3 : P3 : output : 3.3-V LVTTL : : 2 : Y -nRP_UDS : P4 : output : 3.3-V LVTTL : : 2 : Y -nIRQ[5] : P5 : output : 3.3-V LVTTL : : 2 : Y -nPCI_INTD : P6 : input : 3.3-V LVTTL : : 2 : Y -nIRQ[6] : P7 : output : 3.3-V LVTTL : : 2 : Y -GND : P8 : gnd : : : : -VCCINT : P9 : power : : 1.2V : : -VCCINT : P10 : power : : 1.2V : : -VCCINT : P11 : power : : 1.2V : : -VCCINT : P12 : power : : 1.2V : : -VCCINT : P13 : power : : 1.2V : : -VCCINT : P14 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : P15 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : P16 : : : : 5 : -VD[10] : P17 : bidir : 2.5 V : : 5 : Y -VCCIO5 : P18 : power : : 2.5V : 5 : -GND : P19 : gnd : : : : -VD[13] : P20 : bidir : 2.5 V : : 5 : Y -VD[4] : P21 : bidir : 2.5 V : : 5 : Y -VD[2] : P22 : bidir : 2.5 V : : 5 : Y -nIDE_CS1 : R1 : output : 3.3-V LVTTL : : 2 : Y -nIDE_CS0 : R2 : output : 3.3-V LVTTL : : 2 : Y -GND : R3 : gnd : : : : -VCCIO2 : R4 : power : : 3.3V : 2 : -TIN0 : R5 : output : 3.3-V LVTTL : : 2 : Y -nFB_OE : R6 : input : 3.3-V LVTTL : : 2 : Y -FB_ALE : R7 : input : 3.3-V LVTTL : : 2 : Y -VCCINT : R8 : power : : 1.2V : : -GND : R9 : gnd : : : : -VCCINT : R10 : power : : 1.2V : : -GND : R11 : gnd : : : : -VCCINT : R12 : power : : 1.2V : : -GND : R13 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : R14 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R15 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R16 : : : : 4 : -VD[5] : R17 : bidir : 2.5 V : : 5 : Y -VD[9] : R18 : bidir : 2.5 V : : 5 : Y -VD[6] : R19 : bidir : 2.5 V : : 5 : Y -VD[3] : R20 : bidir : 2.5 V : : 5 : Y -VD[11] : R21 : bidir : 2.5 V : : 5 : Y -VD[14] : R22 : bidir : 2.5 V : : 5 : Y -WP_CF_CARD : T1 : input : 3.3-V LVTTL : : 2 : Y -GND+ : T2 : : : : 2 : -nFB_BURST : T3 : input : 3.3-V LVTTL : : 2 : Y -CLK25M : T4 : output : 3.3-V LVTTL : : 2 : Y -nFB_WR : T5 : input : 3.3-V LVTTL : : 2 : Y -VCCA1 : T6 : power : : 2.5V : : -nFB_TA : T7 : output : 3.3-V LVTTL : : 2 : Y -nFB_CS1 : T8 : input : 3.3-V LVTTL : : 3 : Y -nFB_CS2 : T9 : input : 3.3-V LVTTL : : 3 : Y -FB_AD[20] : T10 : bidir : 3.3-V LVTTL : : 3 : Y -FB_AD[24] : T11 : bidir : 3.3-V LVTTL : : 3 : Y -VD[16] : T12 : bidir : 2.5 V : : 4 : Y -RESERVED_INPUT_WITH_WEAK_PULLUP : T13 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : T14 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : T15 : : : : 4 : -VDQS[3] : T16 : bidir : 2.5 V : : 4 : Y -VDM[3] : T17 : output : 2.5 V : : 5 : Y -nVCS : T18 : output : 2.5 V : : 5 : Y -VCCIO5 : T19 : power : : 2.5V : 5 : -GND : T20 : gnd : : : : -nMASTER : T21 : input : 3.3-V LVTTL : : 5 : Y -TOUT0 : T22 : input : 3.3-V LVTTL : : 5 : Y -nSCSI_DRQ : U1 : input : 3.3-V LVTTL : : 2 : Y -nROM4 : U2 : output : 3.3-V LVTTL : : 2 : Y -GND : U3 : gnd : : : : -VCCIO2 : U4 : power : : 3.3V : 2 : -GNDA1 : U5 : gnd : : : : -VCCD_PLL1 : U6 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : U7 : : : : 3 : -FB_SIZE0 : U8 : input : 3.3-V LVTTL : : 3 : Y -FB_AD[12] : U9 : bidir : 3.3-V LVTTL : : 3 : Y -FB_AD[21] : U10 : bidir : 3.3-V LVTTL : : 3 : Y -FB_AD[27] : U11 : bidir : 3.3-V LVTTL : : 3 : Y -VD[31] : U12 : bidir : 2.5 V : : 4 : Y -VD[20] : U13 : bidir : 2.5 V : : 4 : Y -RESERVED_INPUT_WITH_WEAK_PULLUP : U14 : : : : 4 : -VCKE : U15 : output : 2.5 V : : 4 : Y -RESERVED_INPUT_WITH_WEAK_PULLUP : U16 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U17 : : : : 4 : -VCCA4 : U18 : power : : 2.5V : : -VA[11] : U19 : output : 2.5 V : : 5 : Y -VDM[2] : U20 : output : 2.5 V : : 5 : Y -VD[7] : U21 : bidir : 2.5 V : : 5 : Y -VDQS[2] : U22 : bidir : 2.5 V : : 5 : Y -nPD_VGA : V1 : output : 3.3-V LVTTL : : 2 : Y -RESERVED_INPUT_WITH_WEAK_PULLUP : V2 : : : : 2 : -nPCI_INTC : V3 : input : 3.3-V LVTTL : : 2 : Y -nPCI_INTB : V4 : input : 3.3-V LVTTL : : 2 : Y -RESERVED_INPUT_WITH_WEAK_PULLUP : V5 : : : : 3 : -nFB_CS3 : V6 : input : 3.3-V LVTTL : : 3 : Y -FB_AD[5] : V7 : bidir : 3.3-V LVTTL : : 3 : Y -FB_AD[13] : V8 : bidir : 3.3-V LVTTL : : 3 : Y -FB_AD[18] : V9 : bidir : 3.3-V LVTTL : : 3 : Y -FB_AD[19] : V10 : bidir : 3.3-V LVTTL : : 3 : Y -FB_AD[28] : V11 : bidir : 3.3-V LVTTL : : 3 : Y -VD[30] : V12 : bidir : 2.5 V : : 4 : Y -VD[27] : V13 : bidir : 2.5 V : : 4 : Y -VD[19] : V14 : bidir : 2.5 V : : 4 : Y -VD[21] : V15 : bidir : 2.5 V : : 4 : Y -VDM[1] : V16 : output : 2.5 V : : 4 : Y -VCCD_PLL4 : V17 : power : : 1.2V : : -GNDA4 : V18 : gnd : : : : -VCCIO5 : V19 : power : : 2.5V : 5 : -GND : V20 : gnd : : : : -VA[10] : V21 : output : 2.5 V : : 5 : Y -VD[8] : V22 : bidir : 2.5 V : : 5 : Y -nCF_CS1 : W1 : output : 3.3-V LVTTL : : 2 : Y -nCF_CS0 : W2 : output : 3.3-V LVTTL : : 2 : Y -GND : W3 : gnd : : : : -VCCIO2 : W4 : power : : 3.3V : 2 : -VCCIO3 : W5 : power : : 3.3V : 3 : -FB_AD[4] : W6 : bidir : 3.3-V LVTTL : : 3 : Y -FB_AD[10] : W7 : bidir : 3.3-V LVTTL : : 3 : Y -FB_AD[14] : W8 : bidir : 3.3-V LVTTL : : 3 : Y -VCCIO3 : W9 : power : : 3.3V : 3 : -FB_AD[29] : W10 : bidir : 3.3-V LVTTL : : 3 : Y -VCCIO3 : W11 : power : : 3.3V : 3 : -VCCIO4 : W12 : power : : 2.5V : 4 : -VD[28] : W13 : bidir : 2.5 V : : 4 : Y -VD[22] : W14 : bidir : 2.5 V : : 4 : Y -VDQS[1] : W15 : bidir : 2.5 V : : 4 : Y -VCCIO4 : W16 : power : : 2.5V : 4 : -nVRAS : W17 : output : 2.5 V : : 4 : Y -VCCIO4 : W18 : power : : 2.5V : 4 : -BA[0] : W19 : output : 2.5 V : : 5 : Y -VA[0] : W20 : output : 2.5 V : : 5 : Y -VA[2] : W21 : output : 2.5 V : : 5 : Y -VA[1] : W22 : output : 2.5 V : : 5 : Y -IDE_RDY : Y1 : input : 3.3-V LVTTL : : 2 : Y -AMKB_RX : Y2 : input : 3.3-V LVTTL : : 2 : Y -FB_AD[0] : Y3 : bidir : 3.3-V LVTTL : : 3 : Y -FB_SIZE1 : Y4 : input : 3.3-V LVTTL : : 3 : Y -GND : Y5 : gnd : : : : -FB_AD[1] : Y6 : bidir : 3.3-V LVTTL : : 3 : Y -FB_AD[11] : Y7 : bidir : 3.3-V LVTTL : : 3 : Y -FB_AD[17] : Y8 : bidir : 3.3-V LVTTL : : 3 : Y -GND : Y9 : gnd : : : : -FB_AD[30] : Y10 : bidir : 3.3-V LVTTL : : 3 : Y -GND : Y11 : gnd : : : : -GND : Y12 : gnd : : : : -VD[17] : Y13 : bidir : 2.5 V : : 4 : Y -VCCIO4 : Y14 : power : : 2.5V : 4 : -GND : Y15 : gnd : : : : -GND : Y16 : gnd : : : : -nVWE : Y17 : output : 2.5 V : : 4 : Y -GND : Y18 : gnd : : : : -VCCIO5 : Y19 : power : : 2.5V : 5 : -GND : Y20 : gnd : : : : -VA[5] : Y21 : output : 2.5 V : : 5 : Y -VA[3] : Y22 : output : 2.5 V : : 5 : Y + -- Copyright (C) 1991-2012 Altera Corporation + -- Your use of Altera Corporation's design tools, logic functions + -- and other software and tools, and its AMPP partner logic + -- functions, and any output files from any of the foregoing + -- (including device programming or simulation files), and any + -- associated documentation or information are expressly subject + -- to the terms and conditions of the Altera Program License + -- Subscription Agreement, Altera MegaCore Function License + -- Agreement, or other applicable license agreement, including, + -- without limitation, that your use is for the sole purpose of + -- programming logic devices manufactured by Altera and sold by + -- Altera or its authorized distributors. Please refer to the + -- applicable agreement for further details. + -- + -- This is a Quartus II output file. It is for reporting purposes only, and is + -- not intended for use as a Quartus II input file. This file cannot be used + -- to make Quartus II pin assignments - for instructions on how to make pin + -- assignments, please see Quartus II help. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- NC : No Connect. This pin has no internal connection to the device. + -- DNU : Do Not Use. This pin MUST NOT be connected. + -- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.2V). + -- VCCIO : Dedicated power pin, which MUST be connected to VCC + -- of its bank. + -- Bank 1: 3.3V + -- Bank 2: 3.3V + -- Bank 3: 3.3V + -- Bank 4: 2.5V + -- Bank 5: 2.5V + -- Bank 6: 3.0V + -- Bank 7: 3.3V + -- Bank 8: 3.3V + -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. + -- It can also be used to report unused dedicated pins. The connection + -- on the board for unused dedicated pins depends on whether this will + -- be used in a future design. One example is device migration. When + -- using device migration, refer to the device pin-tables. If it is a + -- GND pin in the pin table or if it will not be used in a future design + -- for another purpose the it MUST be connected to GND. If it is an unused + -- dedicated pin, then it can be connected to a valid signal on the board + -- (low, high, or toggling) if that signal is required for a different + -- revision of the design. + -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. + -- This pin should be connected to GND. It may also be connected to a + -- valid signal on the board (low, high, or toggling) if that signal + -- is required for a different revision of the design. + -- GND* : Unused I/O pin. Connect each pin marked GND* directly to GND + -- or leave it unconnected. + -- RESERVED : Unused I/O pin, which MUST be left unconnected. + -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. + -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. + -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. + -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- Pin directions (input, output or bidir) are based on device operating in user mode. + --------------------------------------------------------------------------------- + +Quartus II 32-bit Version 12.0 Build 263 08/02/2012 Service Pack 2 SJ Web Edition +CHIP "firebee1" ASSIGNED TO AN: EP3C40F484C6 + +Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment +------------------------------------------------------------------------------------------------------------- +GND : A1 : gnd : : : : +VCCIO8 : A2 : power : : 3.3V : 8 : +LP_D[6] : A3 : bidir : 3.3-V LVTTL : : 8 : Y +nSRBLE : A4 : output : 3.3-V LVTTL : : 8 : Y +SRD[1] : A5 : bidir : 3.3-V LVTTL : : 8 : Y +IO[3] : A6 : bidir : 3.3-V LVTTL : : 8 : Y +IO[1] : A7 : bidir : 3.3-V LVTTL : : 8 : Y +IO[0] : A8 : bidir : 3.3-V LVTTL : : 8 : Y +SRD[10] : A9 : bidir : 3.3-V LVTTL : : 8 : Y +SRD[9] : A10 : bidir : 3.3-V LVTTL : : 8 : Y +DVI_INT : A11 : input : 3.3-V LVTTL : : 8 : Y +nDACK1 : A12 : input : 3.3-V LVTTL : : 7 : Y +IO[16] : A13 : bidir : 3.3-V LVTTL : : 7 : Y +IO[14] : A14 : bidir : 3.3-V LVTTL : : 7 : Y +IO[9] : A15 : bidir : 3.3-V LVTTL : : 7 : Y +SD_DATA1 : A16 : input : 3.3-V LVTTL : : 7 : Y +YM_QA : A17 : output : 3.3-V LVTTL : : 7 : Y +TxD : A18 : output : 3.3-V LVTTL : : 7 : Y +DCD : A19 : input : 3.3-V LVTTL : : 7 : Y +nRD_DATA : A20 : input : 3.3-V LVTTL : : 7 : Y +VCCIO7 : A21 : power : : 3.3V : 7 : +GND : A22 : gnd : : : : +nPCI_INTA : AA1 : input : 3.3-V LVTTL : : 2 : Y +PIC_INT : AA2 : input : 3.3-V LVTTL : : 2 : Y +FB_AD[2] : AA3 : bidir : 3.3-V LVTTL : : 3 : Y +FB_AD[6] : AA4 : bidir : 3.3-V LVTTL : : 3 : Y +FB_AD[8] : AA5 : bidir : 3.3-V LVTTL : : 3 : Y +VCCIO3 : AA6 : power : : 3.3V : 3 : +FB_AD[15] : AA7 : bidir : 3.3-V LVTTL : : 3 : Y +FB_AD[22] : AA8 : bidir : 3.3-V LVTTL : : 3 : Y +FB_AD[25] : AA9 : bidir : 3.3-V LVTTL : : 3 : Y +FB_AD[31] : AA10 : bidir : 3.3-V LVTTL : : 3 : Y +GND+ : AA11 : : : : 3 : +GND+ : AA12 : : : : 4 : +VD[18] : AA13 : bidir : 2.5 V : : 4 : Y +VD[25] : AA14 : bidir : 2.5 V : : 4 : Y +VDQS[0] : AA15 : bidir : 2.5 V : : 4 : Y +VDM[0] : AA16 : output : 2.5 V : : 4 : Y +nDDR_CLK : AA17 : output : 2.5 V : : 4 : Y +VA[12] : AA18 : output : 2.5 V : : 4 : Y +BA[1] : AA19 : output : 2.5 V : : 4 : Y +VA[7] : AA20 : output : 2.5 V : : 4 : Y +VA[6] : AA21 : output : 2.5 V : : 5 : Y +VA[4] : AA22 : output : 2.5 V : : 5 : Y +GND : AB1 : gnd : : : : +VCCIO3 : AB2 : power : : 3.3V : 3 : +FB_AD[3] : AB3 : bidir : 3.3-V LVTTL : : 3 : Y +FB_AD[7] : AB4 : bidir : 3.3-V LVTTL : : 3 : Y +FB_AD[9] : AB5 : bidir : 3.3-V LVTTL : : 3 : Y +GND : AB6 : gnd : : : : +FB_AD[16] : AB7 : bidir : 3.3-V LVTTL : : 3 : Y +FB_AD[23] : AB8 : bidir : 3.3-V LVTTL : : 3 : Y +FB_AD[26] : AB9 : bidir : 3.3-V LVTTL : : 3 : Y +CLK24M576 : AB10 : output : 3.3-V LVTTL : : 3 : Y +GND+ : AB11 : : : : 3 : +CLK33M : AB12 : input : 3.3-V LVTTL : : 4 : Y +VD[29] : AB13 : bidir : 2.5 V : : 4 : Y +VD[26] : AB14 : bidir : 2.5 V : : 4 : Y +VD[24] : AB15 : bidir : 2.5 V : : 4 : Y +VD[23] : AB16 : bidir : 2.5 V : : 4 : Y +DDR_CLK : AB17 : output : 2.5 V : : 4 : Y +nVCAS : AB18 : output : 2.5 V : : 4 : Y +VA[9] : AB19 : output : 2.5 V : : 4 : Y +VA[8] : AB20 : output : 2.5 V : : 4 : Y +VCCIO4 : AB21 : power : : 2.5V : 4 : +GND : AB22 : gnd : : : : +ACSI_D[0] : B1 : bidir : 3.3-V LVTTL : : 1 : Y +MIDI_TLR : B2 : output : 3.3-V LVTTL : : 1 : Y +LP_D[5] : B3 : bidir : 3.3-V LVTTL : : 8 : Y +nSRBHE : B4 : output : 3.3-V LVTTL : : 8 : Y +SRD[0] : B5 : bidir : 3.3-V LVTTL : : 8 : Y +IO[4] : B6 : bidir : 3.3-V LVTTL : : 8 : Y +IO[2] : B7 : bidir : 3.3-V LVTTL : : 8 : Y +nSRCS : B8 : output : 3.3-V LVTTL : : 8 : Y +SRD[8] : B9 : bidir : 3.3-V LVTTL : : 8 : Y +SRD[11] : B10 : bidir : 3.3-V LVTTL : : 8 : Y +nRSTO_MCF : B11 : input : 3.3-V LVTTL : : 8 : Y +nDACK0 : B12 : input : 3.3-V LVTTL : : 7 : Y +IO[17] : B13 : bidir : 3.3-V LVTTL : : 7 : Y +IO[15] : B14 : bidir : 3.3-V LVTTL : : 7 : Y +IO[10] : B15 : bidir : 3.3-V LVTTL : : 7 : Y +SD_DATA0 : B16 : input : 3.3-V LVTTL : : 7 : Y +SD_DATA2 : B17 : input : 3.3-V LVTTL : : 7 : Y +RTS : B18 : output : 3.3-V LVTTL : : 7 : Y +RI : B19 : input : 3.3-V LVTTL : : 7 : Y +nSDSEL : B20 : output : 3.3-V LVTTL : : 7 : Y +VB[5] : B21 : output : 3.0-V LVTTL : : 6 : Y +VB[4] : B22 : output : 3.0-V LVTTL : : 6 : Y +ACSI_D[4] : C1 : bidir : 3.3-V LVTTL : : 1 : Y +ACSI_D[3] : C2 : bidir : 3.3-V LVTTL : : 1 : Y +LP_D[2] : C3 : bidir : 3.3-V LVTTL : : 8 : Y +LP_D[1] : C4 : bidir : 3.3-V LVTTL : : 8 : Y +GND : C5 : gnd : : : : +SRD[2] : C6 : bidir : 3.3-V LVTTL : : 8 : Y +IO[7] : C7 : bidir : 3.3-V LVTTL : : 8 : Y +IO[6] : C8 : bidir : 3.3-V LVTTL : : 8 : Y +GND : C9 : gnd : : : : +SRD[4] : C10 : bidir : 3.3-V LVTTL : : 8 : Y +GND : C11 : gnd : : : : +GND : C12 : gnd : : : : +IO[11] : C13 : bidir : 3.3-V LVTTL : : 7 : Y +GND : C14 : gnd : : : : +SD_CLK : C15 : output : 3.3-V LVTTL : : 7 : Y +GND : C16 : gnd : : : : +nDCHG : C17 : input : 3.3-V LVTTL : : 7 : Y +GND : C18 : gnd : : : : +TRACK00 : C19 : input : 3.3-V LVTTL : : 7 : Y +VB[6] : C20 : output : 3.0-V LVTTL : : 6 : Y +VB[3] : C21 : output : 3.0-V LVTTL : : 6 : Y +VB[2] : C22 : output : 3.0-V LVTTL : : 6 : Y +~ALTERA_ASDO_DATA1~ / RESERVED_INPUT : D1 : input : 3.3-V LVTTL : : 1 : N +ACSI_D[5] : D2 : bidir : 3.3-V LVTTL : : 1 : Y +GND : D3 : gnd : : : : +VCCIO1 : D4 : power : : 3.3V : 1 : +VCCIO8 : D5 : power : : 3.3V : 8 : +LP_D[4] : D6 : bidir : 3.3-V LVTTL : : 8 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : D7 : : : : 8 : +GND : D8 : gnd : : : : +VCCIO8 : D9 : power : : 3.3V : 8 : +SRD[12] : D10 : bidir : 3.3-V LVTTL : : 8 : Y +VCCIO8 : D11 : power : : 3.3V : 8 : +VCCIO7 : D12 : power : : 3.3V : 7 : +IO[12] : D13 : bidir : 3.3-V LVTTL : : 7 : Y +VCCIO7 : D14 : power : : 3.3V : 7 : +DTR : D15 : output : 3.3-V LVTTL : : 7 : Y +VCCIO7 : D16 : power : : 3.3V : 7 : +nWR_GATE : D17 : output : 3.3-V LVTTL : : 7 : Y +VCCIO7 : D18 : power : : 3.3V : 7 : +nWP : D19 : input : 3.3-V LVTTL : : 7 : Y +VB[7] : D20 : output : 3.0-V LVTTL : : 6 : Y +VG[7] : D21 : output : 3.0-V LVTTL : : 6 : Y +VG[6] : D22 : output : 3.0-V LVTTL : : 6 : Y +SCSI_D[1] : E1 : bidir : 3.3-V LVTTL : : 1 : Y +~ALTERA_FLASH_nCE_nCSO~ / RESERVED_INPUT : E2 : input : 3.3-V LVTTL : : 1 : N +ACSI_D[2] : E3 : bidir : 3.3-V LVTTL : : 1 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : E4 : : : : 1 : +LPDIR : E5 : output : 3.3-V LVTTL : : 8 : Y +LP_STR : E6 : output : 3.3-V LVTTL : : 8 : Y +LP_D[3] : E7 : bidir : 3.3-V LVTTL : : 8 : Y +VCCIO8 : E8 : power : : 3.3V : 8 : +IO[5] : E9 : bidir : 3.3-V LVTTL : : 8 : Y +SRD[6] : E10 : bidir : 3.3-V LVTTL : : 8 : Y +nDREQ1 : E11 : output : 3.3-V LVTTL : : 7 : Y +MIDI_IN : E12 : input : 3.3-V LVTTL : : 7 : Y +IO[13] : E13 : bidir : 3.3-V LVTTL : : 7 : Y +SD_CMD_D1 : E14 : bidir : 3.3-V LVTTL : : 7 : Y +YM_QC : E15 : output : 3.3-V LVTTL : : 7 : Y +nINDEX : E16 : input : 3.3-V LVTTL : : 7 : Y +VCCD_PLL2 : E17 : power : : 1.2V : : +GNDA2 : E18 : gnd : : : : +VCCIO6 : E19 : power : : 3.0V : 6 : +GND : E20 : gnd : : : : +VG[2] : E21 : output : 3.0-V LVTTL : : 6 : Y +VG[1] : E22 : output : 3.0-V LVTTL : : 6 : Y +SCSI_D[3] : F1 : bidir : 3.3-V LVTTL : : 1 : Y +SCSI_D[2] : F2 : bidir : 3.3-V LVTTL : : 1 : Y +GND : F3 : gnd : : : : +VCCIO1 : F4 : power : : 3.3V : 1 : +GNDA3 : F5 : gnd : : : : +VCCD_PLL3 : F6 : power : : 1.2V : : +LP_D[0] : F7 : bidir : 3.3-V LVTTL : : 8 : Y +nSRWE : F8 : output : 3.3-V LVTTL : : 8 : Y +SRD[5] : F9 : bidir : 3.3-V LVTTL : : 8 : Y +SRD[13] : F10 : bidir : 3.3-V LVTTL : : 8 : Y +nSROE : F11 : output : 3.3-V LVTTL : : 7 : Y +GND : F12 : gnd : : : : +SD_CD_DATA3 : F13 : bidir : 3.3-V LVTTL : : 7 : Y +nSTEP : F14 : output : 3.3-V LVTTL : : 7 : Y +DSA_D : F15 : output : 3.3-V LVTTL : : 7 : Y +HD_DD : F16 : input : 3.3-V LVTTL : : 7 : Y +nSYNC : F17 : output : 3.0-V LVCMOS : : 6 : Y +VCCA2 : F18 : power : : 2.5V : : +PIXEL_CLK_PAD : F19 : output : 3.0-V LVTTL : : 6 : Y +nIRQ[4] : F20 : output : 3.0-V LVCMOS : : 6 : Y +nIRQ[2] : F21 : output : 3.0-V LVCMOS : : 6 : Y +VR[7] : F22 : output : 3.0-V LVTTL : : 6 : Y +GND+ : G1 : : : : 1 : +MAIN_CLK : G2 : input : 3.3-V LVTTL : : 1 : Y +SCSI_D[5] : G3 : bidir : 3.3-V LVTTL : : 1 : Y +SCSI_D[4] : G4 : bidir : 3.3-V LVTTL : : 1 : Y +ACSI_D[1] : G5 : bidir : 3.3-V LVTTL : : 1 : Y +VCCA3 : G6 : power : : 2.5V : : +LP_BUSY : G7 : input : 3.3-V LVTTL : : 8 : Y +LP_D[7] : G8 : bidir : 3.3-V LVTTL : : 8 : Y +SRD[14] : G9 : bidir : 3.3-V LVTTL : : 8 : Y +IO[8] : G10 : bidir : 3.3-V LVTTL : : 8 : Y +SRD[3] : G11 : bidir : 3.3-V LVTTL : : 8 : Y +VCCINT : G12 : power : : 1.2V : : +YM_QB : G13 : output : 3.3-V LVTTL : : 7 : Y +nWR : G14 : output : 3.3-V LVTTL : : 7 : Y +nSTEP_DIR : G15 : output : 3.3-V LVTTL : : 7 : Y +nMOT_ON : G16 : output : 3.3-V LVTTL : : 7 : Y +nBLANK_PAD : G17 : output : 3.0-V LVTTL : : 6 : Y +VB[0] : G18 : output : 3.0-V LVTTL : : 6 : Y +VCCIO6 : G19 : power : : 3.0V : 6 : +GND : G20 : gnd : : : : +E0_INT : G21 : input : 3.3-V LVTTL : : 6 : Y +IDE_INT : G22 : input : 3.3-V LVTTL : : 6 : Y +nSCSI_C_D : H1 : input : 3.3-V LVTTL : : 1 : Y +nSCSI_MSG : H2 : input : 3.3-V LVTTL : : 1 : Y +GND : H3 : gnd : : : : +VCCIO1 : H4 : power : : 3.3V : 1 : +MIDI_OLR : H5 : output : 3.3-V LVTTL : : 1 : Y +ACSI_D[7] : H6 : bidir : 3.3-V LVTTL : : 1 : Y +ACSI_D[6] : H7 : bidir : 3.3-V LVTTL : : 1 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : H8 : : : : 1 : +VCCINT : H9 : power : : 1.2V : : +SRD[15] : H10 : bidir : 3.3-V LVTTL : : 8 : Y +SRD[7] : H11 : bidir : 3.3-V LVTTL : : 8 : Y +GND : H12 : gnd : : : : +GND : H13 : gnd : : : : +CTS : H14 : input : 3.3-V LVTTL : : 7 : Y +RxD : H15 : input : 3.3-V LVTTL : : 7 : Y +VG[5] : H16 : output : 3.0-V LVTTL : : 6 : Y +VB[1] : H17 : output : 3.0-V LVTTL : : 6 : Y +VG[3] : H18 : output : 3.0-V LVTTL : : 6 : Y +VG[0] : H19 : output : 3.0-V LVTTL : : 6 : Y +nIRQ[3] : H20 : output : 3.0-V LVCMOS : : 6 : Y +VR[3] : H21 : output : 3.0-V LVTTL : : 6 : Y +VR[2] : H22 : output : 3.0-V LVTTL : : 6 : Y +CLKUSB : J1 : output : 3.3-V LVTTL : : 1 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : J2 : : : : 1 : +nSCSI_I_O : J3 : input : 3.3-V LVTTL : : 1 : Y +nACSI_INT : J4 : input : 3.3-V LVTTL : : 1 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : J5 : : : : 1 : +SCSI_D[0] : J6 : bidir : 3.3-V LVTTL : : 1 : Y +SCSI_DIR : J7 : output : 3.3-V LVTTL : : 1 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : J8 : : : : 1 : +GND : J9 : gnd : : : : +VCCINT : J10 : power : : 1.2V : : +VCCINT : J11 : power : : 1.2V : : +VCCINT : J12 : power : : 1.2V : : +VCCINT : J13 : power : : 1.2V : : +VCCINT : J14 : power : : 1.2V : : +GND : J15 : gnd : : : : +VCCINT : J16 : power : : 1.2V : : +VG[4] : J17 : output : 3.0-V LVTTL : : 6 : Y +VR[6] : J18 : output : 3.0-V LVTTL : : 6 : Y +GND : J19 : gnd : : : : +VCCIO6 : J20 : power : : 3.0V : 6 : +VR[1] : J21 : output : 3.0-V LVTTL : : 6 : Y +VR[0] : J22 : output : 3.0-V LVTTL : : 6 : Y +~ALTERA_DATA0~ / RESERVED_INPUT : K1 : input : 3.3-V LVTTL : : 1 : N +~ALTERA_DCLK~ / RESERVED_INPUT : K2 : input : 3.3-V LVTTL : : 1 : N +GND : K3 : gnd : : : : +VCCIO1 : K4 : power : : 3.3V : 1 : +nCONFIG : K5 : : : : 1 : +nSTATUS : K6 : : : : 1 : +nACSI_DRQ : K7 : input : 3.3-V LVTTL : : 1 : Y +SCSI_D[7] : K8 : bidir : 3.3-V LVTTL : : 1 : Y +VCCINT : K9 : power : : 1.2V : : +GND : K10 : gnd : : : : +GND : K11 : gnd : : : : +GND : K12 : gnd : : : : +GND : K13 : gnd : : : : +VCCINT : K14 : power : : 1.2V : : +VCCINT : K15 : power : : 1.2V : : +GND : K16 : gnd : : : : +VR[4] : K17 : output : 3.0-V LVTTL : : 6 : Y +VR[5] : K18 : output : 3.0-V LVTTL : : 6 : Y +VSYNC_PAD : K19 : output : 3.0-V LVTTL : : 6 : Y +MSEL3 : K20 : : : : 6 : +HSYNC_PAD : K21 : output : 3.0-V LVTTL : : 6 : Y +~ALTERA_nCEO~ / RESERVED_OUTPUT_OPEN_DRAIN : K22 : output : 3.0-V LVTTL : : 6 : N +TMS : L1 : input : : : 1 : +TCK : L2 : input : : : 1 : +nCE : L3 : : : : 1 : +TDO : L4 : output : : : 1 : +TDI : L5 : input : : : 1 : +ACSI_DIR : L6 : output : 3.3-V LVTTL : : 2 : Y +PIC_AMKB_RX : L7 : input : 3.3-V LVTTL : : 2 : Y +SCSI_D[6] : L8 : bidir : 3.3-V LVTTL : : 1 : Y +VCCINT : L9 : power : : 1.2V : : +GND : L10 : gnd : : : : +GND : L11 : gnd : : : : +GND : L12 : gnd : : : : +GND : L13 : gnd : : : : +VCCINT : L14 : power : : 1.2V : : +GND : L15 : gnd : : : : +VCCINT : L16 : power : : 1.2V : : +MSEL2 : L17 : : : : 6 : +MSEL1 : L18 : : : : 6 : +VCCIO6 : L19 : power : : 3.0V : 6 : +GND : L20 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : L21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L22 : : : : 6 : +nACSI_RESET : M1 : output : 3.3-V LVTTL : : 2 : Y +nACSI_CS : M2 : output : 3.3-V LVTTL : : 2 : Y +nSCSI_ATN : M3 : output : 3.3-V LVTTL : : 2 : Y +nACSI_ACK : M4 : output : 3.3-V LVTTL : : 2 : Y +IDE_RES : M5 : output : 3.3-V LVTTL : : 2 : Y +ACSI_A1 : M6 : output : 3.3-V LVTTL : : 2 : Y +SCSI_PAR : M7 : bidir : 3.3-V LVTTL : : 2 : Y +nSCSI_SEL : M8 : bidir : 3.3-V LVTTL : : 2 : Y +VCCINT : M9 : power : : 1.2V : : +GND : M10 : gnd : : : : +GND : M11 : gnd : : : : +GND : M12 : gnd : : : : +GND : M13 : gnd : : : : +VCCINT : M14 : power : : 1.2V : : +VCCINT : M15 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : M16 : : : : 5 : +MSEL0 : M17 : : : : 6 : +CONF_DONE : M18 : : : : 6 : +SD_WP : M19 : input : 3.3-V LVTTL : : 5 : Y +SD_CARD_DEDECT : M20 : input : 3.3-V LVTTL : : 5 : Y +VD[1] : M21 : bidir : 2.5 V : : 5 : Y +VD[0] : M22 : bidir : 2.5 V : : 5 : Y +AMKB_TX : N1 : output : 3.3-V LVCMOS : : 2 : Y +nSCSI_ACK : N2 : output : 3.3-V LVTTL : : 2 : Y +GND : N3 : gnd : : : : +VCCIO2 : N4 : power : : 3.3V : 2 : +nRP_LDS : N5 : output : 3.3-V LVTTL : : 2 : Y +nSCSI_RST : N6 : bidir : 3.3-V LVTTL : : 2 : Y +nIRQ[7] : N7 : output : 3.3-V LVTTL : : 2 : Y +nSCSI_BUSY : N8 : bidir : 3.3-V LVTTL : : 2 : Y +VCCINT : N9 : power : : 1.2V : : +GND : N10 : gnd : : : : +GND : N11 : gnd : : : : +GND : N12 : gnd : : : : +GND : N13 : gnd : : : : +VCCINT : N14 : power : : 1.2V : : +GND : N15 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : N16 : : : : 5 : +VD[12] : N17 : bidir : 2.5 V : : 5 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : N18 : : : : 5 : +LED_FPGA_OK : N19 : output : 2.5 V : : 5 : Y +VD[15] : N20 : bidir : 2.5 V : : 5 : Y +~ALTERA_DEV_CLRn~ / RESERVED_INPUT : N21 : input : 2.5 V : : 5 : N +~ALTERA_DEV_OE~ / RESERVED_INPUT : N22 : input : 2.5 V : : 5 : N +nIDE_RD : P1 : output : 3.3-V LVTTL : : 2 : Y +nIDE_WR : P2 : output : 3.3-V LVTTL : : 2 : Y +nROM3 : P3 : output : 3.3-V LVTTL : : 2 : Y +nRP_UDS : P4 : output : 3.3-V LVTTL : : 2 : Y +nIRQ[5] : P5 : output : 3.3-V LVTTL : : 2 : Y +nPCI_INTD : P6 : input : 3.3-V LVTTL : : 2 : Y +nIRQ[6] : P7 : output : 3.3-V LVTTL : : 2 : Y +GND : P8 : gnd : : : : +VCCINT : P9 : power : : 1.2V : : +VCCINT : P10 : power : : 1.2V : : +VCCINT : P11 : power : : 1.2V : : +VCCINT : P12 : power : : 1.2V : : +VCCINT : P13 : power : : 1.2V : : +VCCINT : P14 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : P15 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P16 : : : : 5 : +VD[10] : P17 : bidir : 2.5 V : : 5 : Y +VCCIO5 : P18 : power : : 2.5V : 5 : +GND : P19 : gnd : : : : +VD[13] : P20 : bidir : 2.5 V : : 5 : Y +VD[4] : P21 : bidir : 2.5 V : : 5 : Y +VD[2] : P22 : bidir : 2.5 V : : 5 : Y +nIDE_CS1 : R1 : output : 3.3-V LVTTL : : 2 : Y +nIDE_CS0 : R2 : output : 3.3-V LVTTL : : 2 : Y +GND : R3 : gnd : : : : +VCCIO2 : R4 : power : : 3.3V : 2 : +TIN0 : R5 : output : 3.3-V LVTTL : : 2 : Y +nFB_OE : R6 : input : 3.3-V LVTTL : : 2 : Y +FB_ALE : R7 : input : 3.3-V LVTTL : : 2 : Y +VCCINT : R8 : power : : 1.2V : : +GND : R9 : gnd : : : : +VCCINT : R10 : power : : 1.2V : : +GND : R11 : gnd : : : : +VCCINT : R12 : power : : 1.2V : : +GND : R13 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : R14 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R15 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R16 : : : : 4 : +VD[5] : R17 : bidir : 2.5 V : : 5 : Y +VD[9] : R18 : bidir : 2.5 V : : 5 : Y +VD[6] : R19 : bidir : 2.5 V : : 5 : Y +VD[3] : R20 : bidir : 2.5 V : : 5 : Y +VD[11] : R21 : bidir : 2.5 V : : 5 : Y +VD[14] : R22 : bidir : 2.5 V : : 5 : Y +WP_CF_CARD : T1 : input : 3.3-V LVTTL : : 2 : Y +GND+ : T2 : : : : 2 : +nFB_BURST : T3 : input : 3.3-V LVTTL : : 2 : Y +CLK25M : T4 : output : 3.3-V LVTTL : : 2 : Y +nFB_WR : T5 : input : 3.3-V LVTTL : : 2 : Y +VCCA1 : T6 : power : : 2.5V : : +nFB_TA : T7 : output : 3.3-V LVTTL : : 2 : Y +nFB_CS1 : T8 : input : 3.3-V LVTTL : : 3 : Y +nFB_CS2 : T9 : input : 3.3-V LVTTL : : 3 : Y +FB_AD[20] : T10 : bidir : 3.3-V LVTTL : : 3 : Y +FB_AD[24] : T11 : bidir : 3.3-V LVTTL : : 3 : Y +VD[16] : T12 : bidir : 2.5 V : : 4 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : T13 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T14 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T15 : : : : 4 : +VDQS[3] : T16 : bidir : 2.5 V : : 4 : Y +VDM[3] : T17 : output : 2.5 V : : 5 : Y +nVCS : T18 : output : 2.5 V : : 5 : Y +VCCIO5 : T19 : power : : 2.5V : 5 : +GND : T20 : gnd : : : : +nMASTER : T21 : input : 3.3-V LVTTL : : 5 : Y +TOUT0 : T22 : input : 3.3-V LVTTL : : 5 : Y +nSCSI_DRQ : U1 : input : 3.3-V LVTTL : : 2 : Y +nROM4 : U2 : output : 3.3-V LVTTL : : 2 : Y +GND : U3 : gnd : : : : +VCCIO2 : U4 : power : : 3.3V : 2 : +GNDA1 : U5 : gnd : : : : +VCCD_PLL1 : U6 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : U7 : : : : 3 : +FB_SIZE0 : U8 : input : 3.3-V LVTTL : : 3 : Y +FB_AD[12] : U9 : bidir : 3.3-V LVTTL : : 3 : Y +FB_AD[21] : U10 : bidir : 3.3-V LVTTL : : 3 : Y +FB_AD[27] : U11 : bidir : 3.3-V LVTTL : : 3 : Y +VD[31] : U12 : bidir : 2.5 V : : 4 : Y +VD[20] : U13 : bidir : 2.5 V : : 4 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : U14 : : : : 4 : +VCKE : U15 : output : 2.5 V : : 4 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : U16 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U17 : : : : 4 : +VCCA4 : U18 : power : : 2.5V : : +VA[11] : U19 : output : 2.5 V : : 5 : Y +VDM[2] : U20 : output : 2.5 V : : 5 : Y +VD[7] : U21 : bidir : 2.5 V : : 5 : Y +VDQS[2] : U22 : bidir : 2.5 V : : 5 : Y +nPD_VGA : V1 : output : 3.3-V LVTTL : : 2 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : V2 : : : : 2 : +nPCI_INTC : V3 : input : 3.3-V LVTTL : : 2 : Y +nPCI_INTB : V4 : input : 3.3-V LVTTL : : 2 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : V5 : : : : 3 : +nFB_CS3 : V6 : input : 3.3-V LVTTL : : 3 : Y +FB_AD[5] : V7 : bidir : 3.3-V LVTTL : : 3 : Y +FB_AD[13] : V8 : bidir : 3.3-V LVTTL : : 3 : Y +FB_AD[18] : V9 : bidir : 3.3-V LVTTL : : 3 : Y +FB_AD[19] : V10 : bidir : 3.3-V LVTTL : : 3 : Y +FB_AD[28] : V11 : bidir : 3.3-V LVTTL : : 3 : Y +VD[30] : V12 : bidir : 2.5 V : : 4 : Y +VD[27] : V13 : bidir : 2.5 V : : 4 : Y +VD[19] : V14 : bidir : 2.5 V : : 4 : Y +VD[21] : V15 : bidir : 2.5 V : : 4 : Y +VDM[1] : V16 : output : 2.5 V : : 4 : Y +VCCD_PLL4 : V17 : power : : 1.2V : : +GNDA4 : V18 : gnd : : : : +VCCIO5 : V19 : power : : 2.5V : 5 : +GND : V20 : gnd : : : : +VA[10] : V21 : output : 2.5 V : : 5 : Y +VD[8] : V22 : bidir : 2.5 V : : 5 : Y +nCF_CS1 : W1 : output : 3.3-V LVTTL : : 2 : Y +nCF_CS0 : W2 : output : 3.3-V LVTTL : : 2 : Y +GND : W3 : gnd : : : : +VCCIO2 : W4 : power : : 3.3V : 2 : +VCCIO3 : W5 : power : : 3.3V : 3 : +FB_AD[4] : W6 : bidir : 3.3-V LVTTL : : 3 : Y +FB_AD[10] : W7 : bidir : 3.3-V LVTTL : : 3 : Y +FB_AD[14] : W8 : bidir : 3.3-V LVTTL : : 3 : Y +VCCIO3 : W9 : power : : 3.3V : 3 : +FB_AD[29] : W10 : bidir : 3.3-V LVTTL : : 3 : Y +VCCIO3 : W11 : power : : 3.3V : 3 : +VCCIO4 : W12 : power : : 2.5V : 4 : +VD[28] : W13 : bidir : 2.5 V : : 4 : Y +VD[22] : W14 : bidir : 2.5 V : : 4 : Y +VDQS[1] : W15 : bidir : 2.5 V : : 4 : Y +VCCIO4 : W16 : power : : 2.5V : 4 : +nVRAS : W17 : output : 2.5 V : : 4 : Y +VCCIO4 : W18 : power : : 2.5V : 4 : +BA[0] : W19 : output : 2.5 V : : 5 : Y +VA[0] : W20 : output : 2.5 V : : 5 : Y +VA[2] : W21 : output : 2.5 V : : 5 : Y +VA[1] : W22 : output : 2.5 V : : 5 : Y +IDE_RDY : Y1 : input : 3.3-V LVTTL : : 2 : Y +AMKB_RX : Y2 : input : 3.3-V LVTTL : : 2 : Y +FB_AD[0] : Y3 : bidir : 3.3-V LVTTL : : 3 : Y +FB_SIZE1 : Y4 : input : 3.3-V LVTTL : : 3 : Y +GND : Y5 : gnd : : : : +FB_AD[1] : Y6 : bidir : 3.3-V LVTTL : : 3 : Y +FB_AD[11] : Y7 : bidir : 3.3-V LVTTL : : 3 : Y +FB_AD[17] : Y8 : bidir : 3.3-V LVTTL : : 3 : Y +GND : Y9 : gnd : : : : +FB_AD[30] : Y10 : bidir : 3.3-V LVTTL : : 3 : Y +GND : Y11 : gnd : : : : +GND : Y12 : gnd : : : : +VD[17] : Y13 : bidir : 2.5 V : : 4 : Y +VCCIO4 : Y14 : power : : 2.5V : 4 : +GND : Y15 : gnd : : : : +GND : Y16 : gnd : : : : +nVWE : Y17 : output : 2.5 V : : 4 : Y +GND : Y18 : gnd : : : : +VCCIO5 : Y19 : power : : 2.5V : 5 : +GND : Y20 : gnd : : : : +VA[5] : Y21 : output : 2.5 V : : 5 : Y +VA[3] : Y22 : output : 2.5 V : : 5 : Y diff --git a/firebee1.qsf b/firebee1.qsf index 86e8842..0ef38c0 100644 --- a/firebee1.qsf +++ b/firebee1.qsf @@ -41,173 +41,8 @@ # ======================== set_global_assignment -name ORIGINAL_QUARTUS_VERSION 8.1 set_global_assignment -name PROJECT_CREATION_TIME_DATE "10:07:29 SEPTEMBER 03, 2009" -set_global_assignment -name LAST_QUARTUS_VERSION "9.1 SP2" +set_global_assignment -name LAST_QUARTUS_VERSION "12.0 SP2" set_global_assignment -name MISC_FILE "C:/firebee/FPGA/firebee1.dpf" -set_global_assignment -name SOURCE_FILE Video/altddio_bidir0.cmp -set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF5380/wf5380_control.vhd -set_global_assignment -name SOURCE_FILE Video/altddio_out0.cmp -set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF5380/wf5380_pkg.vhd -set_global_assignment -name SOURCE_FILE Video/altddio_out1.cmp -set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF5380/wf5380_registers.vhd -set_global_assignment -name SOURCE_FILE Video/altddio_out2.cmp -set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF5380/wf5380_soc_top.vhd -set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF5380/wf5380_top.vhd -set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF_FDC1772_IP/wf1772ip_am_detector.vhd -set_global_assignment -name SOURCE_FILE FalconIO_SDCard_IDE_CF/dcfifo0.cmp -set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/dcfifo0.vhd -set_global_assignment -name SOURCE_FILE Video/altdpram2.cmp -set_global_assignment -name SOURCE_FILE FalconIO_SDCard_IDE_CF/dcfifo1.cmp -set_global_assignment -name AHDL_FILE Video/DDR_CTR.tdf -set_global_assignment -name SOURCE_FILE Video/lpm_bustri0.cmp -set_global_assignment -name VHDL_FILE Video/lpm_bustri0.vhd -set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF_FDC1772_IP/wf1772ip_control.vhd -set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF_FDC1772_IP/wf1772ip_crc_logic.vhd -set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF_FDC1772_IP/wf1772ip_digital_pll.vhd -set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF_FDC1772_IP/wf1772ip_pkg.vhd -set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF_FDC1772_IP/wf1772ip_registers.vhd -set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF_FDC1772_IP/wf1772ip_top.vhd -set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF_FDC1772_IP/wf1772ip_top_soc.vhd -set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF_FDC1772_IP/wf1772ip_transceiver.vhd -set_global_assignment -name SOURCE_FILE Video/lpm_bustri5.cmp -set_global_assignment -name VHDL_FILE Video/lpm_bustri5.vhd -set_global_assignment -name SOURCE_FILE Video/lpm_bustri6.cmp -set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF_UART6850_IP/wf6850ip_ctrl_status.vhd -set_global_assignment -name SOURCE_FILE Video/lpm_bustri7.cmp -set_global_assignment -name VHDL_FILE Video/lpm_bustri7.vhd -set_global_assignment -name SOURCE_FILE Video/lpm_compare1.cmp -set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF_UART6850_IP/wf6850ip_receive.vhd -set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF_UART6850_IP/wf6850ip_top.vhd -set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF_UART6850_IP/wf6850ip_top_soc.vhd -set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF_UART6850_IP/wf6850ip_transmit.vhd -set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_gpio.vhd -set_global_assignment -name SOURCE_FILE Video/lpm_constant2.cmp -set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_interrupts.vhd -set_global_assignment -name SOURCE_FILE Video/lpm_constant3.cmp -set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_pkg.vhd -set_global_assignment -name SOURCE_FILE Video/lpm_constant4.cmp -set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_timers.vhd -set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_top.vhd -set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_top_soc.vhd -set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_usart_ctrl.vhd -set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_usart_rx.vhd -set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_usart_top.vhd -set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_usart_tx.vhd -set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF_SND2149_IP/wf2149ip_pkg.vhd -set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF_SND2149_IP/wf2149ip_top.vhd -set_global_assignment -name SOURCE_FILE Video/lpm_ff4.cmp -set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF_SND2149_IP/wf2149ip_top_soc.vhd -set_global_assignment -name SOURCE_FILE Video/lpm_ff5.cmp -set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF_SND2149_IP/wf2149ip_wave.vhd -set_global_assignment -name SOURCE_FILE Video/lpm_ff6.cmp -set_global_assignment -name VHDL_FILE lpm_latch0.vhd -set_global_assignment -name SOURCE_FILE lpm_latch0.cmp -set_global_assignment -name QIP_FILE altpll1.qip -set_global_assignment -name SOURCE_FILE Video/lpm_fifoDZ.cmp -set_global_assignment -name VHDL_FILE Video/lpm_fifoDZ.vhd -set_global_assignment -name SOURCE_FILE Video/lpm_latch1.cmp -set_global_assignment -name SOURCE_FILE Video/lpm_mux0.cmp -set_global_assignment -name QIP_FILE altpll2.qip -set_global_assignment -name SOURCE_FILE Video/lpm_mux1.cmp -set_global_assignment -name SOURCE_FILE Video/lpm_mux2.cmp -set_global_assignment -name QIP_FILE altpll3.qip -set_global_assignment -name SOURCE_FILE Video/lpm_mux3.cmp -set_global_assignment -name SOURCE_FILE Video/lpm_mux4.cmp -set_global_assignment -name SOURCE_FILE Video/altdpram0.cmp -set_global_assignment -name SOURCE_FILE Video/lpm_mux5.cmp -set_global_assignment -name VHDL_FILE Video/altdpram0.vhd -set_global_assignment -name SOURCE_FILE Video/lpm_mux6.cmp -set_global_assignment -name SOURCE_FILE Video/altdpram1.cmp -set_global_assignment -name SOURCE_FILE Video/lpm_muxDZ2.cmp -set_global_assignment -name VHDL_FILE Video/lpm_muxDZ2.vhd -set_global_assignment -name SOURCE_FILE Video/lpm_muxDZ.cmp -set_global_assignment -name VHDL_FILE Video/lpm_muxDZ.vhd -set_global_assignment -name SOURCE_FILE altpll0.cmp -set_global_assignment -name SOURCE_FILE Video/lpm_bustri1.cmp -set_global_assignment -name SOURCE_FILE Video/lpm_shiftreg1.cmp -set_global_assignment -name SOURCE_FILE Video/lpm_ff0.cmp -set_global_assignment -name SOURCE_FILE Video/lpm_shiftreg2.cmp -set_global_assignment -name SOURCE_FILE Video/lpm_bustri2.cmp -set_global_assignment -name SOURCE_FILE Video/lpm_shiftreg3.cmp -set_global_assignment -name SOURCE_FILE altpll2.cmp -set_global_assignment -name SOURCE_FILE Video/lpm_shiftreg4.cmp -set_global_assignment -name SOURCE_FILE Video/lpm_bustri3.cmp -set_global_assignment -name SOURCE_FILE Video/lpm_shiftreg5.cmp -set_global_assignment -name VHDL_FILE Video/lpm_bustri3.vhd -set_global_assignment -name SOURCE_FILE Video/lpm_shiftreg6.cmp -set_global_assignment -name SOURCE_FILE Video/lpm_bustri4.cmp -set_global_assignment -name VHDL_FILE altpll2.vhd -set_global_assignment -name SOURCE_FILE Video/lpm_constant0.cmp -set_global_assignment -name SOURCE_FILE altpll3.cmp -set_global_assignment -name SOURCE_FILE Video/lpm_constant1.cmp -set_global_assignment -name VHDL_FILE altpll3.vhd -set_global_assignment -name SOURCE_FILE lpm_counter0.cmp -set_global_assignment -name VHDL_FILE Video/lpm_ff0.vhd -set_global_assignment -name SOURCE_FILE Video/lpm_ff1.cmp -set_global_assignment -name SOURCE_FILE Video/lpm_shiftreg0.cmp -set_global_assignment -name VHDL_FILE Video/lpm_ff1.vhd -set_global_assignment -name SOURCE_FILE Video/lpm_ff2.cmp -set_global_assignment -name SOURCE_FILE Video/lpm_ff3.cmp -set_global_assignment -name VHDL_FILE Video/lpm_ff3.vhd -set_global_assignment -name AHDL_FILE Video/VIDEO_MOD_MUX_CLUTCTR.tdf -set_global_assignment -name VHDL_FILE Video/lpm_ff2.vhd -set_global_assignment -name SOURCE_FILE Video/lpm_fifo_dc0.cmp -set_global_assignment -name VHDL_FILE Video/lpm_fifo_dc0.vhd -set_global_assignment -name BDF_FILE Video/Video.bdf -set_global_assignment -name VHDL_FILE altpll1.vhd -set_global_assignment -name SOURCE_FILE altpll1.cmp -set_global_assignment -name BDF_FILE firebee1.bdf -set_global_assignment -name QIP_FILE altpll0.qip -set_global_assignment -name QIP_FILE lpm_counter0.qip -set_global_assignment -name VHDL_FILE "C:\\firebee\\FPGA\\FalconIO_SDCard_IDE_CF\\FalconIO_SDCard_IDE_CF.vhd" -set_global_assignment -name VHDL_FILE "C:\\firebee\\FPGA\\DSP\\DSP.vhd" -set_global_assignment -name QIP_FILE Video/lpm_shiftreg0.qip -set_global_assignment -name QIP_FILE Video/altdpram0.qip -set_global_assignment -name QIP_FILE Video/lpm_bustri1.qip -set_global_assignment -name QIP_FILE Video/altdpram1.qip -set_global_assignment -name QIP_FILE Video/lpm_bustri2.qip -set_global_assignment -name QIP_FILE Video/lpm_bustri4.qip -set_global_assignment -name QIP_FILE Video/lpm_constant0.qip -set_global_assignment -name QIP_FILE Video/lpm_constant1.qip -set_global_assignment -name QIP_FILE Video/lpm_mux0.qip -set_global_assignment -name QIP_FILE Video/lpm_mux1.qip -set_global_assignment -name QIP_FILE Video/lpm_mux2.qip -set_global_assignment -name QIP_FILE Video/lpm_constant2.qip -set_global_assignment -name QIP_FILE Video/altdpram2.qip -set_global_assignment -name QIP_FILE Video/lpm_bustri6.qip -set_global_assignment -name QIP_FILE Video/lpm_mux3.qip -set_global_assignment -name QIP_FILE Video/lpm_mux4.qip -set_global_assignment -name QIP_FILE Video/lpm_constant3.qip -set_global_assignment -name QIP_FILE Video/lpm_shiftreg1.qip -set_global_assignment -name QIP_FILE Video/lpm_latch1.qip -set_global_assignment -name QIP_FILE Video/lpm_constant4.qip -set_global_assignment -name QIP_FILE Video/lpm_shiftreg2.qip -set_global_assignment -name QIP_FILE Video/lpm_compare1.qip -set_global_assignment -name AHDL_FILE "C:\\firebee\\FPGA\\Interrupt_Handler\\interrupt_handler.tdf" -set_global_assignment -name QIP_FILE lpm_bustri_LONG.qip -set_global_assignment -name QIP_FILE lpm_bustri_BYT.qip -set_global_assignment -name QIP_FILE lpm_bustri_WORD.qip -set_global_assignment -name QIP_FILE Video/lpm_ff4.qip -set_global_assignment -name QIP_FILE Video/lpm_ff5.qip -set_global_assignment -name QIP_FILE Video/lpm_ff6.qip -set_global_assignment -name VECTOR_WAVEFORM_FILE firebee1.vwf -set_global_assignment -name QIP_FILE Video/lpm_shiftreg3.qip -set_global_assignment -name QIP_FILE Video/altddio_bidir0.qip -set_global_assignment -name QIP_FILE Video/altddio_out0.qip -set_global_assignment -name QIP_FILE Video/lpm_mux5.qip -set_global_assignment -name VHDL_FILE "C:\\firebee\\FPGA\\Video\\BLITTER\\BLITTER.vhd" -set_global_assignment -name QIP_FILE Video/lpm_shiftreg5.qip -set_global_assignment -name QIP_FILE Video/lpm_shiftreg6.qip -set_global_assignment -name QIP_FILE Video/lpm_shiftreg4.qip -set_global_assignment -name QIP_FILE Video/altddio_out1.qip -set_global_assignment -name QIP_FILE Video/altddio_out2.qip -set_global_assignment -name QIP_FILE altddio_out3.qip -set_global_assignment -name QIP_FILE Video/lpm_mux6.qip -set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/FalconIO_SDCard_IDE_CF_pgk.vhd -set_global_assignment -name QIP_FILE FalconIO_SDCard_IDE_CF/dcfifo0.qip -set_global_assignment -name QIP_FILE FalconIO_SDCard_IDE_CF/dcfifo1.qip -set_global_assignment -name QIP_FILE Video/lpm_muxDZ.qip -set_global_assignment -name QIP_FILE Video/lpm_muxVDM.qip -set_global_assignment -name SOURCE_FILE firebee1.fit.summary_alt # Pin & Location Assignments # ========================== @@ -515,7 +350,6 @@ set_global_assignment -name TSU_REQUIREMENT "1 ns" set_global_assignment -name TCO_REQUIREMENT "1 ns" set_global_assignment -name TH_REQUIREMENT "1 ns" set_global_assignment -name FMAX_REQUIREMENT "30 ns" -set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER OFF # Analysis & Synthesis Assignments # ================================ @@ -524,7 +358,7 @@ set_global_assignment -name TOP_LEVEL_ENTITY firebee1 set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA set_global_assignment -name DEVICE_FILTER_PIN_COUNT 484 set_global_assignment -name CYCLONEII_OPTIMIZATION_TECHNIQUE SPEED -set_global_assignment -name SAFE_STATE_MACHINE OFF +set_global_assignment -name SAFE_STATE_MACHINE OFF set_global_assignment -name STATE_MACHINE_PROCESSING "ONE-HOT" # Fitter Assignments @@ -535,14 +369,14 @@ set_global_assignment -name ENABLE_DEVICE_WIDE_OE ON set_global_assignment -name CYCLONEIII_CONFIGURATION_SCHEME "PASSIVE SERIAL" set_global_assignment -name FORCE_CONFIGURATION_VCCIO ON set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL" -set_global_assignment -name FITTER_EFFORT "AUTO FIT" +set_global_assignment -name FITTER_EFFORT "AUTO FIT" set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC ON set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION ON -set_global_assignment -name PHYSICAL_SYNTHESIS_ASYNCHRONOUS_SIGNAL_PIPELINING OFF -set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_RETIMING OFF +set_global_assignment -name PHYSICAL_SYNTHESIS_ASYNCHRONOUS_SIGNAL_PIPELINING OFF +set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_RETIMING OFF set_global_assignment -name PHYSICAL_SYNTHESIS_EFFORT FAST set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC_FOR_AREA ON -set_global_assignment -name PHYSICAL_SYNTHESIS_MAP_LOGIC_TO_MEMORY_FOR_AREA OFF +set_global_assignment -name PHYSICAL_SYNTHESIS_MAP_LOGIC_TO_MEMORY_FOR_AREA OFF set_instance_assignment -name IO_STANDARD "2.5 V" -to DDR_CLK set_instance_assignment -name IO_STANDARD "2.5 V" -to VA set_instance_assignment -name IO_STANDARD "2.5 V" -to VD @@ -555,7 +389,7 @@ set_instance_assignment -name IO_STANDARD "2.5 V" -to nVCAS set_instance_assignment -name IO_STANDARD "2.5 V" -to nDDR_CLK set_instance_assignment -name IO_STANDARD "2.5 V" -to VCKE set_instance_assignment -name IO_STANDARD "2.5 V" -to LED_FPGA_OK -set_global_assignment -name FITTER_AUTO_EFFORT_DESIRED_SLACK_MARGIN "0 ns" +set_global_assignment -name FITTER_AUTO_EFFORT_DESIRED_SLACK_MARGIN "0 ns" set_instance_assignment -name IO_STANDARD "2.5 V" -to BA set_instance_assignment -name IO_STANDARD "3.0-V LVTTL" -to HSYNC_PAD set_instance_assignment -name IO_STANDARD "3.0-V LVTTL" -to PIXEL_CLK_PAD @@ -572,19 +406,19 @@ set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to AMKB_TX # Assembler Assignments # ===================== -set_global_assignment -name GENERATE_TTF_FILE OFF +set_global_assignment -name GENERATE_TTF_FILE OFF set_global_assignment -name GENERATE_RBF_FILE ON -set_global_assignment -name GENERATE_HEX_FILE OFF +set_global_assignment -name GENERATE_HEX_FILE OFF set_global_assignment -name HEXOUT_FILE_START_ADDRESS 0XE0700000 # Simulator Assignments # ===================== set_global_assignment -name END_TIME "2 us" set_global_assignment -name ADD_DEFAULT_PINS_TO_SIMULATION_OUTPUT_WAVEFORMS OFF -set_global_assignment -name SETUP_HOLD_DETECTION OFF -set_global_assignment -name GLITCH_DETECTION OFF -set_global_assignment -name CHECK_OUTPUTS OFF -set_global_assignment -name SIMULATION_MODE TIMING +set_global_assignment -name SETUP_HOLD_DETECTION OFF +set_global_assignment -name GLITCH_DETECTION OFF +set_global_assignment -name CHECK_OUTPUTS OFF +set_global_assignment -name SIMULATION_MODE TIMING set_global_assignment -name INCREMENTAL_VECTOR_INPUT_SOURCE firebee1.vwf # start EDA_TOOL_SETTINGS(eda_blast_fpga) @@ -733,8 +567,174 @@ set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top # end ENTITY(firebee1) # -------------------- set_global_assignment -name MISC_FILE "C:/FireBee/FPGA/firebee1.dpf" -set_global_assignment -name QIP_FILE altpll_reconfig1.qip -set_global_assignment -name QIP_FILE altpll4.qip set_location_assignment PIN_E5 -to LPDIR set_location_assignment PIN_B11 -to nRSTO_MCF +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name AHDL_FILE Interrupt_Handler/interrupt_handler.tdf +set_global_assignment -name VHDL_FILE DSP/DSP.vhd +set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/FalconIO_SDCard_IDE_CF.vhd +set_global_assignment -name VHDL_FILE Video/BLITTER/BLITTER.vhd +set_global_assignment -name SOURCE_FILE Video/altddio_bidir0.cmp +set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF5380/wf5380_control.vhd +set_global_assignment -name SOURCE_FILE Video/altddio_out0.cmp +set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF5380/wf5380_pkg.vhd +set_global_assignment -name SOURCE_FILE Video/altddio_out1.cmp +set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF5380/wf5380_registers.vhd +set_global_assignment -name SOURCE_FILE Video/altddio_out2.cmp +set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF5380/wf5380_soc_top.vhd +set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF5380/wf5380_top.vhd +set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF_FDC1772_IP/wf1772ip_am_detector.vhd +set_global_assignment -name SOURCE_FILE FalconIO_SDCard_IDE_CF/dcfifo0.cmp +set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/dcfifo0.vhd +set_global_assignment -name SOURCE_FILE Video/altdpram2.cmp +set_global_assignment -name SOURCE_FILE FalconIO_SDCard_IDE_CF/dcfifo1.cmp +set_global_assignment -name AHDL_FILE Video/DDR_CTR.tdf +set_global_assignment -name SOURCE_FILE Video/lpm_bustri0.cmp +set_global_assignment -name VHDL_FILE Video/lpm_bustri0.vhd +set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF_FDC1772_IP/wf1772ip_control.vhd +set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF_FDC1772_IP/wf1772ip_crc_logic.vhd +set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF_FDC1772_IP/wf1772ip_digital_pll.vhd +set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF_FDC1772_IP/wf1772ip_pkg.vhd +set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF_FDC1772_IP/wf1772ip_registers.vhd +set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF_FDC1772_IP/wf1772ip_top.vhd +set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF_FDC1772_IP/wf1772ip_top_soc.vhd +set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF_FDC1772_IP/wf1772ip_transceiver.vhd +set_global_assignment -name SOURCE_FILE Video/lpm_bustri5.cmp +set_global_assignment -name VHDL_FILE Video/lpm_bustri5.vhd +set_global_assignment -name SOURCE_FILE Video/lpm_bustri6.cmp +set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF_UART6850_IP/wf6850ip_ctrl_status.vhd +set_global_assignment -name SOURCE_FILE Video/lpm_bustri7.cmp +set_global_assignment -name VHDL_FILE Video/lpm_bustri7.vhd +set_global_assignment -name SOURCE_FILE Video/lpm_compare1.cmp +set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF_UART6850_IP/wf6850ip_receive.vhd +set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF_UART6850_IP/wf6850ip_top.vhd +set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF_UART6850_IP/wf6850ip_top_soc.vhd +set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF_UART6850_IP/wf6850ip_transmit.vhd +set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_gpio.vhd +set_global_assignment -name SOURCE_FILE Video/lpm_constant2.cmp +set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_interrupts.vhd +set_global_assignment -name SOURCE_FILE Video/lpm_constant3.cmp +set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_pkg.vhd +set_global_assignment -name SOURCE_FILE Video/lpm_constant4.cmp +set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_timers.vhd +set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_top.vhd +set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_top_soc.vhd +set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_usart_ctrl.vhd +set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_usart_rx.vhd +set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_usart_top.vhd +set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF_MFP68901_IP/wf68901ip_usart_tx.vhd +set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF_SND2149_IP/wf2149ip_pkg.vhd +set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF_SND2149_IP/wf2149ip_top.vhd +set_global_assignment -name SOURCE_FILE Video/lpm_ff4.cmp +set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF_SND2149_IP/wf2149ip_top_soc.vhd +set_global_assignment -name SOURCE_FILE Video/lpm_ff5.cmp +set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/WF_SND2149_IP/wf2149ip_wave.vhd +set_global_assignment -name SOURCE_FILE Video/lpm_ff6.cmp +set_global_assignment -name VHDL_FILE lpm_latch0.vhd +set_global_assignment -name SOURCE_FILE lpm_latch0.cmp +set_global_assignment -name QIP_FILE altpll1.qip +set_global_assignment -name SOURCE_FILE Video/lpm_fifoDZ.cmp +set_global_assignment -name VHDL_FILE Video/lpm_fifoDZ.vhd +set_global_assignment -name SOURCE_FILE Video/lpm_latch1.cmp +set_global_assignment -name SOURCE_FILE Video/lpm_mux0.cmp +set_global_assignment -name QIP_FILE altpll2.qip +set_global_assignment -name SOURCE_FILE Video/lpm_mux1.cmp +set_global_assignment -name SOURCE_FILE Video/lpm_mux2.cmp +set_global_assignment -name QIP_FILE altpll3.qip +set_global_assignment -name SOURCE_FILE Video/lpm_mux3.cmp +set_global_assignment -name SOURCE_FILE Video/lpm_mux4.cmp +set_global_assignment -name SOURCE_FILE Video/altdpram0.cmp +set_global_assignment -name SOURCE_FILE Video/lpm_mux5.cmp +set_global_assignment -name VHDL_FILE Video/altdpram0.vhd +set_global_assignment -name SOURCE_FILE Video/lpm_mux6.cmp +set_global_assignment -name SOURCE_FILE Video/altdpram1.cmp +set_global_assignment -name SOURCE_FILE Video/lpm_muxDZ2.cmp +set_global_assignment -name VHDL_FILE Video/lpm_muxDZ2.vhd +set_global_assignment -name SOURCE_FILE Video/lpm_muxDZ.cmp +set_global_assignment -name VHDL_FILE Video/lpm_muxDZ.vhd +set_global_assignment -name SOURCE_FILE altpll0.cmp +set_global_assignment -name SOURCE_FILE Video/lpm_bustri1.cmp +set_global_assignment -name SOURCE_FILE Video/lpm_shiftreg1.cmp +set_global_assignment -name SOURCE_FILE Video/lpm_ff0.cmp +set_global_assignment -name SOURCE_FILE Video/lpm_shiftreg2.cmp +set_global_assignment -name SOURCE_FILE Video/lpm_bustri2.cmp +set_global_assignment -name SOURCE_FILE Video/lpm_shiftreg3.cmp +set_global_assignment -name SOURCE_FILE altpll2.cmp +set_global_assignment -name SOURCE_FILE Video/lpm_shiftreg4.cmp +set_global_assignment -name SOURCE_FILE Video/lpm_bustri3.cmp +set_global_assignment -name SOURCE_FILE Video/lpm_shiftreg5.cmp +set_global_assignment -name VHDL_FILE Video/lpm_bustri3.vhd +set_global_assignment -name SOURCE_FILE Video/lpm_shiftreg6.cmp +set_global_assignment -name SOURCE_FILE Video/lpm_bustri4.cmp +set_global_assignment -name VHDL_FILE altpll2.vhd +set_global_assignment -name SOURCE_FILE Video/lpm_constant0.cmp +set_global_assignment -name SOURCE_FILE altpll3.cmp +set_global_assignment -name SOURCE_FILE Video/lpm_constant1.cmp +set_global_assignment -name VHDL_FILE altpll3.vhd +set_global_assignment -name SOURCE_FILE lpm_counter0.cmp +set_global_assignment -name VHDL_FILE Video/lpm_ff0.vhd +set_global_assignment -name SOURCE_FILE Video/lpm_ff1.cmp +set_global_assignment -name SOURCE_FILE Video/lpm_shiftreg0.cmp +set_global_assignment -name VHDL_FILE Video/lpm_ff1.vhd +set_global_assignment -name SOURCE_FILE Video/lpm_ff2.cmp +set_global_assignment -name SOURCE_FILE Video/lpm_ff3.cmp +set_global_assignment -name VHDL_FILE Video/lpm_ff3.vhd +set_global_assignment -name AHDL_FILE Video/VIDEO_MOD_MUX_CLUTCTR.tdf +set_global_assignment -name VHDL_FILE Video/lpm_ff2.vhd +set_global_assignment -name SOURCE_FILE Video/lpm_fifo_dc0.cmp +set_global_assignment -name VHDL_FILE Video/lpm_fifo_dc0.vhd +set_global_assignment -name BDF_FILE Video/Video.bdf +set_global_assignment -name VHDL_FILE altpll1.vhd +set_global_assignment -name SOURCE_FILE altpll1.cmp +set_global_assignment -name BDF_FILE firebee1.bdf +set_global_assignment -name QIP_FILE altpll0.qip +set_global_assignment -name QIP_FILE lpm_counter0.qip +set_global_assignment -name QIP_FILE Video/lpm_shiftreg0.qip +set_global_assignment -name QIP_FILE Video/altdpram0.qip +set_global_assignment -name QIP_FILE Video/lpm_bustri1.qip +set_global_assignment -name QIP_FILE Video/altdpram1.qip +set_global_assignment -name QIP_FILE Video/lpm_bustri2.qip +set_global_assignment -name QIP_FILE Video/lpm_bustri4.qip +set_global_assignment -name QIP_FILE Video/lpm_constant0.qip +set_global_assignment -name QIP_FILE Video/lpm_constant1.qip +set_global_assignment -name QIP_FILE Video/lpm_mux0.qip +set_global_assignment -name QIP_FILE Video/lpm_mux1.qip +set_global_assignment -name QIP_FILE Video/lpm_mux2.qip +set_global_assignment -name QIP_FILE Video/lpm_constant2.qip +set_global_assignment -name QIP_FILE Video/altdpram2.qip +set_global_assignment -name QIP_FILE Video/lpm_bustri6.qip +set_global_assignment -name QIP_FILE Video/lpm_mux3.qip +set_global_assignment -name QIP_FILE Video/lpm_mux4.qip +set_global_assignment -name QIP_FILE Video/lpm_constant3.qip +set_global_assignment -name QIP_FILE Video/lpm_shiftreg1.qip +set_global_assignment -name QIP_FILE Video/lpm_latch1.qip +set_global_assignment -name QIP_FILE Video/lpm_constant4.qip +set_global_assignment -name QIP_FILE Video/lpm_shiftreg2.qip +set_global_assignment -name QIP_FILE Video/lpm_compare1.qip +set_global_assignment -name QIP_FILE lpm_bustri_LONG.qip +set_global_assignment -name QIP_FILE lpm_bustri_BYT.qip +set_global_assignment -name QIP_FILE lpm_bustri_WORD.qip +set_global_assignment -name QIP_FILE Video/lpm_ff4.qip +set_global_assignment -name QIP_FILE Video/lpm_ff5.qip +set_global_assignment -name QIP_FILE Video/lpm_ff6.qip +set_global_assignment -name VECTOR_WAVEFORM_FILE firebee1.vwf +set_global_assignment -name QIP_FILE Video/lpm_shiftreg3.qip +set_global_assignment -name QIP_FILE Video/altddio_bidir0.qip +set_global_assignment -name QIP_FILE Video/altddio_out0.qip +set_global_assignment -name QIP_FILE Video/lpm_mux5.qip +set_global_assignment -name QIP_FILE Video/lpm_shiftreg5.qip +set_global_assignment -name QIP_FILE Video/lpm_shiftreg6.qip +set_global_assignment -name QIP_FILE Video/lpm_shiftreg4.qip +set_global_assignment -name QIP_FILE Video/altddio_out1.qip +set_global_assignment -name QIP_FILE Video/altddio_out2.qip +set_global_assignment -name QIP_FILE altddio_out3.qip +set_global_assignment -name QIP_FILE Video/lpm_mux6.qip +set_global_assignment -name VHDL_FILE FalconIO_SDCard_IDE_CF/FalconIO_SDCard_IDE_CF_pgk.vhd +set_global_assignment -name QIP_FILE FalconIO_SDCard_IDE_CF/dcfifo0.qip +set_global_assignment -name QIP_FILE FalconIO_SDCard_IDE_CF/dcfifo1.qip +set_global_assignment -name QIP_FILE Video/lpm_muxDZ.qip +set_global_assignment -name QIP_FILE Video/lpm_muxVDM.qip +set_global_assignment -name SOURCE_FILE firebee1.fit.summary_alt +set_global_assignment -name QIP_FILE altpll_reconfig1.qip +set_global_assignment -name QIP_FILE altpll4.qip set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/firebee1.qws b/firebee1.qws index ed1a121caa37cbaf972832c76b0ec29364785feb..0cbc530b1ac50cdf72a9d03a6ff0d121739d2d81 100644 GIT binary patch literal 613 zcmbV}y-EW?6ot>O5dt=O0E-|ZL0Cy*1WQq{vJ!h)v$IAL-H@zUh^=`P@d2+rCQFa>6li>JcD%A{|#iq%o;k?l`hr$&m{j6kZgKU!@s41`yq9OBU7=>9gA?s f>*Z;7qW8Dg#b2^9(&`KE`1qasv2z}2Zgs3LEzW1A literal 90 zcmazJD9X=DO)d$~FUl@1NK8(R<>e|U$%}W+$jnJGv~?>=%uOxk<%&jErU#KhRSnhz G(*gk2B_Im` diff --git a/firebee1.rbf b/firebee1.rbf index 63c16f1d687e91f02187b06b1c5e3ecfe12b2e04..07bf4beee4db1aa48a9a0616c53ad4b8b98b0dd8 100644 GIT binary patch literal 433717 zcmeFa511U+RVR9@x@Iy75TRd-6`N|Pv>o{7`x7fe_69l3j^W&J@3TEW6- zJaH!CU*ed=5iC^Kl;p83;;|yY?6OOD&p6h%%LX|ye-;9agc9;)vygv}1-`t8{0EZ9 z@<1lZLI`=h->+KNY75y3ytm)?-uH1l>Rb2RTlb!O?z!ild+zD>-~auK!|}fceCbPH z_{tZ)@|7<<`{gfxmGI@SeD&|Y@|CaTk6-@km-EcRx4n2n|6KZy+Ak? z*>I}s=r)JT^s}z>V(sWMo$7aoQ(dOh;WGWK%XF&o!>KOQ>2R5T*5lE%Ydll^-t{T# z>UzUv*SE#88`T?r=j*M*dYZ=T-`8zij~}l8LUdeD^Kd;r?*R+<0`x~&&W#{qnYaDBZ2cJMh|He7f3dAN>#9xfk#*5x|YWr8VH#eH_pHbE*w%16x(~LcfjA8sH=G^-4CjYwxY6?xV3_U(fO(B4O>YAb zr$OV0Coq3KTu;XwzyWC5NQ*TD3NVzlRsaD&<3|A+M?BjjkWSK|>1COwlc~mQ-1R(Q zpPCk?%YflJ?4S8MfGO+qnJIxd0{bC81~AWgWJ0mm26lVcsu z-vwY^^Mkz9&l!MaOxceH-6s2FKTP%b&I9^@lzkA- zK3Rt;XXR7Pum9N%kUj$7D%a|V? zAM@;&pz9Gg{LDPzWdNp>9hM&fX#P__Y1&wazea}wQxUx81j<9b_O*D zJmowO^L7HjJxPy)Df3eR>f1E{^BUd(xD)Vc0LwN3EMtAbEP#342Xz7I;6A3u$1+V9 z`{VdEXj<6@LFbt=&pw#G0-$Lp9k&43H}Qo31;BFRG%)`NfOL?@gd%`>(#iZhfHV;< z0f-yq2A@9!puVH7(Rk{urvOY}2jEyqC)XKq?4LLdT;G}|U7vDHIt~MvP6C*+f6{gt zpm{+#AWtU{vH6!*f&$Y&u8vu z%=4X30Jz>sht^%Jr}@HuP6L=~;N0<<{WE1-1U?g<0q8zR&l3Q)`F8-~37-KFHw^y` zKsJN=0UCh4_2 z%i%eIidoYAGvzx3jpOwNfBwi-U^@irHQKKK0l@XB&lr3r(DtEjrOqVqnRWga@J;~jBjQO9^($#% zN}1Hp%>N*O^YihmK)RS8P*JZR0+0@lNz0(_pDB67lsw@6PCCf1-v=xJxE~Ntc6~p9 z9$4b3&)Fqy06u>=fX|wa{}VvGrbDNi4vv-mYMQ7snAiRBnLxI(KiY#VqfN;CUjUd= zzw!A5fO+;w_$+|5FeP2Of4$#mIw*&vf#pm&e$EYLlR&!IFZC4h8vYc(=U)ae<+^7b z>Q&|md}cZExjttT|UG##XmWt2OnJm)a|0RYEL89fN# z+^}8l$9#t}O5IDnN*O1fx`6y)%Kf|wV1F7|#%HcsrhJb;UbAfi`=owhN}8CSyb6>D z=7}fjKLI`r_<6v;1KbB7p1h$xBi*#M{xg6n`z5f9dD1{2?F2rPM(TLxd6pqB*dJ5U z%9J{ubkJ@a0cd`Z?wI&{@FKS2Edd!4a}1c0(nn*Xjd}N{+XxD zaDKT?NE@FC%rpIC0QX0h>GeV#LZD1@A0MpFYkG`xpw~3Zm?!Z0O#r^f^~5^^52>qO2srL<1CTeY^A-Sg7;%K(0x(axAPri7{V0IX#1Uw} zunvLwLGRZ-IS+6<`Wzd9d}3Y$btmVJG*Gr!pSa%u5KmpnlsE!)Ec5J-GC});&rAu# z5!jEWg8g!inQFM6F3uak&sFjjTsG9qy0wGTb-!SKTM; zu|D$}I8O40bFOimN8$*SWu}xJ;t9m*`6ms8#{iT;t_SAz*flLB0Bu8!zn%`RNA|Dj z;QC^p#BpEdUc+~pCy)k~Yha%J6ZD$oS#p4R{--YIzC_S8z81jq@Bq8M&UL|O(xh?2 z&%X}%j{xGRTba@(8)&C%pW08S_kZrs*Gi@2I|N-$J8=RpAFPU~3eO783Im(LoQ*Zuw*zyko55zlk|#{k5WKDNz%I9E)+4A9TalP;#@ zn+E1d2SMA=9G{j0+KAK@Ole0GNIQYE#<}_yz`q5M-%P1n`OK90KLBt&G9{l#uf`G2 zvmSXgpknaJ{y83|-2VuC*1$aFm?>%E-p8{5_e`z_(#HLq{G~2oN`22W49CN~*5{fR zv)YUN3&lohnfb?0fF`q{dS~!l$=NA>0{$Lp0+4c+NJdA{272a`huAMHNam1 zcR0kWpCz@uq4Am)_DRtE(sXbw(*H)?!g8j}|91fO(-#0d%d+0n0O}6P z(60c9=U$_Ma;Jg)5HuaMMVP0o5jZE*NtzD!Jq+~65y*4mnKDn>ejD)Kt3Vuq@T`O~n5X0P`Ftfpjq?&j{32%Ee>aSrO*CX*fdopD`0@nxggWj&u#XU*W$TGI4`(#R*b)Ga(CUl-D z`NcIu9x>(lh=4pTAZ@Ip?*V`ic{G;WRDbE$O@7X^4;aZ~XFi+4p;x$k| z5KnuZIMPAB>6GVPK9jbi04=YqL)m7(nlG$JnIn$CzR3&jPs9_L*T8-WJYy1wCmr0& zIZqlGBg<#*^GrGC?2G9z5J%wJq1+F8y!J^tnH$W+Ku_sX;@Ce^?#%?Q0p@u&A)WLu z6Hhvrl2$&mjCsx_`NB0!_$dJM8rT;5qiiuHo+)`nS)oi5NIOB}s2g~GqHmvmJnD7k zIZpC}eqZ|ac*n(Z)_WI#b35!q;~WyW@3U{pzotRc!BoS53d^EgP<}X%^gX>Cp!*+A zxi6C@+PB0ru7J;6!vvNQKfL#{FHM8C7j^$S)$*nDwU)4Wfa*DuT{4 z9bg8Zw9kft_bHr5(nBDxn9??4O8bjITZ**M{vb~{pG>LGnbKE4+l^-@j+yo%Z8Pc( z-fPkZplor^q`k;BMc~+>(A?1#1g1VJ?4a5<+PWhgui*`Tz=6*pM*$49+BU8;MJ`r)Bg>ipP8q;4%5W(4%2ge zo_%tivJUyga{%R%{tEJyKwkxUM!W{%2!907IHtND&o^ABnik61Fdf%FbFK**PrGb@ z8GN!I`gci}#t%OadLl|o1AN}|} zwLHZ1+IyOg_!av*Z*X>1C?I>D$%Z`Jhyz#fg#j57(Y+|cYC<)f`Z6;?iNqVp?Ck;#Tm@D^ zJvN8?;z7ZdH>j z5*^|F&AC4conmwE8QVUf%7=K7kqo(-mpod7TO)=^{7Xem7MVy*Uz&o$fYq+hFDb}UG0DI6=Cpwxfl zJx;4{i-pg~UQU2?OS=xs?)pBbY)(GXd=sjkbMe-J^*fGtzV_UTz+F$kN)HnZ_&R1* zpnA-@S3!cqU@RDHQ3eD)uDq&pweWvVGFaA_R|*y(%0I7tBqP_Ja{+iRTO2&)udVZW zJfI+vYKo+1L2fl9Up)0E`uT?GFdOjgnj6-+0rFZ8;tkdBL4|8?UO$iZ zd_pov^5?4`4}Iw6!`XlAbBY(P)92SUamVJw^VrQ|Z@|=H2Ibto?$xg^>zRN3%uvne zuHuJq&plF>uYq&zaqx7NuItevdBey40q&oI7^V%fbPcXteEMo;xXQKi8)L7P-{9#v zF_L-V_MbeRe-Qy0%G2{3ye25=bFbZ?NmO$Nt?9z&^HW^;^Hi4%r8k)Eq0$$4%!TSX zc^x#tzPERN;icDJ@wmtd1G29z?Dgl1yg$ zZkXz|@DKQ~p0DDhGdAFTidEwouX=6g2Cc+7Zv6%&&!L_pE630dY9Q9e*?iTT^teQ;C2PRT9yD%7vmQyM`Xe-&=#(>MktKKt!|tfxU2{y#~tb*5Xn z@;`8sHlSRiUNrTtk}Za8i058FI~dkL0W=3#4yyhge}L@*Tzo!^TP(Z=*P708eqEP7 ze_6RV?m4{jJD(Qg3go%4K$1>py=bY#AES0}8Da^h*18e9B927jXMOBRY!>83BHebp ziL96!p>osq#XxoAq~MvDki-<~rZAPA5zp*~XxS7CMMJv9Br}&(aZk|}Wm&XBRBgo_ zvt)>gjw!rG)2PP6Gq&&g?fXJZBiJs%fwp0z!$+cZB3edbcz$H5=AKNHOc$;<;kGbj z<;4RYyS7)bF*lyMj`yXP8eSn86JF3s(a^TjOfIQzRKhD|qk&!xQ4u?RjLzu#Hgcj_ z?ApR{?>DmSem`=XGsVCB@0OgvU$6k~Rrf z$$+Bs4(uQImFQizWNRhf6JU*WWs+jdYF$LBw;7c{TsLeRakCwy89bh@3_Ww)Hxg;o zDmz5Cm|P6(TMfHp)Qy`>&#>#&qPuq16?SsrKWsjDtjOi&#JwamF zt*jR}q&eoYJTofdy%<^!#D})B9T(e9*uXrXq;Yj#BQpRd+zmq=ingn6T79bhA;kFf%45s@%a0b(SL)mOBuQ z*b4GtnlDBw1$x3{w25}w9aDB4NOTOYs<4XI5zG@G znGQ^E3Nr?oz(4F+*_98ykE9#(3T^LkP|YhkoD-~EdmcDDf}RAM@cmvx)K_90|B`$` zk*;wk@XbhgabSwcz>I8Hy4|9bb*s^r0tZDfb3E%|jwFu19+(eycOaLt3k?9-b1{ap z2^>P;P?)(=-u#AJC=I9xy*XI97Kj-qW9n8NGK1-&FyUQD3r1s4W~q#gtb95 zc8~5ZPIh8e6B8oDu3ShiC&`wfE4ClI^BZ#!Ku=2%o*)tA4L;hPTyKCVg&ZIk)Vw#A zEyXzsOterPB;qqf)iOL5xV}rk@T7pXhHJLb5Tb-GNmgP=#H1J^6WifmPL1NNeEi2nLBZ_wK7KfiU1X=OewftY3df_0WU?eDI%1+USMIf=KJ7I9hxL>$TK}3tILER{@WwF5nXb+MM$ZuN*GJtW9yR&TURlIL@F$Z21c%IjK5@H zgRaa62bF!BQ#KZh(^mP(xKN2)*`4b88*}cW46AB);D%BALQoACi+da_$$f#nSlM$p zs!4w`m<=jke?l%!HMzn#_O+h$3bK1Fl6D0v%#(d-OIa?gJ?xikcir#X4@&pvZhMET z>RAU?7I@b7XB^ckUUC}ISEMDB)TW9PP^iJ(O@XwkIOxiGgKxNWn! zjWTHNJ$SokH+p{Sg43FriyHPybUE(Xt+hL2tnBhk(0DxP+!|CGU_{Sew5!#YF^P!H z$q>&K7h%cmPTvltYj=;uZL^f3DIanu{nTIc`>~j|Dp0GotV-LhgwiX%eP+Gjt`*Au z)16NpEOd*Z!Ysln*(%l#tHq+2he+aIa!`pYj)N6e2ftC_xxb`8A-Xc@6|ocY_vzI1;Cd@afj zfsaKh`EqjJ|kyM?zV?ZLU<5 z&u&eZ*UlAha~3Rne*+era?6D)K~S>~s@o5jQ)f$-O*p$k|MqBqxnEgtKN|#Pv-Ipe z_mpi*EgV+m{>%YY>J?w1qW#~pSvjEg$NhH+V14`jvb;7{?#pfwA5jJTD{m^VKU=(P zr)G5GeZb86OXcdijq*LNTehEYpNu-@gR|wrSHGIPHEJ!#*p1wlZ|rjxF?yH+#sL*= zJM&gD<20Zl6;cIrqFaPy*-Nkl)IO)O4G*4_?YBEwpw7-!R5-p8yCrFMWkt0*CipJv zPXtq`u_(74wJ4J(qt_jt#+;T`_D1EYLi=H-6r7z~oLN%yXYV<*9V|}RZdf+4dA4Vq znoRv-kZ#VFf~+?saXN@sPe?PFf&pr?8~35kjGKJHb=M5{p>(#YCSGpe9+jaQ>J}D} z!Of%xFXc|BWJL4U0oajmb0C0;0TJXT0X^*iy*N<<1{1K)i54t8p@!;8aeG`d52)(+ zmR&Qi%)xk?&dm0+c<2`Q??tVyn!hL5ni020U8NdnVg89=^UY#^V{-=cBE{m&DrDRy zJ>A(_J|mnjv48KQoDpa{&d24>C)ay&sdHaP+~z*CX8zJQE38;7IV>2Vz#*jAx(x+; zXWqe{R=)?oUx4!nu*iQxJ?{8Mgu__F1plOTH&lE%ssyxAN>ip=mIWBbt)7$+;t4cX zHrvq?f$8pCX#{4e7w!FwdOYwW$7=4)KIjxw>v3n=YO~c)V)IFSP$NXOwi!`Kx)po- zaKjQ+ND1a3zJOI~>5RdLFFD$FbE6` z2HF`*ipc?0+r%`9d~)_j9ixlt5#FVcOJg33EnggLyH+?K^yP*F>6e$IX9Jj5ZoU>~ z^A2yDE1S{&c$2CERAaB=hJg(c_8+DM=Q@}%<`*NE{8hyWvqopzWG4y3ak?*;086j3+?6F8&1iOFjMw644ZLl8!sYp zBhfwx8w-3hRXu-+@%Jt z73L2s5Rb{ip2{IP<}5}4Hj=qz^K$3ldRRZQ4ncl4 z46ppek3z&2A-_s0h$l9G+^tw>swj??{B=X%IN{oZvVGvGdgECK>UGAcJ7AD{^I0cV zQB(*2VX9ztCLLp==;1I&28vxM##Rg3KcRdW4n)>J6B+UNTmZ^^mnSRyLCw#A!{a^s z5a%PoDK%*a&c@!bz-dltwoK8)q0ZnBGdghjAW_LS|{n*Nt-Ez@`?rTJ_O$q9IKMi>^uw zH<>Cp;c5)SG%@RDX^cXOyCfms_Qxhg6^a4fVASl*w^Ni_qT#a)^ZUlYw<4a=4(zql zoDDn-Vq$Tci)r#HTO6}fkcd~nLtxODjA>Ao%<(?%zB)K);hnC}63^;N_EVBMAvu2g zbDuss_wCN&vAfiJCl;f|-k*8hZK|R0d8yI<>DS$sedOS=w?1~??e31Be&>&8NY38% z&U>;?Hy(F>=#F_NyYIkz7oNK3-J8GNXzSN%_g=zlpSyi^@Y<<^(OakAmA|$7d)eEQ zf4VaB`p2DD-SIQ8tG(gUq<-zT?Dm_{&x!msexAMS?o;gK{X6rwo|=5FuZ!&P;>x=> z*M7Hn!&i3SkyH0W&-L>vP*?kfn?`x!g79}c-rHL4PIvcqA%hE6jHY4tn|Pf@Y{{%yN1~%h zdDO#s?!Y?#dB%O6%l^zC=9EAP1~QxH_<_YS1RezcA3&5q9s#i9hrlxeT5sz zx^Jc&+SDonBI&{^J*yt6!|;p-X9Ap3tq5jlygms^jD=UG5J`plDUSC6OpBL!z8%Qr z7+gG;yo@IunZO-mlEVK+k@JB7ktZ@Tfg1^R59IYKP?e37F*&SQN$ zQ2|_YbsUk)0gi(3GKnT#eMh=}GT$j0@M0vH?HBTsQ(AhPvKPW#CSW|!oy28n<(3=SB(YrAL}3l(gF{K_=zm`!^j z#Syo89uk?#-Xz>K-M~v#u$FGcIA(|KRIb4Vi~TOHS*6s3*ZQV@kergiC_Gk2KM)%6 z+S%rK*fBeAYc6aRTQiDd@nj)|`!|$N#)g{QvTu*4t$a~bBQLUG!TM(dRZNNjy&9Nm zqZ}y^nPRMVB~5&*VXoiAS&L+=;&^8$bY!EbY`{~#?){XX^nwT6Ko&L*!{#u<)-#a_ z-%}7wTUGds;R;!{-Dbt3dWy@|AsA21v5I)mkG%_be((=&*Fh-Ov89&A9)Mo*41sfiKTbnu9GwqFYO%6xhHeJ!l0aQu_~g^y zuz@Oo#PE#9y0J)?8nQ5DCgCzmdXZOvsB34B@>2T zi1i#z6GgcQVXe_-tSDQdo*hMSCcu!uFul}>)q=%wV{Ku$LLNedOp+R1KgI=5Vm55c zZdnbPnNirVBJYA~TM=yMXpaI_cmc2GFh2H^zy7K9RMgJ~91hl}A(9lU9CkJ8B+-^H z@c_Y45tsp%pd;jCtLyLO{Eb7JF=q5`;)Ij0`CK!Jh@Bt8j=Wu$4Q~P_)@_ zXy`!QVF7^^;5c?j#sgvdp|wjsI=*=#myOYWG=8z z^m7W>5XBv1vsdCJ=o$r0vL{HOPO)GT69|Euqy#z&!xKv}v{<}?Js3StS@ih1E*fbH zuYef`Ys&`MO4`sc4i|0`!5NmAkeFl?y};Xc(l>DNfO4?@+3)xbuovbxyh~_|ylt6v zYl%l2ELAwwl@ORzC_)Qfekhz)1ZIF?k&HnDr0{5#8T5g|IR_SDJW|AbQ>G)!utiN* zRK1>$!5mOAwiz!=A$=llCYc$7Y@>-jGyL2B+o!(?y+Xo}0eDDp+5utU4%mz%3%7Yt zqw-yzI;D>GkPXYexthPk*}=H*#d{>UcETq-tDq|K%sqihEC~$UXqg$oA<9V+^)Vqj zUfOy5-?H`q>I%zX&H-m-gz`-95huOibqZCR$Uf|}K)6uYa~dY@DEJEg32~rv`eJj_#?c8)OZ0=&8Fy?U zRUOSqAQEh7m~0+Rlou&SSvY~A52@@D*mD&;E)_~9K)No3YS2M`sgRgWahwErSBH%4 zD8OTLYw7ffOJ?ot%GchgZk$H1E~$6ETo2D17kP-3^NerBMR^JRRk(H-(GW zyt@Qi)|2$aFppOt*$@t_@kE|luL1Y&D^c-jT@KdwpZGZ ztF-fs>OAV~RBt-#>=_GI7cRZ5BeFH4UUjeh?fr0~G_d$Sq3C0GwhvDj zaDe%Kd}(gc4>q>GO;+CHlsYo)6~pPQ2X`mBn5n3JbBhQmJUe5@Rd~J50(Wct8Rbqt z+5DuMo!fSHI+etKSjF>B5MOdi$0n5f3cPmjAvkY}ljgZs%Bgcj_$=?eTZzReZhv5I z*GRZjOg>ngGQz42U(l^)``4zY?`p0#rp#(hp4vQkH24U5T~;l5F^V^4q}q4S;@;)t z(PMX+>#qzRbxV(~EN7jy<@mJizRJ8`UTof3xIMa^_a7>p&k9(obo182XJsc?#Kq5aP==ba}A^2D`JbX_gZk#z?+xPj3Cn)%t=j<4^BG{AewjYx^yAvurRX1HWRTtL`#(805QmG98r zCF2tcY=?InJIjW%b54{nY+OF#{tk}OUIq6IhdDWd_ZA47ou!Ka%%0~KYp|r;hdU2m z(ot3A6tX;bsG88x-Fb+A5!ML|FefvIa}I;Ov})tT z2ZMQ<95_sqO&^+KWx3rW0q`(eaT_Z!5_=(cFw+dqP7EGo_uFH2ckFZ}-2U|$up__l zhvSk48g|)vm?mN#8yj5GMM3}ad58NAy`SI%=CX%}haF^!F_EZ_mzyO{4>v6sL)de2 z9_I3oO%^8A!YB)4P7AVeeG68$6kTqxHaLjn!Wy?2<6GAy+f77!W{#OFGbK!`q3wtr-W?2=39Fp3qd|UkTn~Fb=@n z;*8u5%JQO9DkMFhMkb9inlpWPlUXAcCAs&J@Ifg~&$!zV$-lep)$s9xUm*sAsD%0_ zRmTE`Oeh&E?ao-~$q3Kjp--%jWqo zHC3sArN6s+`3GNuJ=o<20+$LLnxcg3JM0*8>Vbm_5nZ_FG-@W!TQC`J>iAX|k#;yq z-6|PXlV=?dJI97^FIZs=){o06M3Z`f+2~Ed&jWL0!|y803JjrRi^V=12Dqcb(Qz6_ zVF;^*ka#rYnSKdjGt$D`M=~+jE`DtDC74Ev0DQY-ekki_ob^1v<;TVv#|=p-|+l!C%6? z6%(HOrqTTqE_~lt{^0ix%tE){bzpXy+iqWKfKCEMEwZM4T0G zCa}$^#7Il#`3$!h2#6F;7&atTEDra_jdEz5vT(@932kszhQ*i46kn5p;#x3PMUsv| zi^Hyz1yRp%X(2p_E=+cbLz*<(L1s4LJ=loCn~Wwz9t35t`S~@IG}f~;G@*C)IHGkd zPO!B_ZUmR0SvEHUPu?Fhbm3SWPY0P%Dqzf9Lfhch22QqQl{XW>2<$H7BaeiE#%_Xg zbnHh~%tM`LW548~ViM!Sl*X~_2AE!RPn3~_J?;N}BV>igIN0Y2oU|dF+?7d-s~rZI4IZ0`bN0n98jP^BqNcCJ@6JGamW?c!4?t)cOkT{kTo1gG5F$&!hv33+d70o zni=-Ik-HN--|0=+;<)9?2eV8pg8|#`es=v#MCA#|kAgUNCb+E><1r<}d$G%03~)^I zr~Ro;n!Ti*`Wd~kh+b*S0L6T zXV^*CQnM*#)D7&$GF%D8m3Vy1!9u>|APA1pNB{)PCKwJ_vYMEQRZT!oh;wV$wW}h| znaFP?b-})v-V=B(kdz~%7MNn$D}Jljh?Cn2%`sd~rFe$<m=1H60yhetnCcnx#Rb_RzV^O zNVgo(L=&$r#@-oM+;3*^Dn9uYgtkk}DX5=AgT}wXZR?B+2Lwc#gM-UwJ}7kK)Kxp@ zJo9|Oje8@&wNBQ9ETc2V(_v?cuyF*V=r8U9hcH*@fGLyh*dq1J@@O&@ytJ9FC!Kw9 zW~_?`Q1(%{^{|5R2_`j9Ti(g6Ira**d-;R!F>RV07=Ue;5%39R3h{;Tap6;tkqEH2 zBds_If(r;`MF_jt4lt5PB+HO&QZE(|#pR8}a0_tvfpYQuUKAdZZY(`%xS?%#BfDug zTakqgfPTB~u2PU@Dg1(cM=bbQ?uQaw7~^K+?7{EO|@*lSi5 zzS6SM@&{fZso#DZ!_U_H_yi(^@O60XOk)H{Vx^tBJBn7pux!wZ_htjq*xKq&T2)elvw z;17gb372GGTnoBDK{7GZ2pWVdCE|t?;XjN9PN5F^1u+1;g8PRNR3suXG1G3GSWOJP ziQ*VOZzwSeARO+U^sj#HM@Y29(&>T{EGKxA5$}$r0q}fN+>J&`Cf<$JvS;0zt)>3x zGWIJFj5^a+3#$t~OSnK_>w-i=nF$37n^*{S4=ErzbUi3hMY6CHfrhTLkXhx-+Ww<= zoI^0J0ka$L6d*RNgIHP&gW;;=A6meyhaQ9uqw}m%7GVR0c%VH4gCH-;Bc2Hig+}7Y z4^?27ogLt0*S8y@IiiWov z;==aWWFDo9sFxmy!W9SHbY4DNU{VxCb(O8j3)uc~^&sH#!y9lprp&c-e$KAD(M2eOOCq zwSgSAR}Y6kahpqw@7%i9vHiJ$K0)JR4Xbo9hG{6V$!hzSxdso_dJ^4X;h{fVo zsNM)OgcK6H;j}CpH@@akwAxIRW8r29swUzfvArAH0bfAf#Hv7HQMB?&1q)M)jj<;J zcoKnY#Kp|^@nW*&JH>~7W??xMYC&il0L*=ZOE6dp#M{Ng6My**@RTAS4lUYZ>V2>@tP`1xt(~DaW94Z$7nbAh!Nqx4s_;P`+JKt}v-etCoVaDAI}HcJ za(tzD(}Q=#JAT!DR4t#cZmL(!eXLt#w4dEJE;lxd>rpM8C_eLDn?=kTg5S#hqJJer z(CrvJo?SbP=;>IBP87PbRy?To7yG&EbHTcD&)m@+htz(A=C}yb9WBiSprLIn#d*|Q z@onnSViO*=)IwOTZGNOL*XQn@^HQTtOSSobyf~U>(?Z4RTu7h>QN4xU) zWYC{q?M}d#1bT||E0BOI_Z)`%W%k6k$R~GiRR%TPhfeiZ4nDN@MS zHMSemLkH3Bz#jkNTu?oLpm`iS5nWxNgqS72gZ;vUtH|q3i`&8Q`WC`wW%Gdg@ww8- zJYxF1Q=@Q+c8ji{4=;~f6li6ElL31jk+?_UJ7#Dn0Q6%osy+5b(VY=@;jLPK1!c?J z4N3^g?M@$n&=;;8zQ+}{%{#^MQ|P;|62vX8j-T7nyu8+v&TD@7?qEE3zyH> z3vlFxkF$lyW*c*F@YFm_~ZlJ2RLUHLKYuA$WW-}Dt1IznjlDpb!bloKO=4) zo3Tu?X){6F(1Hb4SlE@fD`7ikJ~ei=GzFI{9oQjVmxUf=AjCOEL9$7fZj?+W{+;M2hYdLd$wH;`o-LA65KNz>68gZ#Kozk*-}T zAUc#m{^EArXnFl(P=G>~M-hzOzw3&N`w4Y9?M+=-c^3k|{eoxUNC_K6CwuqXy>JF4TiA!*`fX#}4w+%efT^cM4 zdlf6AFX4nW+}ad&y~M1~DVSia+{A$=K~U|;X@Ba%15baGjd2+e$#E#LqL|!bkiQLY zGB@YkVGobyfQ^#T$rAP%uB*j-fHqgKXX5CGx^#Og%r-YY7}IDdjV_(NyK z5=ej*mtZgC)mE*LAV!%_rBP9Wf`a5ips`k5@vWVgE#aa)Fd2gpiK2T5(Z)6|CJ?0E zFQ%?t!uav6HH=Wm`r-LSKUVYbEk@0{GZ~D*=0Mc3U&sY;hZCSjA^e6vMz+!B1;^=F zZ91Rs6;@|Q+FL&C1Y6InJL7rR0eHk28ZPmbC6A0c+8tjX*Y{-=FZg-%$Swsyl-g{d2K zQ~09x6yvzQHCM*huA2Ypjhxg)e0d9!VUEK|48E$0fRosx`70urO)DQhMi^Y1V5bq&kvoPNG)1*WFPelr|C?4+t`t02M-|#nUh^AehLwIX|VA@)MSlZgF5K3EHy>V>o)j-v2VYGwL)4-zvzZICq-GiQWeDrP)JJMa=DIE5A;E7>*z?oPy3Rc>^~R#$DuUufz>By1j%P?Hz6T14QK8d7B!?9CS{`U*==Gpr z5y{;i*?kyUeAUZi#NbjS8iCA4+ZL+fcp`0_6=hWQ;H@r6Ut$AEGv91_YngEfjq#Y< zFdKfHrLYQ1*vtd7BEv3hOT#N*DQ2CO2J<&j%k?Ahsy5m4!IUNz&V{eO_dQUS;51o@ zrNr;iC$b2U_tt#uHYgI|O;Jz`I$1BSV9$d?G%;~LK{e2e2_Qe3DWRtV0isDxB5GG-bCWq8D&dtp~j+ z6a(DlX4QLCcCj^qpV()>-u@)KM4mJu9WlP17vg+uC2z*qniGat3c}bk6T5iM-V=&L zo^|K9qV}6xd&BiQ7v1i`)3xu$w{TOOsNt1m zd1l5;*MuM9zEba2HEj!-rKIqtoR-2Rlmx@n>a12YofD>+H@5Zk^z|e0M;0mZH zTv;r|@Y{j874Q{a^0<*2tE;LcXPgs{2ZeV3u`pchG7XlG4Lg*v6izVrJ{z?q9 zxt6ZY1ae>Cbuh=vEx&(fJC$1wRMmJOPx`@@-R{6+BwA~|pc~LpA@PF>a#znu%w}mT zUQG(J33GYmvN9K5CYrUaW2okyUp4QymQK2-FMV|FG24^UsKaQeCPoAoY!y?3nZwe8 z|2t#UV`V7@`Kuy=@U|ev5!?@TtEm=D{167uo8Fj=Cc#NIgSmz;unO%8wFYORh5FKS zf{sG?ZA1%^&Vl58u{R3!+*}qBxV&I=BWUSm(T$q!!#h8@4vk-q&{ZBsAz|>~>)aLC z7BqdZVCmspP2Nje(&`>oSc|6I>0q}<3@P8!KHqc>U z4Bo-o^l*oP9RnJ-h1+Fr=r~ws9m>#nXo>COgeiQq)5O9vN~wWUHfVs1l$N6g2Mgh=~iNyqRI(dKphRDv9;1-hu9ow(c4Fs<$h zu*_O;Cs;`V{4t={u)d^)7|kk(dKUjb^d=T9W(&H!3uPMHkl+Z;i4LYpBy6@>!gk_% zyFhG^S!4j@cmbikaBkb3ThH0s&GBaS@VPWf#<)8`aV6Lwu(qOv{%oLdr1L{KS>WvA zm+e6VrqDC!rcGu77k4E1s_||I-$sU*h?24xdJFs2fDW?|_p=d5Xg+V6UVDVkH@O*M ziaY~4Cbbg$a)sS=u{7bS!_JcRur0YF`$@lkE*KxX`E2pG-i?8H{;^oXEu+vfriaS5 zC5^U0FVjqbDQrPc%kl`+JMId>C{%z33Zn|D@0kvvrNTmn82f@Q8jM;{s zU-kmbKjsuw7O*{vm{FGrrpaEz;g4iL^YjIbQ%EQ)tE%7^4?TQW-GV^%F(;55?3HRM zhA|+QV(eqLDk0&hPT*)aaMpq1RH|)4U?65e0m>8(rUWgc8*38Z3ALNsYBG?9Cyl0u zE>RaE<&Sz6Mu-I{fgScEPF)2ijzjcGc#wcaCz4p9sewLY{Nw~>!t3}Z z8c#+_ewwA^j97;7c~}fKmN>oy?T#gx;RWU$I~p&G5G9D(p6Oxn!&3|C>R@US+K!*1 zQ5X-W13PXVB85vn?hif;A@CDBDek$E1+k2Fb)!0hjHXk=Z9?gDiFg4vYG^Tp*K@|; zhULhUEa0y^RB-j@VFAD$%jNhJhrSt$8v=)2)dtCmk4y;$@zU z1TW$RUf?cD6xh_VFt|LLA4aNY@eTyUp;B)zrK1Vs4ZWgh;1ph(YOkM)4$J;V&kXTKq2f<55U`eBW^U6D4jjtIX*cz)A@MO@zt#R6)oVKDWv_aJM+ZX5B=2BE$^utOtd1-EE zP%WhsR;c*x-5r;>92hh1!rFb(ID&w;S|Cql{ka$hTXNs~j&-B^1M~j9A6@MmBe8*+CL;; zS~jv+T-cg8Qf)3qFn=R^e+1)BrikK1tSG{B5OI3kM98FxxV6Pu8P%SQI`I>8@SdQ8+OPaI{`5fo zivb$OK3eM0ICkI@dvqn~HR5Em5qDo(>Y&mgac_lZ%U*Gr-lL=!h25E&)txyJSPPpI z&E&D~68E04><|vaqqmG^CAhXqj|NHqjjvFz*>Q6H$*3}imm3D+vJ3lyMSId{{fh7V zS$~#4cW~#FYW=E|%gt7QN+Q~C^Uh`0X83Sz*L>ESnT)az&iJ_Qn30I;3)H@6zJKNJ z2k^CfRGpoJ&&oHdi?eY31=CSh+=be6h%=t69rL%dx5}v_YB7otPZngoXi>#(YkCwU zyyM5Cc;DQ2Pr#G3Yvjnnu_<@`SS3YV>#1IJXgb3-yg9p^^q1dhR43p~tWA&Jd-N^0 zB)=x*V{YlOTb8r?XG0Y})cK{GgX~l5Pc)yoblZn<_lkQ#A&w zPI$N-FkF87zFWLKKJEm|QMip?wNUuY3!@9W1!@Lik9(Z`YU?ig4);fo&lU1nGi#VM zI9WJpox=*hlORQ{gV>a<3Ig=;b=f$Q&4oiMLUeq&KRdoP?KbDPWND=PCPc7wrRXku zy+|Y{%^Eh$^5(KQzB$$GzWqd@`y(d`_$>u^vhNn>tA#!8!b$V~H2C5V|11JEeFz@E zn(tN=wuDxJN7HsOz?lHyOJ@SPJqO1OW=gbzkroswb!-K4XsgwQVDCx<)v+(Yq~oh5 zo3c{RWSlY9n3Ki$PBe#h@f$52_(d01FyE_kZ`OZDZAHPq7UI6-2-GXAyx1)TSgo*Y zjB0thP~{IZRF;#+-gP%_N2H50_i4Yd<6Lw!xD+;zK6B~yKd_$e3!v=Uy{S6f=a>c< zweU~5_=j)=3+p53D}lgKELFTc3YmaLuf5NyP7pXmJ*P z#tB^TOZ<>TW|a=YpBW2P8^s+*|6L2}i$TEa6Z|IM2sRTBK4kbW5obIF*IaqDTR~=7 z9DS^a21I#O+*TDk-IJk}-S=?uH@^*gHY~ogPKeVF0;}Ab4?7LP^9-SfWXtU!N-oST zPGK3rp^2ZEfbbj16vD10Lep&+JRr9_6TyLpD<$lq62CiQt8h_bn$TDxV>mK!e?e|O zIuDm;tisy#TU50S_k15Q3W0@<3csB&+B`0?8*a|RgMJ7Od0bH~A4+c7Wx+5-*mp89 zmX)$VjV)aI+^3CFZ;I|?0S)59?;<=9!6;$uu&{vX`Np@5aEygy&wDY<~JQ5fKL&dvaqH)0tPL=1xj zo?QH)9>Qc8*Gmh74G6b^cRzq5auvo&3pW;wyUr|kGE*>Vz`JGWus(EiP>B&q00`|QuPPnc4#3B{iC<6fVItz@0F<(2T1_PstRp>byv_aWy0lInS-o`YavXt8};f>jt36HAGsBGZt+Uk`RC%qgQb+{Nz z{E{{n9<~v+!#ZK@3lNPYZFZ%AAtPOeODcp+3nP)=X30eI7cfQ;eDfY|+29H_C45=A;><;u~x5h%{J46a^ya@ z55PtP`hsZ(%bJP-2xeexHY3qWq^Pzs_g-95WF*@p%{bZA)J}J^MQO86LdvR)CzaiR zG?VdWyz!T6H?@-~Q!sqMCpGY4alaD|4r=K~q)iu1lEv*zh?2VP>p!SQ;+8tgnwi!aSqVuZ$C%dgc&(SQF8=Z)=y zp9v$#otN~UK#D$o@jNmB5DvR!V0rPuTd!CJQ$#D%oS8V^`|x|e1Jsw_x@x=eyQ@>g zOB<%l5P6@;^>xbytsRYKE;Uhgg;J@NkK;PC-7&Tk zmquYH4|Nef2RTxFN+KLvo@Mb|nY9b?rR`Psq3D(k^ZAlFG4va!0_)lO=3;!5=lAK| z$*9zis-swUS!b9=+1_AH>d5O&X~69wBkL7^tEM3`#ROlEbe}8L4%hs6zqWJ2|Lzyx z%e+EATOC{^#pw_c8LyA%B5UT|jswmJLyu8mdCRs|o&Dn&0<~z&g8-9ZmO7RWz8xom zaT8z%16@lz9G>zS2F~nqsYa?R1#8wy0(V>uZTfMU?5(XnE7`j!6fT}uT&T};(2P5l7%yKu$!1rf>j^^~~ z4D(=Bw;(dp-bw`OME(m%rtjM=`-s^v`$SOtvRdULGk5u+D6YS!)Hi`2g_bevdTGP# zl!JqUrLgR=d*3ihm=yDT2nZ86fd@#oRYq~Z>*+zHHHZixdM_;9Ec=I%oV`)&?xcV7 z$`96TUDP^JB@zxgO@g0N4{Na}Y=K7*d~{ZOto89a*+umDNI{_N$4bWw`O4fq(JVd< z>t^duM3I0Pxpz{15()Q1EF2XuucZ%10Z@;#L<#7x z0c!)9F9-fcXQ#Fbc_Ya{8yzq~pqN;-4|!VF=_ykqF4Gx>(8W?Ezf|5Yr{|)*#p0X4 z`%>^)CmhaDyiTYG`Y?KR00QOu+>R;NGSQJjL#lIV%AamFJ0R;MRLZpnOXUYkN%};z zy<+{vD5J*v4RYizQjiNB2vC_5TrSpXvo~n_t%^PrQy;($gUycPcl$7sh9zKXw}Y*N zBt00#C-a$0AmM1Rf$BF_M>EjDO6_ZmtEXS_itCuTnYW%a7d?cbuXJ(`fMqi z@6Ntdy1yA*|JvNAF_nnA0i*0>dvKQA6|@Q&Tsybp>k%-WHZ}fRGbAdA6U)`;Y%!Xf z+k1V}oxU0A!_E6kT37Q=mXgNyvP+za*&>=V^w08ve>J**c-v*ak~PWKfJAjWa|ITE zgz4!_pUKW_#pjzKhTZs{Xn7MptK@F_)L2$EBOahjAMLMg`S_vQ)SbAVyTO62om$WR z<-wuk(CeqOgP$+!=}XXh| zW@-xt$H>5clut@1^^|1SBSCLTy9mo$5=zM=>&CI37SLkg;pVtqMz_||NaRxbQ9uHz z29T-AE!LXrOH(R-uzdgfk6=9lZH+OW!x7y@8!}gX7EH--9~AUU?TFHK3cO3)U@rtr zGT5dcTrUC{rc<_y5g|U1I4usr7V#d)mTAUIVqNBw!?uUl>cu=*FOEFnLIi_YP*%lf z9EGUjxdI&{Pv53K^uK-Fv3!$Y)ro8H#RKVOCgJCr+Ap!x+2Xr68=6JDdy)*2>u9+z zDK#johUHh}i|9ZBgF{@oOVD~ABo`rLl`t675IVUOLH-}Zh)hR}zA}KTEtvolZ#~GI zJaFETFH{t@&v!t@mhR7=yvnSlCDB~-S6??7aEA+D&?TnK(nho#k3t^0%-vlDjLOu0 zIHGj^4pB|y1ipt6btaQ*)(y7pYJsDwc^bL(Je=&fs=mm$3VO__fF$k~`HBvZ?ds%? z+NmXHTqz#lU7D(Qp)QghHvjI&Xxv5mGDEvdEeL{5V1|bZgsyaF3U9WrA~038YDe3G z3zm1CU?Ns|KT0l@yY*K>rrRSw8~i|Ga*@5FKRDzg$lolJJd(C%*bRt%85-#T_%5kt z4O1NX%;)7XU`rPe+jxjo^=*%Omp6u4@nQ+#RSF8Dzgc1ux6j<|xUBA=MWr?ZQs4GgX?tOp{_*pQ4lVnq=Yt3?r1tNfTLE1AY+!Y zZy~#klMpzJew>8Wm7gEbWJtD_zIyhqnlDRiD4G_j!~tWFO2CXn zH^7j+WhpY8EwN2vR=Nq8EnYv+DtDbK@VH)oGkG@kf^V*`bE;vs5PMPyBSf3ohF(^8YKJ4mgSb#*9U@<)u9h;F z1zwBPgQFI)5vP6;YTB`w{wIr)=uO>zNAVb#r4zXQH5Kz8!onW#IFxXTUmEE+(c&Zp zQREqlMBaexOpRhwmtVT}z~=$cd1x-jOP(D2?6`~%49&dC389$@=25O>Y)P(5hm#k2 zQ*BTF2qGptW2pNj3eDuoeCq|Hh#V3sShP5!7DnX=StArs_#Y`?-7*CaEzy<_iU_41DyDGUo z-+1V3)@KbYlO%2%-yp?HzkwlRu|7jT3$PQsTqYUuc^LySPOc;}88QiDuy{h-2IL;a zste;JYClr7j2U0F@L zGF!esT7BK#?u^Ll@4mTh7iRLo(b`TxQ}mCYbJ8^3yH<9~>8+pt$#2ySB!`tfeCNo= zA83zgQHv0>5s;p-cfVv;{Sq0F@^mQ&#($+0N1J9PBwf&9#Z9|eT7Es=j0t{NC(ewf zolZCik75AxqT{V9zAbUOjlhptHCFB}=YwdyzS+_1y0&ohxNdZ3^FNC(*p5>l&%oH7OGzIHd0FeLr6IT}>)-W*C5Wzo^y)!K<4ebdVZJEMY<6`2iCrii zy_0aC-(z+EqgxPqZE|HM;J#0Wj(z4{w|{c2|L86Wf#&W~J}d%CH0$uJ8w^0+e z6y*Kd>Hma!v;r^&4RM@__O7afO7N1E?kF+p=kzsSm^zKgH ziZwIQWM{C{n>76@lM*?R6E4_g)Mdc|S&@o!b^8p)yR-v8c(yiH#s%5zE{^7Q-GDz# zRjzsL!~ry*on*8{ui6R*^E9QSo-3X=e{*HB?01-7%490w`)W~i7KND_zB4)BX%C0( zmMJnJ0T_SDYS$XY3?c5dR?B)k1;LV_6&%Ea?MkQr~Bn^eGJif@&{v+<;i4Vq52kcjJA_Gha(Dux5rd3(0qUX5erR^I(V!0_>gb{ z0uqM{h%PXD#NUXYoHL6zk&u})I617Xt~?$U+ry}GSGl&8ytX%rA1~khNZq@?d@g(PCiWo?vUqjHfJl?yACAH)=3BvdjBa}% zf9eAseHiw<`$+6(SQ&lEX!wI_%uC0}o#`r>2%LQHYAIx7=xdSgU&@8J{vVEw zZ*}t6vGKkB-2OeO>92R*d-KU(l%L!Dd`oNkt-JkwiRv-s{Vz+xd4KQiv1Bx2({HIj ze?K4pEpGjt2aEpS8qj}zz5Lrx9vFwK^y1+CtVhy>KoF2>wA^Cu(|mNj@&D8~ALIqi z5>%v{V-JU3aXh$eo#0oc!EPIb~{qq}|}S?Ri^)?dp&ekXLl)7hllh-+I-g*UM{K&WXo=O8@`6Bh(xJ;;}%GBf*KD$VWvX zv+GU)ZvduSCLjskA%Ni-Fi%Iu^bow*sa%LU^UcFeJ-uy$3s!ZujP*Nyl)3%ls&L}LfFMILxOX;J6*uqeM> zDE!|v52Y@4H~1ZpcNlvsq%oZ%0j#mj1I8J z-fXU>xx1znLwmFY>)Ijm&Bvl~eB>;j(TBjUX>%qU78ljfh|Mylo2LWXAhEU0wCk6z zb_)l;`}41>jS!c?CU^PZ2aeG9+Ze9#m{n|W3V|<`#Js_(ti^l4oy0N}5w%m^V&6HW zKE7i2vSMULB*EIAu4HM37mDG)UOsFRN5vM$XrKZ4+TgWRC5Fp@A9#G{3oIiv+9(9z zqI*A^)}GI_mri$I+Whv{A#<_2At*|3+jKrzBC@AlS&$1o?g*$U@1ejQDc$EL*YV{* zQ_zpBTs+Ql*#t&k`RL734XEKp$(C1UI~O9brL7o!>ukPx_4v?VC3ptp8id57wljpU z744;w??`>%(cd6#uoX{7uNzNL7Uq1~(Cy7Rffwl9iEC{J8!|9qIj+|Al^ z{@$5OWk3Jv+TMlQkN(EPH~p?5#o#AJI(NC65435uRK`N?CfUl!HF6?p=(4FwmCRFv+h)k{BHN%Qr1c+MQ0c1(~g2>X!X{0_z1= z=zKvn%?bHyY^+!~A7zJ2y@4*{1WeT8{o~~5F!h_wxHlj9{ucC|2z+z}*r1CS;_b4s zdKmR}eC`ixd3GL`cC*)!GZ_hG-QqYG8h7dbBwl zBCm5bB5h?n?54{$)bzwQ@xQ+vE6Yd%bDfkHe+N_IBLq|{20>G_qo|-`z`wxZb6`*$ zYXEp0#OK}ksSllR3FR=!U2?Y~OCDCQ^Vzy*3s(Wd! z{4`Fs(|0w#^|n4cX#tMm&~O0m9SZdt)g=$eRM||KL=sg=s;`#<-0}{cN}(=X^nO`# zdYnOhax%TkQ*n=nmAkKc{g51*s(SZCWcO$}rb3f6cmm3ugf2{0o~P{ZP$E^UOal4m z7d|fz*B5s{?!=L|N$N6kYU*dhkW6S=M&HB<_BMelc`R=2nSj#F(q@SMF~YNSopp#6 zoHG@(%7B*agiy=QTWNi-N9#(Ixg4@?f%nYVah-{3vcIIM%)p~xI~ssA$#WiAs}_x@ zr^{1+`cFP}HdDjd91Bm0rW40th>YP5h@sevm{ZM`O;E6WNllP9N?Z-G-R1@MKJ%(x z5b8X~OenVC`#dzKWt#3uh2Tl!6YvQGHb3|yaM$GHS`1Tr5GT$SiJx<+cDtqG*RH*d z9wB!p14mjQGUgE*gelEII|NSP(irfe5~m;XiK6#OlQ0u%MY7Zb^O6ie8<-V5mSBZH z@UI;yxr(XKV3OwI$)%}uK$g{0LFgrEL6e5Tuv3*XyYJWHfBL|0BZZOEO7zjO?MlVK zU=(VWL}QpSe;M2cR;UISN&Yc|Qd{zfgflp@7PW0<(g7sP*B;uq{i z^=JCjF-4F%+2~MX^gM0kEq)n&Ls3bt<=R}BfkUaobSwViOL{>Tdh!Q{$Okc245S}( zDIzvk<$wCX|AYz2S}aBP6{F+}BV8ylthq@wc1W91{bD3skmLX_XkJoDv^ab>kZ0-X z(w}ILIGNy4c+b$q{39E+K2%Yx4l(6AniSKAqR07UT#%3*_+=2mQ_o zS%@VMqWZHc)qb@*#a_JA*T3>P&K)Z@fqT$%d2x&RsQuPul$G9=;Px2l?7V8)a3Nk? zoVMMtZHr~n7gjl+1voKdldNwLqk)glahb=;Lm)U)cYli+=?AVxX?872 zi}|KmeGKzJY^8IvL?5u_<;UV>bRuBV5ak;nTEC1VM%Tfsnnfqu0w5!)2GCS9B=Y%( z2`ZRNt87ZxT^_`%+t4eQGxA$m+_YT-+Px94LXx#hl$Dir>Gq;s)fY=g0l*U=XXFD| z_r>Cng6_uMn4OSV`!H%Iu75&@2gsnmxb`%HXHn|1%saf?NuTq}vs3C_t^8^&`sV-r z4%qPJ<+L*PRIt*AJ5Jz=lTGjhn-zG&l18*XDN#&#air;xX_w=Xv{of+a4&`s#jjT86^(uXN~O4!{-|$N?ck1vL+nW zI?NEr^O25-yRLv*Rd9OXhnyHT`-}ZUCqmXn9$MQkKfgDeN3H6f`yOw7B#%FRL!lSdQkQ;QR=^xC z;FHl{t#He%h0xcuXf-bnmpDOzDUxC6dH1j;CmEQSgE+nb+>v@MU+wIANflZ6tz@vt zpOIIC58qn2!-wy*&Ddb{*W zX|sq*_4^A;W8e?4bT-agRsZAT4aVT~3&x8wGZ}<}sa4IT?f1J&W5Ek5u)MsWgR`G$ ziq^8LK!vEFr5sPwvH!(fA*n8T?JWH@-8h5VM!fo{U4|gHzOuL_%DVB*W+w)PE*&CQ zs&;zY)$%vys+Y@^tU6iSJ-JjnZ?|goK?n8jKYM$Wqq<#qdiUPRr+ch8{k5%A+0L%Z zDw|gIW;yRTt%dij|MkL8V;}=<#@jzKs-uLnc-s`FUn#-79TguhvF?P-06J@ADT=In zT48TG@!TJdT@lq*#HA2=k$Mq~+33~Ozgg_XI>Pr*P?2aHw$7$0R;1c5<0~rYI^2@}fh!t6q^H?{3 zCDI1a+Hu`^7HGku+RRBDJ)N^QnU8e2a_A7l2t4{DQM<%y0ZvNJM3XxJ^eHvpI-w_% zDAz-&_bW@Jbmj`{^+N~N5s;`ylii+<%dN709*_U>`}Y=qvI~|e!1yCF&Z))da*@H8 z`JUN92H^m}I2uwfT2e6Jb0eX{k2&&pYFR00y3{sLM<&43nXe4>s1mA|r#)YycB2AG zDW|W;x*Qs87m#vS5VPPiP-|~Q#)}P&J|Oi9mMa)?5V~b_vlqTzEWY{DH;9yAT+wBz zioj_xJDIjEMTQFHTHpW)Pcy{94$RG{Lr24OwY$%JCm(WB5@LqX3`Mt9#dm6yLB34L zB|MmX0DwQ|S{U6@n^iCI7%};Ho-V1>I;#a53sFAjBrkp-PF^UjF)_AOPHrT-ju5#@ z|LJ^vza$ViBcV)QBxN0Fz|8HdbDX8r{lkQn#J{xmIr$jjLQ)-1uQmhJ6&|E zsln)JAz=g3Ypy5f#_TQNC6^D%&D{D_Ka`NhJ~xm5@y~vj8|w{LzkszEZvk+2A)H)9 zNgxkhNa~YN)O_ka9v%ZI*MzWa|p zeut5M&o6)L$DD+|MUYCEa=v7t4UL`I#KGigIL3u>+fdzvJh(+{#Q{$1GUAFK2a13z znnN(5|3$=-bQ5W+@eBWxSKN(>4uo&cZ|S3+zn+A7lt(U+O8WdDWUz_5<*)q@Z(}I< zyVrOCkhjd9`p(A!wIuFahkXCB4AkHEqR8JXhW^{blXQGyx9#qKYdB5WEN{kFa6nPwy}?E;23y1Zu95A5tFd}5GR=tNYROh?aj^}HvsYr4Eou+i z;B5;?8J!7@g+U0bI&}ibY18>!G%{-___8#w7R+zg58?dT4dUy>6+v)DHo!yz)2tr_ z6I7?Z8Xej;Jze!${W!im8y%`ums{ps6MQt*vEKXEd;Y!hi9Lu!Mj`&(bUq&ml-17D z4D9TF3sf&po?M8^h{sk-1Q6+-&SIPptBGWi z8bODpreVs>rA9Gwfrbh{)fK$@vm5i1?G@SFTKJ9Mf7NWX(p4f zD4IlF3J-}o%&dQPWW09nM^AuQbka5Y3)zY-CPSa8&@e@Abov_S41U1%=zPQjirKrs z9#niB6mLT;0@@2n*LJN6ApYkb>VKkm?ZycTrT0>!QSD5EI&Do!MG#^*|R=1*0 zN7u{Kpa0rSe%}lTE6`up}Z4!_d82(o=+ZEW>iRHLWXwxG;q_3~vG*0^<2W z%3eoo2ozl`!CTYxaz0>MB6q+Kx^0k$PCSl3O^}A3?rKd8s&(M0^{aLe^-EjK0|n4d z?F7Tn2AY1Y`XRaoottLn&7b){iN4akVX^I5mhH2?;Bcv9B4>pTwJwNNkc0XkdePRyE62e!`v+0asSl#^lGjHIXYB;E zyK}Qs$Q!SnyHtLD{yz<8Q$5GP0F4(4@6k(9(D7i%b=cxCQliZ5_gFC)k_1i)mZ5^J ztPrX2SA`cHyl#eJ2i74@Z^J+>qjFb5>f0~t^QF$E(teZpxD!4m2h_}J7<;|>Qjj@u zavI9{><%c6@w+I(Y{cCj3mqXv=`0_F$zJNM#c{d!x{1PUg#1ksIo-;TRD}n+m3zrS zoey||r$2#4u>YscCVJm6LIOMwrsy>J8z z34lgmJO+WXHi1Jp-WHENaAkO^xcIO@^cjm$mYq6A3UW%v2|}izmZ{PQYU0|=IPHAX zYSp&+=Rl{(mQY)qy5pgKTCd_oewJxJ0y0Qtk zr#{vM1_Kw3#kIwLvdhnzfm^}6F6in&i{N@8+ zefP3=7C9G;hY5=@MIMaJ1|1w-ZctkdQ-^LwBf4fI7DTCrpF}pL&kO*jt(ZZqTK$8F z^Kfx#MjnB-gF4#TwAfTg@8+-oqO6KFRg=1n#7(?}RAH1mNiOH@1nyoo3Uk&eOi$hV zw?6{vIHdzgCFrrh1d>i**5N=4YDulsT{HwR6q*&OW~>|pi=2V8LE0z?^~^|*V>NK}_E+HnF{T9j}ra01Q=+He8O^n zSKoPDDv1Irwv3re>1%Y-0@q%aADtUfLhLDBNM0-}cj#1#mJ$FklyV1-G(GFPD8n*C z9qPtRXQ0g(jMEhd3e-Ducmuv#>QOOZt?MaW(of6kWB=95{ji1ki}Hqyh}32MdL*qS zf;QY3qi859!}37B`h|ic1{z&SnK~?fSpU^-=OWcfciHS|M zYsK;uNt2&Fx~2`sTbtq9C>fJDm=|_A&fZYb(!?y@5FD0b@~NcFI?`;SYr{`Nolvia zIuj7$rGW4LO)i^Dte~7h1)>aF_QtOM}{8z09oA}~Cu#jHNTaaCRNW2!2WB7Fb0zyar?YI+laDG?! zSVz&0*et1qfG@h(XZO!ylpfTY_^r6t!!GMYZN+=rL9mm!K)&uH-TJs z)mh9%HYEky4Mzt#pBtKcSdSL3%mgKG`9jH%6^5A5k84kNwI7V;l7X#B?_~G`jfS@n z&4oDa^$ZAiw@^P-erXF0#4n$HE%)?urHA5~%jE_XvtW?aA^*1=5U&0jt>T(PpW$MQ~zTv$@VpDW5M{QUrTp82TJ?9$36=O)QCF zB<%=HB&);k4#G6oq5WKIT0r{p+VX9?X<{4@aQ#^?(E}d=AJ`0)?A|m@qv0k5678=C zgRs#zxPYe*rEYmm>v(rybmN}d-Y3f~eJ;Gf#xS9O?mKsXM?Gvp0C-2Z+M@gc++Zun zgb$AJ$HH9pD0|`2cnx8J*L3Tx1BK+d2)B%7`oac#DydImf5fk+)gN@t)ACN&lZ<{63`2P=CbTq4@x$2to$YxazL z7J=YfCM9(Ys(6HdGrD-|0|Rj2PD;*Z+TX4vPnT{R=W6LuqUwh2_K);lu#4QXa?O;U zL|$A1wf<9$(EnqE#-=n-+d{$ITAW}p$R21~v3Sb|35|Ob{gcnU-Tf`S`|Q~PN2Q;5 z_R2|=Rc?-K@cQZK+Xvr8t{~OfUbq?8gjIPlLPc!O|)K-Y?8KwFOqOc`RjMuhmMr1zrmT-$Hi-_V?-_)bZ*OMzG*IzWa3$eVU7G z1w+<@&4mHs5djvGm6j1=7p<*cGy$+6uw|YN7Ih5;5iYY+4?{f4o!x4d4)v+>&aS>u z{zUQ0$))tQbYS&HHuDGF%Re@*F(QEJCnK*tnptq*$FnL#?uo278ZJQZZ?zG2tawMw zBiteE{9|!Tnn%>B)nX3$J0CX=w0@GY>K2Vk+b}cAWQBhSeO7gEbuO2B(ASIQF4tm| zE~{O}AG<3FK}gF*Dt``IKVhVBzWbW@OV1ooyXb7xroY=Q*S*uV+CvNkYWkw^j3oFfB- z_OYC9PPd1b&7L(4hF}J9Idh1ApxtCt<;q)dY1z+azx-_4 z^B%9Y)_+g`;DeHs5t#Vfr3hV^P&lszmZCzlc8y@{M?EH3Cg8|nFmR|V1GGE6-Kq2Z zqKncw zxdgwdBDSJr4AQ(e{7a}?2}MN41mT}MnGZgf$*?=^0qu%VO~pwBUgqE}xH@V)k%e5c zrsHH}nQ9pwRjQNMC_=vHe)=c>>=6qX&eN^TEflUcvqJv}D+)M;ctS01m*5|zZC z{VF!soeI{@zOnl23?bSz8u4_h=w%ovAxwUQ$JFwt-OMhE(~!VS!PXHc37AZ{GT(43 zYC_|O;Ac27ZF4C`mW|j z-v)E*E@rcM6gCvXJjYju9o3;3R)--x>H+S8=r6H}sHSE3A6L=4Whg(}twy>s1$IR| zu9oL~OI9jy$v(it#sR|lUqm2dYMJU{%8cpD;TBFcKJfA5v-u&9dR4mZz7(Y4WVs(9 zOf?7p$tIy&N+NGdB_q?$hS$|vZTj=wtw*|D@T7D3xBt_F7!4AZp^KBlsBtg~B@;(A zZ~7VV&-kIOYDexPrWTJY2Ql%tDkGqSxKej@X0fv33#m3)CJ?vBeC>F8B9@CR6sC1J zMY{u-)IAJ#tTLWVNYxI*jJx4bKYRhqa_S53seiv>_{k@J@p31=!%_bK(%Js4duD|Z z&95<&`pyZ$Z~mjrP2{=n@UP#}4gYRlJb3BkFKmy=&Hr(8%)tK3(w!5>l<4nKsgd;_ zQ?JqU{?so#b4S(t%pKzO-=kk&{@fjj@^Jw<f!f4^p)(|-S2+re>wB5*7bM3_xF#mtQ*tqha_TmA2M^3F3RB2~7`NAObG5sB+0FA`~u8K3Z_2?MgVw(E)1Qbqdf)|W_CpI8_=m)vxTlh-7g^_rPEJF50s^72Vev&&ZtqY7d`N(QXDIwz0(sCI@u)_mU^pu+KVe*KaA@WCx$j5 zK<}1UbbMQ!xu15gn*_C%l@KXt+*N)G>vdyE0z`lY(u!Izf>Nudb z2xKsUNjS*akc89g%^+?zZy6t*RWL^ajkHmMgzBguvZLnoD_YjKa~*PLuyPp5E8g-U zEWwAp*>h;XBoaVgx~3o30iw=~Iy85;mqxDk@7dO6Xo<(6qA+FRP*-k*vo9Swd%mgn z0U6aV{RSv=y}*6Nkg%_VgE`cf>TJp$8OYdhOJ)oKLnSRX`RGEaW>BXj?e7Fa_Fv6h zdn-Q1T2D{O(jUhd0*Y3#8p0(AU_gu1LyL*#kQ*z;E6?;zfZI)!mJb?dyM&GGJf57v zd_x!g!HD#N6En)OV3*D2A-jiIM7fDwd*g3dzmYaM?!!KX-6QLCm>SY8jqxK4ShY=57bHF&TzND;64GY+`lWMl+kW5~uDhM;VA1>#47MOz=Ht|CLj>!i*Wrw*gWlow+RC3+D!5 zebd-V9LYvd=qjK<5WnoBpt{uKWZroQ;fA9RxfwV!j`Fzj79-9a$7yG zR$hr$op`5P*$dquoLm9k=v|bY5!CCoAJ#VhAm&OQ;JG4xz!%>0U5uN) z51x{`Hlgz+u6S`}tkpzAya4U$ZV*S-3(japKrlHMUHBM*8%AqK8T@P}Vj1yjevfu% zcud@GEM6g$5&Cpe)r@LU&Q5sC;7`dJhrwJcTvwTpy8H@w5PC+G=XvH`{pj9QV-e0O zS$m4b@TSc|3Nu^rUORWe*ix5N<`Ct6!!Q9Z z6nM(g^lY@(j_~yWc7nnJdOC+0S|~)|2ALChdQBj{_&ggz#vqH6!I13$YyIt8bFOK( ztW=Epz4;$&B{}6vChGF|nqf5yapkDGn7Ru>w&`?%6Sao9c@OwA^WsK_+G*z+r{5}d z%epdMh2xqQrn}6P>q$OI-D|IVcZPwZ4oIQ-yp}xcafdACp0yy?1)5cI_IOzn!IToGFSswAn`ToBp+`k~F(TJmk3#@|4P=j-mh)Kc z|Mpi;FN^^4WDC5yBekP3GVr;ShGIM~<@t@M)&cc*x=LHq?WE&uaXpQ1TOv5NSfJ^L z)PS*)s=?WpJ$Jy29pJr$FiS^=kS^kH%0YYZ@R%XXK$z;-RC7Z0nGuNECzyuDI_hzN z!xK8y;+s$XUy4)eu_yk^{`lf$qH#`7Tj1~&}4fWII%rfu=kNaD=Yv zI7V`b+?cxNgvx|A46dY1lsYk*XO>hl)^-C|Kv;yt3q6m5VnX8jgW*!>+v%UZ-u&$h z3&^q*E%dguJ2kBdYbgSC#w}B~TgAB#oSutGwMTJ3>ic+QtZ~?nD5pFLci=&QvMEs# zT`>&aS}}6)d~z8Ufq_2Skl)hLc~tYKB(WLZhvWlIw5DNor%jk$qhks=G7#@JsI0~Y9* z=TZTejy#(#{b)~#z|ytpGo!dMIW!qt%o-tUS4lDa7shNwjqd(JjMcO-C8vkrpUtW z__fZ+=-zAQ^?18umoIJ4-aHl^=62=e(ml}!;mLG}DiRjl zb&8kZO(RfX;9<}RWgfsUL+}7CBVP-;cMt z3@t6P`_bfDqlJqf_rh%NOf@<)3BMyxlZdfDxVc{5`_7B?r z=#92kqbz@=G+>!VuOWKYGv`Zv^r2`;sKd8YkJY0jB_B^vs-AXE=Q|5hH` z_3E#g7q*cYS$M(P6_8XA%A-EC%_rB|qbEi?ew=YCzOQU;RNL zir@LSVG!%W?BGccZQnmJiu#QO0m**~WpuyG>UXtpZ(%HZUYUc-`NXcrINfTXoz4-> zMmL!|fHr!N!V*96qTNS7*+a|PD=$RfKJ~9vYherew}JK3_>z6Ov^n!7`>BJC7{97j zG4AT`R^1G(Yq#>^u_fZh2x;tRP|0qtn59kInkisFa}!TL^Wl3}zijWW4oCoerc^yc z9|M+KzI>#C(Duwxr~A&Z5%U0Hd>n;P_v|K=agy8%`NIEpA8cYbVMC-N05S#T>AV?5Wp#g32k0S8E?hs}bB~UGre|4&eaL&J z(Ct4Jw?|I_i$1s=ix`E+Xvl1`m=Brsj?m(RIdm_4ejmYk`I0pxJsxNx09rZCKB{w< zZ7}#Cb0a(g0Y@vtxnRIhC9z+3m-!pVXsqwu{|S!hA5_mAz#9-?{8swr`c6y&tLODi z{KaqHg^Lh4iQC3N8y3LhRjUh$(|*Rlx4mtM8xYT)NnC!zN=s`ZyF ze(yanSx_i}$OkcZj^+Siu8~9Nga$aFVU0;%GxLaq)*3N1oeSIn_I4$+>4}i;_jE93pE$Otk6$?&tEeJGN%ytJ#4fK$6GUi zCID=pbM-=yQl#}bxIEXk9`(zyhdfEXEaoFC-an_R#b_XP&ET`(TF`3I8bK9UU@g7@ioLPuxo)AQ;T`yicTW)@oA2oPomp zZ>m+SjiO25j29)lN8$vqqQm7U_4`beL+r=w87upyD{SQD%fv}9l090MJ9mI8sR;J_ zykoW)zSJ(LqGOe1Nmp@X;xd~+!f0TCJ~RogLr#o}UC;#)Cs8VnxflP_HZ69CO~XQM zAuQnNRbA$>kFCeam(S|>$XDB=kSCL51O;V<5ex2#Bv^XPF9np(2E2pgcvN5{fHhPj zPq{GXEskuAorhE-H{*)@!nL4Ir9}*5%`BZlv4CM31sR93xlE&E1{4z`NfYp}Zp;(n zWe?_M3t&lvhu`%)?Q_M6hrRZ^XUnh7H1iFL~N)Cy)nbvY`4n$Mig8%&Td{m775m5p0Fu3gMS z^yYP<@pX9xJYS%_8kLCgEriU*Jg#bgw_HZI4X(7SQi{|(`1M&=szq0iICc0n=0zN zXyC&}F3~|4Oc{#cncc~NA*32!3j6c{wZ2<8ugjUz$T@Anwt>w>PpOs$`h?fVDJ=NXBRsUck`nXXzV%nY5V)k9;H z#-Tl#an*9@NWD@I<}G45XJXqi6|U6yD=r{MTTv;(9|wbndX-Kp z{l=F+{)jNaPYM%!%pULMf1e5d;@Ka)qaS_{74ToZG!`oVOY?nH@ZTy5L;KV6^N(-- zErI-BfJ!d4eO z^~+xvv%)`JgnItV-=l@k%W3N(!~SdI+q~_qpIpZ z{B4JXN&Z{K5C2uG^+#X$@a2!uBuZ1uD0{j5+F%%k9)5>1zWlmP^%)(7UTc#{Nx0i~xghvN$3Oa+LC(q(z3dSP+ng3&AQV7yD*4feEv~jRkxZ?-M zj2&79VLk%Kz~J#8*Cj$5l`3)pK%X~mya|{tB@Ah9c?I%DUVWd1J&8ym%8PO6&a3TZ z{Big9j-rG_%`&#gYkX9D{N19LjDNG7hA&KeYCK3J@B8k1>Zp7{L_e*zhCZfl11!;kQ1*fUy}T!>}LM)^u!$0A`ygy!d+8VNW~F#un@{yzDJc zbdx1E*kM%zqq$if7`30b7_`=Ljs3&9G8=~K>Ndd0gbQxl+l!=9tkS;_>Ah$e8@$De z9-&ttmZTf_{W}ag?5OGVG!6mF!=WI5!R3{r6|m`fdr#_QxrIwi}-$fllVI?N`RC+x|{4RkmN8M%{R)jg+8 zK#zz(We>AYoZ!$En}AG+x&myxZn@|5UeM(&wyo=hIYfmd%|kfK>_jiv;UaS~I6$RP zd5wLRZrok>Ym3hhtpBq|KJadqOl5P9il(b9hJdfeKd__9T|sshwddE(#pYq#l8g&V z^>}p7hT#Vhc{t1%&9&plbj(_hMfA6fmr&?Y$Y71JgrS!ZpA(dK3JETOt|=2Ip5EGs z6UOlvOp=~_AWm-13+1>Q!$<0`Q86{s&HHhWbHnJyeKT+Vi*HR|(rV7x*sLv%^qFW- zG!627XUkWk;kDH?j&#ip-i%7Raubv9s^mu0+Y2?I>uQ=h$pODri~rDrz7%M7s>S9i zgLtgegkcb2dK-*d)DG*Pd@vD89Jc@udZV}=Wk40=2ec-GLy3Cf@PnEn$AM-~yp zpsM08JUdwGA8pmvl3}#pymfjzt7z{Fa zPpJ*tDZKFHD$4?7E~}zpVp+c*>*VwjY-E=xbaLPNjr*WpBONs7bTvDqEi*i&hg=o! zhSk#};`4_}G-G;Zvi`!Ru8+dxQ`@b2esA<_)_JKEpUVe+Z0fiF!xXp${fG#XVJ&0^ zl};t5o6Ezu1Q9& zKgeD#f!R>?D#)DxyJ4tjP>bn#2IJs;8*9`D&xYRq%sdS8`gJCCH*k^p5v71K{5ri6 zt9I!|L=Q7%R=NpHbJ)fx`G-Q}0Py2)rXPl<7(_}GD=KaqIy%?4kqeMbp|Y^#&{JK; zG_Za$!=QdamW|?o$xW*y&(5SEin%A95v8DvlWOIiy3?K_k)`&#|J?ovK#{WC!4jB| z*^0^9We)hdFKnX31HYbr)kZpn`dxy9?=YJHf${|}Rz0R+?6p0L$Yp{;0Dgh97&8ZY zw(itI4hjk+Y!;~~H*i>mg5EVJ@|{Ce4$+B838nD z9NNH#Oc;a|A(^=e1Jlnl#`s3;_p%Ti!lT+lgg%yC>)U{|(@mmXrfGVxsxoo=ORPB! z9C{khK!erk_w*hPNluerT#q?gHk?MrCeQCBy{fjb0uIkT8S9<3Zl4YpZW_xt8aLwi z{pb&e6^c*Ca1ZCyyp^ixxWrV(3(!_~I`BC;lxOrL8PN7@fYCYqXmz-_su^fPo=Mp@ z=r8c#l4q?C*xN70vH&Y^?J8qUmrAuYY)5UeJhLA$O;TotR^}n>aCoS~I)`A?2`~%l zCe%Z{_ezaeq1HFIHjjQ>VY1j6Rc=t%;`!xIK1``48SAkG4T_h#FtBQj^~_!zKo&S* z>}B%u$f$96h>i1m2cS6#ncS#O8XA+t+Aus8S#VMIMkZ#`#DSVQT4NVynF`(6so>i0 zt@vIQ3cahOEjbih!(QgdU7SgQAufU5<+_ic9;JG=CHZw~d zTu>UwU5x3V^jlZ9vZS_Rwt?MYt(gunZY{Qdv+ zZ8(M~J4kCu+2lnEfQC|aSUX;z-FS@0Dy*ujK`<4)UDG3(S>eKQD1XJ$$EfFkp2OW@ zPG{$BhBRu169^x3{&N_nw~_+_*i!5CUzK*#RJFhGzt7tw)Kh+Nm)t&aS{pGwFCHF0 zll%q3)+9WGB?ti^h~`otcj6Ps=~~7;UNJXPhJVy*nd_2@lW$_oorz11&@3C6R1Il! zMwnDnxzsFQB;F4H;^f=r_X7e-e|ig}O@Q0c$l!vEh8xmZA0ib+eI_h?;}0wu8XvdQ zSqEd?a|}UL5)~uaLBVo9NBMEwMJGPUPct^PJ)@0?2hrWUc!#w_a%~0&`mRoh%(ysR z!mWF{{X@Z_EOpwS|Etej&ay{hx>PVl2e1jv(ZX8gaRNPw%^kMN@}^cE=NKKU)`Jv7 z4lEM}9SW0?uc?Y0vmkc@TO^^I{h7swA*q6MMsvyNCD}8{649o-jWx7Cd0aK)UEh=5 zQGD~UuSIO-V+DwCf#zAx8MSPAG2i&q8_$uEe#< znXEb>Uayb*YOUGY^Lh>R+p2$ZY5t2JZ$dEdWZww=C|kI+oMw_A4MLLnfVE;O$j`aK zV6*e9+iQ((o%WrGfl7L^H%jMnxU63(=_Ab`Y?iKC9i282go1|O5U>|Yo&)Lp2-d=tz>-2^poh$x#hv51@M?&lL(oX)xKY81avm+^x<&W#iwNmXX@k>QkQ-ME$ z0D+?7m4k`_V<5Wi-ZF!qA(k90YDk7BJOr~<{|GSEg8L;q6g>_W5nVnBXn3GiNuzi) zr^cLh9K=}uz)@h;l7F@If(2acv1?I?*i7X`tRwYS;p-qTQAn;lrBOuza^9^1ns0B_ z*5RWMkL-FinAA@%%;|jiRAb*hGm(tYE1%!p^1pO_a8ko+Wp=)`_k#WGx9 zzE(Yi$9gyx7mU9rDFXG$+sQ=A83XLJf-?`MLYY#5pc4Mng1=6bjH%IiP0{m;x`F8XmDn(D>I0zg|s zldjO34PME8YlbjdUxY}KEP}7qT|#;M-a?rpS95f*pR7!xCSE-q4r1`rwImyuEyONj z0iXA6MU%H(2@$vN-kc`XLz?Sjb0^pIrm6n+Rfv&$bwIOD^K8*`x- zkHxSX5G)DvD^IQMN1ZBp(Q2W8uUh>~v=wip|JH7`sxR4xj_%$2-C18YEj_fRgLO?{ z#WWAU)lD)WPi98Vr1E$)(u_$l8+MkSfi|w}G45<0J~oKjqm$i!@fiSaAUu%OKJcp% zn)NK^X%-4pFiUEI^;uR_K5?2D#o}iGquNLKV-~x_r;~j>fi(I^#q^JJIcXgk=6lHW zJ!}t6z*wIwBjwDS7j*FEIy31B?|r{?*YNKtQSRlr&|G4hEt7{KQ-)GJ zIzw+cSp0w3d;cIg&hx(W?cM=2wrP2$FkrR|zbq0GNd zHtUQ&hP*}JLCrz?ksUizp2RMajnYwatxAFwK)uF&v)Z@4QZ!c{9{l%LYL7IqUvYY% zH-zrtZzbpptzs~6FH`1?bkz-Z1!IEB5##l7s6KR3U1@v{PFg}i-mUIqR%n-+qWpku zFGzZSfudO%AXxNAsR*dQ_fdyP$)#PCNw76N@X61us1;Ces6GN|f`L(CL!exu9X40k zR!F7I-M$Q{<|>=yq`{-7-ut~r_GFLL$iqZ+$qGYgKd>@M+erZ;UNwahO+t25Ad;2| zk*GcDE7k2BrQd+Y2uEe+i{vg4HL~M~G?UGexOmjb3|@`u35l%sJ^b_z4a2S}axvla z7>TxET`Gj?fjaa#$=pBZjDT+#Anut3ojb&6>lgmlJp_VEZP@xXko^f3#w`+!6>2B5 z;D}jqvr`b1{8t@mF`M^x)O|nGRrkYhhp)kZAHH`NJ#rYbCWN!s$1R*Fg4&?VG3hhq zWu^A!Nf@!j#gOo)!R^F<0FUx(LFRx2pmtY=LIFHMO`L2@P|9kYeBif!2+F8dwlOP> zNuRuZLGOlrI2i_IxG7NyPMQpiKdpq}uA_Go`LjgM6FhZYPIeOPzawGVVNEORJXdEi z_0j3o>3X9Kkx-rB4c=}qr4$7-UeMi~EM%O*284iG-Qhl(>0Oy7CVnG>!!srtj0aBK z)%x{dr-3UvWMGp%p#6u*T*8)F)!VIg@PlFNjiw)M zZ#4QSuAbK{by6Y~!C|6yxPdG@LDZivHM*ZHqYSITMx%16ql2s6TmH$4S0a_E#`}fq zLBjn57o~A-whUPyNYX3`W3pby%!21LqjVK6&wjlH3u6_5vJqEsr zewW7;LFR8y?*0EIlKt!>A+hhT`j3W0rGI+%r)u}z{F9y852Vl3_Wj;0ExPODk4oiM zir3Q*NUZ(*yH*H+|FHjwB76C#=Zkpu@6O7dH$w?%|M>lPNksc=pMJ!bnD!Umeb1+U zggx@!r*)VobA=56J|R);bO_E}bbkOxK8*GVsb|q`IXQ)=2F$|MnH@LkA(9{!HFy)7 zw?3r<203F>0@%-na2^=F^bX-B54n1@GD=4cPu}khO7z`QW20DT(o8o`Bun1r;;7>< zxy`wd@Y;rp;&SxKXz^RU5BynPVN{Cdoy*%BhnDfg5jc}~M%9}KVoo9ei(@}RkHG24 zmt~`1w+QAe3Jgk8V23hXxQFsF|8Cs}9+o!nI=E!5ss`hEntfmEaKnAv81r&xr_pH> zF6!&g0&Jr0Lxwc+uRPd8&)r|kdP(WthGx;w%`|N+Ha4oGcLlO8^ihIX&~f+ITFH{T zyQv>;h?%F{rYz5mFL$0K{R1255mw!7)e$8f-Q)fR%+cGsTKZea90I)}5}u zpYeot4AD=lt?upCZ;z|3DE{hZy@@%gD(=}Q=Lp&a9Alq?c7q%pwFgs`;Bsyq>28MJ z3bCapmZsDK!~x!c?y*w1eCf>lPWwQ6V@7P8Xg{7N^96={3#+p+i+$ZJB>=!gq|7-@ zN7XDKtPFPz0Rb7hnD)%TEUIb%(Oo=9`+)nLQ{5JhfoWyuq80OV5a`<9+IxS)!S45x zGY}Zt&`Wx5J;G>cI#(Jlt6FU6Vs;6Ojl9li&(XOAoRUaJ60k+V%`{v|^ifjRmjc|2 z31$u9T4{pyxC=utEoD>qc6OucB}fH?5dIA8MHPI)&r5+>9pkAPcd{vvR-YsjR3MFd zY?4hf!O_Xh&s>n>fwTGePJHBm;Czh|)C6?rmG zWbDwO3ZN*^q2Ba#D{x3&r*riC1Y@t3D`nH}rxY?>uAJ&)^1^ezje;a{dTxN- zmUxSgu!U>xs2zLsGqEykZd8>hBy4w?5(YhU5L1^r2Tnk9K|^9X-o@la8srUK3X*cF z5&I)gSyJPPEae*K8v{YfB&Z z*Hco^|E^O9xoS;E-~FSDo%6YQS3J|x$=CX<9r%hqpXk?#uU2}x)O(=koBg$YQTu4* z?)0$v(ytITPVRxMw&h*hZVaY?X#IpDw4*EKr1wg1_vnCse|3$s5T=ooAWU*?T2fxL8|h5#YMwo!a*gAE1Z&JCSOaU(7?V+sExP z*c0t3tLSjq8f%6y{D963UF%m$22xJpb%FuZ&?b^Vi1DTd#`V;4PXvN)mm{@ZO@rDc z0{H+$jv{UXH(GMUT~u0f^$4WGfswl4N+-J9y)qn2`7DhX-6@L5k^_@%ci;C<$}tiX z(Z~p5k5BhlNA2@7(&~$_@9eu4Wu?G6X@Ey@3g_EC9;Pi;It*!cNFB0jLhsewolDBg zsaAwnnV>P1J(x!s8$ETHJi0-Zc-PE2M>di`=N%VJEn~6VE}#KqF%g$3)EC_~)fwEg zO8%VtJJJ3#8RGj_fBdU^8`GGX@XTJdX1u2L@2y!>cJpVfB&dUa%n?0U`Ddtko zGTlV#0?31n{BBi4#jIL}6x`fJGqOn2%oUvmj^e?{>1;Es^cy_}VXf6iniU8kW`N8Y z0qdwose&TeI0VS_va?S7i^ESNK21(H?HH)pnaq4Gng6JR4#<^NMq(MMFa1!BjC5nW z+-u=&k3gR@uWSRyZWEBA_fl2rtCT{0ZCLUd9u8f=lFaE7D*) z&InH8Oh`DalQ0r*{PI0B{d~GKD6>xD2w0r8hr8X|5FDUrAtPKNOFiqyxOpOf7&`58 zJK`nj5GskhUtBRsrGp19_XrI3mJLhK%Df;B+I>f%JYeAq9Gbya{AF_`+KSkUsrUF! z70YLSaS&J1j5IY`EqCq@1N~sN`sd7^3XyKiOE`d>ha+yL0!SZZByT;9=*5d?)^efP zRYd1YJLh2?<;DI@wB+g4#cI@P9Na@t_I?I%+siQL`?*p+vM+kmfKM+yuwSi$yZf zf;@re7@K3nF)X3Fo|SDc_JJr-EfkP6KE2Q-U*nX2nTei9+y*Zex$L|PXSYY z7kZHBiHK1YeXtrx5`^FbsmKHLHcAzLNp===Kb%tLhdAP)rtTtojymV!N@-_*!+bCgj8`)79v+{-yHu;(#;B0CD&pa# zavXG+%`wIqXV&!?Vs6nVNN?30F!{9bfXKi}3qNrB;(?!CC#PL{9kFcA)pqRkmN!gh zHd&BZ?TEc+ z?Kkszf8h8pUiIyf7NfB@AAQ)g8i#%VRF`qG-?u||z%}~_LS<^QPba`FaG6~#ojP>_ zUFy*#0!{eboMd=?0(xl5m@+bLo;!aH+2u657o){?Ve8=sv%;nlC5s=tzs z6TDJqmS%gi-~9(wHTRrFc@7OgHVR5~9^BuAj~@Jd)gM&LL&uUa31R66kN8`pDV*+> z$$UNv?OxJARhu{(`cA$2`2(ZsT55+$d0%bWc;`vPXX@eAgPry2;F*Xo)-#8$MMGzp zl)QTNF~4lgmFNq;x3veAaVaeM-MX=juwhn-|L~AI3u6*KMBd60wq)y}hS6bT_H&IT zuIU*{XV3WEsmFO3ekr#bCo^-hkA>1ny%P^@>ibuo-+g7~vR=;DtI^x5fbe0r%ge7)9K8F^9yz~`_1jY0Y7 zvv;kewo-yy;_ULCX%dUyefzRK7q1^^S$JeF?fcEs{d2^m!jzMo=1h-MLvy-nAA9#6 z9ER=TXBvmdExxby_|1fz=IK)raq{iBwtWv0avV-4*G|4W?5)^=36cMep;^$aPy2gg zmQIAYK#OfsGXPV+zLVTrzGL3cJ~#Jh_Sw1V-2A$3Jw6WcU$SO{;HgAMrw9Xj#e0wq zF&R%!Co9?0jk(SYJ^DWwQV42~*$^^ev1p?zL$?kl^IA-A$<7CdUYcFi-<(HqeBnjMPT-)7A>BI z?mXIqS4wzp0f2liV~xSC5&j5|_zJ4gB?aBwXb+p*c&Bc?_&X|E7tw8_-c(6R8`7)US#g)+i0ufMQwfkgavE`GhzZJdI zVFQG1|M@Vvgea!w21W=SIwJ&Ip;NrBH07~{x!v6rCKPOOH~Va31+S=NUb(zT;GK&3 z^7O%0_F2u(xYkG&}kf5eFSMsPATR})pOMO+*NBv z(s45p9QL>LF&nrqJ`-O?_(Sok1<>}&l|PN5wj}1}MtV)1iy2obz{3 zC^4ipfJToUs_G4EWRTt&T2pWd34(T?Sy7qJk^1r$LV5mccNA(O%}-=g>|DUIl5r=s z12;u+3a%|3ct^WIZC@A?)LkT4U+vFg8ZAsQlQUhfMlOlmvyB7jWI}%o?~_A<;D7GA zuKcPH_(cc{&IM(es#nW&i#g{*Pfsyfi-w4dQKJrCr4ZrjjPyghM-PhjnBnUYj}V%! zTmfx_@DZPyRI#d7`?@53Qv1N~?&dT~p;5!rMk1I8;gqFW*k!m6c;B8@nT<7p@%3t-nB|5`GM@pe;QICcTg-TRYatD2GR%Z4Qor=?h-~0 z^l3MEU7+4I%g~0;N1e8Ny||~O)UA(2>RQx3Wt(niwT#WytsNhxc0XkpU1`piFF;5< zS&)5>0TViRgeb>>^TJ)T?wxTh_g}yta2KxJ*$!{}eO;M9m?G807^`UgIH(2d>WH&# zOmylet7fUxJw-4)`PNHUM`E=FZi4#6pmr}*2dt0iG1X}GUK^HGT6wO)${hg-m#%&N zz&iq`y%}cgdd{HO0mx7u!q00z5sNB?1@NMAWuI8nPWmM~z%-h5zI9}r!!rH=U)6wL zU55Qh+im+LbgK5_29=ajAs>C^w3Drb0Y>jO9wV}SMMdd$Eus?_>HeC5BcKpCsaXgc|{QS=N!TRxEIp4e7@&4QYKxFrA|MegDE?>X>__sg# zx&E84uRTPpIyZd#*c-3h^_rPn{-3_d-2GiLk>7txp7q)>c@!zqi`QR%e=LFOfB8{= zuU}kGpgMQ)S_GZ{zkQbXw!8Kf&3fZmt+zFc(0zI2kL)=?!~Se`R$Au0N6TCN5nbd< z|H#Lrf_sOT>(?29gB)uFWMwudd9b6fnOO-@MkE{hkk7>tpd2&WQaT94pg2`%<9uQS ztH72Ri9v}JzgTn@UY2v9A>8Arw3~M0ZgI--br(K+$RQ&yw$nmmGkNKc|p3*Xmie#h@KN+A)@stgz1 zj&!wb8B$IXG2|obSuIIxkq|1_NRPOSPUTdmd6ke$s)xd@{WIjC?oA1SSoNvYiBtkr znjzlP6$uN5p1L_TN-?nN=*B>BoB%;d2z9~V5KeuRaK+{qQX;c+B5F!QVqSDFbejjS zo1tc$8>ijvds|F+BO>GX8U))9D=78BQMfv6ohsYDdnsrDnJuK18S^(cGGJ%W;YsYJv>3W z8$<$Gr@AU=7)vYCQy3uW{f%39UdK2uV~QZIYDVl2EX$Yr&&#wI4W^X6VNU0&{(UN7 z-_FOG{aMg>5;;87 zIK!)5RD*Vz*_M;YZr=0-zxg18!DBOIvGg;*oUGKe`K|~*00<5nz)f|RbFEq=7b3Db z#l&5C*Si7n*D( z_t2e}^WM~W*qKi0@pS=G9T&tqwE?(Zd=60|G=0d zLY_?ResoAXXMn1SUco|3$>cT+8~M|x)0O6G9&R+)6V+SNi~S9j(VU`VG|M?~<1CrE zr$he_p0kW&V65dHkg2l(S8BNFsM{eG>;A~Xk0hrkN7Io5tfD*0qaWO%6KrrH9NNnr zI~iv(E7YG8ce zAc5n3e&I0ECRXi;OfY~;{A+petE&}r0sy56;b}&RmmTo624TnXi*rU)L8LbbIl4E# z$q+@@Oz{=8#%L5W4l*q{8oSA&wnQeAzE>K_h2FQ3WaEZ>L0;*=J%cOA4LYR}iE!Mg z{=Eku!GGZ_hlI`db8V!u1DB>E_(jME9q>ZS#SHK)y)IcyfQPIy@t~Bs2wqSKMF1%` zw4*dCDL*m6Zl}|Z7Hu&1EZylI zy?ZTqKB5D#yYL-ATi_>1I&>mTqKK|Cv(i8!9VGn;4K;Q!&xpqU1HP9a9E!9S%#M19 z-ZdRTSZ0XH!@+L*?#&XTeP-Gso9je5+Qn zv?=~!_P7*mpv|N(-G$+Vo1qj8B)Xl1J4?mo2v9SWNHFUEC8>Tp92x-d8uEHzu4=1UFG*iS6vjbJ&OQs$9i4Mk6 zO6AS~A>{%&&z4$ef+-36hQKoJP!QhtkLY5Ck8pq;c?^Y?QL zHFr1B$`yWJg2Edc9ZqG=zJLB~KZ=||j@qx54SH17{!J zmUDh&2}!WtYM0}>-*l12nGrY|4)s9R(VYS(z<0kv^pQSw3Ii7?iKSr+rRMlhls;rP z!jIvjZ#2Be zRx)Gf^2-FN<8EuNYCFcI6*mcJk4A|{YokZ&SF0b*IlVXW7dF%G`#$%BqzxI*W^@Fr zqt5qcom(+R`oaPKSf4oXbMb=nQh!5x#Z?;BP{rul`WAEJOa0l!{&*h0CVqSvn-eBk@mtLJ;6XK(H} zl|SX_>$B%`ulnk{E+6QwZtWq693N53KmQv#f7OilG+o5gW|cgbUb($>Kysv;&K5BZ zc3s!^q_2Xg^+)VJ-XH5^ufJNlkw5E$`uJ4>*a38DSiP!GM}EI9u7QXBQ+?S)-VsWv ziab>l(Ma>cg*{x>G^hHRJGwhcG)poh<;Q4t>5ED2)jc=!DG81q9cu2;H=7&3+*c3n zCdJLtbmNu7Pj`r1zuYNBFk~Q55Kn zd+$H=fFmighV)DntA+0%gcdHhV6|~et>x0FFq9Kv>|-^Z9q^Wu-=F0LUnDYkI>;S0G!j_&1GUbrL+x8=`gu^3SW(TrF&b z-C%<#U+n#KVK-hB2fC{>0V@m8GP|gOOW*r=Pfhy_LIsM#w9ER4)ZfDO-J5F1Q9EXr zEm6pseR%;f^Ph`m7yUk_FE)(=#8<;dz(I%K!F-VTBiuO5S#9dT?@VVDEo36prwK?SY_xqL&XalqK{W6uGNDI%fGr z)BWoFJH-OCk%MjJk>2V-~$hLe!-sC=Ef0O_$06sN><-Nab2HPWUk! zhbvFr^BaV?Nxw?Nnmu?ZCTs*$9S&t4Eno2`97}R5| zgzMd?W6T_uUSY@_E}Y=Lx&sfDl@T!xuz$F?QOwy@4E<0-T8`yGc?b_F((eOtaRm`{ z1zA)WZ9uFk^x`8#M8kaK_#S8p+XgQtEQM=TqEQbXt!!zviWkb@84agu`-m%|wz<=Y z>SZ8qn#j5UbYfx-YNJFAds9@r5iQ8BrGar}^#vW_`E6s~5lF4tT)HZ)4L44tqtlNOD$#bEw~d6=DD+HMrB{CPhvr%j@D$TIWVvQhV#jaQj3;6e%C;H3Kuo zH+s&(RBx)K0#L@OhzlZSbAhacfdfoXq@6+?XEfsy5(S%HH)=FL`6MTZ=fD?Fq*BVV zkOn-l#4eY5^uXv|ekp9tICJ;?(I;-pN`}kdIuh6gHg&>@sCerI^sLnF|*gOOCqY=T(HD z(YBA;iJ>77sD-f-4OtI91m)amQAV!CtR|3T*?1K~&A=T`8%a?D zc0;KYm9CRe#powa#R&~Ko0lLVwfFrl#)_Wmrn;gXQiH{YDElQx-=AqlpZ@l;QS~FN>7+kXL=YKX7&Bd z{Q$=uP#Y4GgRr#($8Lm9PoRrxL=7jf1qceMIdcL2F2tS&Os1c4V{77(HX6fpi@;{Y zHgE`YMZGlC)z?q_o(ifi*1xnE9{_zNv6WGFqED4h^)=IU@QlCMe+2CTHDJQjm?_M+ zB0*s>;Q=k$P2fNwOOj|Qj3fp!y#g>O(=6dwu=N%(J`7cM{c_S&=!bnA5m#*7q1z3# z@h%ES;9pShKfU->KL;4K#O49in9mSE?o9R%WvaapPv17Y==XZ5U+x*a5Y1}T3%LxH z!}cJE%2NDeSlbylfz*UKk3z2o`R@cfF~H6kSAubb5j~HXapVP|zZm77VGoV#5r*E7 zB$`}v+QM$5WQny9fMxZoQLOD_@k+fFU5HFK0v0|L5yipU-XtEl@o#VW(W*PWWGfuz4jASToYf?_6N-?` z&LBkpY7!b|9NjY^^Zf->W%P)oZ=Ka{h;*n8BTOQ3*;Kp! zCj82smu zUWra%3OAbSWV|AT8T8lqkZr7&jG%try=MBmFwoCgk;5oWaNxhQ6clBS#X z%#;SjL_(MJ=xaLLJ%1F^qo82joU#2 z2~kohmSQLowiN-)0elAC+GvJ8qKD#mEINv>4x#%4naFb)NQG95A}zJxcIwSg17Il| z;>#^~7J#b+J>#NOtmG-F>}jflo|KhnS;e^9KlQ-+-|kDDs1;A8rUDulxHxW28Tr%> z5_1-r0A-MWay2cJ8=yT^qk$a0rMA*?P(FSHc10OO6NDEGlNv~EI6m!Xo49`4-AmER zbpHt!?NrYgv^(#C@D1e__NJc9Lf>5d}k;7HE zeTs{PhdBiQ&bUqBR!R_PouR~F%-!sw&$i)e;FU)@)qqkojfX{9Np7GbI}iT;VbT7r z3=T>V-y>wSNL(%uC%u%Yg%|*UNGn4H0)CntP+~a8i|tlF&AP5QgFGIHdD@ z9}}cyFzSUx!bXYpNK7L!1k7GBz`axGrBETd^^f0c3mz>B6ygd8@i1}4$(yi%n2ETz zuw$WnPX^9514$0r4w5Ln1C3?4(z6&w^3#A4}bFiSz^bInhxC5?md3+``fk&xCp_%bb$?XsYwh0(= zWf`jkmhp+2ji#}8D>z257S5P}j4@Kh6lMsZo9mzdAURw)+I^HFDGY6euSVuy@JSJB zQobkL@kZ;T%;lPbIVV)$0^(aCPv#UOcS0fhkz2Q=36d_y>GCBOXB7AWV;N}r;&*u- zohIW^+CaHLLr#DOVy((=a3=?irT|koW8fZU#USl(h)+0p zz)%V6U%+d^JRi6%D*_>#j=a9Uw=Bf{j409B#nHtne|S zk11wgWcsB2BCDY^+LDnoZyZwjqC9C7GG7)9zzsnORxfq2kSu;yI@Lw33tX;^w!dur zp12za2|fZ89s$W|YF$5&qoNRj>o-GGw#dVmz>FvyZ-_xhxO?#^?9HAw2*y^=2@Jvw zT__`+thm@RS8ArRkWgkbKqW}Zl%5e^+@}O*zfT;Soqo>GaTO1q@xj9n#DnKqg3j3L zeak%gSTpIyXT0bX{$VrSe;`kX`EPekv^NS?^5NOd5HB*!sb_jG|1Uorvaf~ zHF%p@Cdr9liew&I5*!0pV`ds~&-E%{(ZfVsLjb*CEXqgm6qLbV%R!;2K2Q!qiFJou zCHv{pCMBqV4*)@oh=<$)>@qiy+31Ys6*E!9{r#8b7}TOGBc=$;S!P9In%L*+Q<11kU;IYLB&{J>5a zk^--sH_!M*^m7pb9ZqGi7%GSz%sN);%_V|mt>OPIZk5;ZtelfkL6E~XoGS2P5i1xL z5!Bo~Wd~X!tlxZ@C(i+$LA+nwC@o#y(*+!Uw0$Z5BsT!+tdr<_lC=Ott@`JuoFRdn z9kOJH&}!SS6U%(+-PK-b$MdZVas6}%WazP?(E&u|y1o96F5pz(n@;+7R|89h@c%db zf$!!56roQ?{`2B+^_MA2iZXJV5N2P8K%z81xfZYbcd-Se5gc{R6h-w;+f|?EL=r(w zu(QPOl60D&=x1t;v*QCS&u`5o@%s8% z3~CF*8(qwB0;85C7FRH6p{#HUD~-N^gq9#oR(i4LD_EWe9!`jB7P#4zGMp6`Po1!< zmwdH)39S0~dMBl&{2%G<`+om6YEi$5fS~X~u#SM5MM7HwS$qaX_Uw5dTgo{qXY=w5rO}sVTTe4P(Fy?@g)^cUD60x{Kx&`Dh^T5(B2*jg; zt6}v=4(&qL^K6I%WeS`U4&zyYjD(P<`;e6Ggg7#2SU$&eG7u^i4xo^`;7|Vht&A^H zSx7ZJE~Hy!2`n|Fns8$*(6#m{L1LecLT0-0zPQI?E%CE>)^NHwcs>EkcmEkeJOD&p zh36(z5$=G3Qn|{j%tqAb5x*?0VG_z*nXF-|1(*WuOy;ISv@ggf8Te=sLMLH6p_+Vw zoC1VucN-Xy0)x_($Q`xLpWTHZgxHmGQ+z=L+y%lO3q9w3KlTpGXO1^nO_88|(r|tN zr0+8)7Cg^X3zSfv@RVbUweZ39XKfLkdKtWtNwQH!|87kVHd<0C48$c=5!|tjrv* z+E(rdBhSeeHwv6gF`}4_CJbi2{?pOYlO>{;Ga~H_9gc80T>9)k-T9ho} zl$+nr;%OdbLH+$(YcFpz5g!S~-vPFZ>IJluyCB6I*e}R%3sOvlBXvg}mH>wAaLTix zkH=c7a-p`aX&3rq)uvK8HiZmm3hb9?p{`ZcU>8D38332?@r#7UM~k($0EZpDD8y9K3VM%g8w10{%&89e2NMRMvK2zo zqq%_)ROzU3sZZe4hT~*HV!zJ`rCy{kdX}K?p(%lZ)t#y?j)jl|ZI zc;mO8X5YM*NaJ@FfyO`A|Bpm9|3AbhPwu*{xaqFre*|lvEt7{w=G_ zD+i2dHMy7b*)I99-6+c9Q_eGjH^1y1Msi~Eu#Age^M z9_l&ctvs9+d-96El27;Nk)z%g;ej>?FGjRM<~b5(07$@SP=r76a7+Mv=n>w9{0NZRhBd(Sm5=JsMc7hb z_iJhoW6wc6(FLJAA*7Dc0aa{){&*)o3Gk7!nwK%iP@ zUcK{KB5>zea)I7~xE23Y1e!`mVGPtT$G~)(hCw~u4|innMR5N zf9=!nsFWH^G)NgLo0+nqC@M(d%s&%WRsuJR<%zW?6N(U^z+L3$whNx>Vm3hz>}4iW z#G=%cMfw<7urn~YK;mp^DnJmp39L|9xZa@Qc)xHEC4gI{QBp;jiL;$PUy4Ia$_^x& z)TrR~;yfhN7&4CGTi_yYi?h5$011(twfDbZJ;oo8UWmQ zBclb7@v!SSrGO2&kOvo*zpyP&E?_okdXUiC;<^g622(MYjzTCRx)=?3v*mu4Fwh3B zB1a<_FD)4W_d!&XVFGzi{lw=CW;dK@t^rIi!LZPq>@5UJQAvX`ol!fns#OfyA1u;H zslQ-#Sm3CVjFEya2CGy$1gEc;a1%ekK{ASXI_QAvm=GG6OZ>s~l8<-j=du0^$e+NR;Yvn28XRk8d8D55;vxc(|6gzU+wcEtCeVNBfd4pu{QuJdiI!Sas!=f-$cR^b zohUklK@{HzOpy2gESJvwm^dr$%;F3uDMiAs>YKK!Z7N%({j7-07srUW~E zO(L3t9cD}^H{zbmD8F68DFr4x znR0_&)I^Tu8c%tvzBn6sJ~tIdz-$O~?lwDpuKArs<}_2ejS!1Ib069S+6r(F1(N=Z z8@QKd$(`9AbfBRde12ewsNd7I)qV8dbirWl#E1Ejq23mr$0t#Nii5lji zE2Us>T%}d18qJ|+iZ&_KOR+EF|ASaEQJz{TMFE=Pq>n_hQ9SR_zvqL928! zR0<;2MGeh_9svurcVri_%;Y&svJ4d;@#bk!0MXI$bj?Mlb5n$mOZ?riG)HMfEho4M zi4JEiRW3fzxd(F^0&p(CKWe?B|4r-YX5UM=M|q@y6-`}TBZFNfE_7VJfw%MIWUD%= z7Mz32qKoPZ5UoSm7(g67AT#LCLI8}J+>CXMS%LY00=J=wUPS#7-K3>qkZl4;;Up_+ z=$i3^Rs_&7bd=FBjd-E;-fm1;=c0%2xOx2cdrOJ2&}75go*|Z^UFs~(*ADp+VTbgb zI2n}&dktWau+3do%OHHk}PMJWx}L=|j=>?^Lp%vn3u z%Uy0Ak!Bn>z9?py*YBApx@4tN;Fwkt$JMQ~9bbrgfR-398tt|jo<1w<2<$p*Sf73O z@QyO0+~DvQ$WZ zk;R(9eAJ|7kzu*fT=WIMdI=rUQ9UiIUIQ;`>HRa2Ke&p@N@kjpf5U{EsTaHqd~Be= zIAn>A8z}>u<15(L)w=$f7-hWaOke-@H{VH(P*qU^OG;O2RE6$0R8-kk8}~>+pDBi4 zF%Bp$iE@d+D5Q^&xnc`5tA)eO>jDjMiKxap@E{;cWJbrk^tK>~G*aXWPR>!taFf9y zoPOnReua`S61YMIvpA7V2fipQ94g^dwCJ57)fl9fOf0`GL9xE5+i5(A0BXW>JLW1_DMXL3S*>6 zy}6V_LyDYbl)g zyP~yC97e{gp9G271yLvNa`@`PpiJ)<6Y-~d!W`pWI=tO$VvkgsKnY$Z;CF=&x` ze5a@I@0Z*CJf#(M5LbHj6+^h2E53HJU@@8$*$J{90ZLeRgt7UoqcuWmgIy`MJ)@B& zBhWFMs(j;1pb@fsOeM!U+3_wH$HDy6m@XE zBQ7X81iQf5E(d&3*pQ_5EqDd71EpY3REAL~Wg55yFB+HT)jko9t~Xc4r^1wLMv1)@ zg~K2J-xDb+$(&9>a4DWy4J<%xb$JLc!=1?k=?tkNL?}3iAB(Bo6n843AE-Omn?a5* z+aerHHulVRT*wa!M!p!sb+iyVWD#8$vDse2slD@d#CPy)F zpbe}c)3A(0ORx-Mk}*MKpIFQi3N*RBV0m;k=2jVw;A|q9=tp98I*~REWB24w2FEMKrp7L7E*-TPzW^OfKKv_av=~{0(HV^LBn|m9YZMe zjQ|7#WTCczxy6{32r7xNfOqK6%q7??bJZ{YccsVABtY#HNZ|>!m4sjP9}hwWoY0^s zTON+kpfve}n_eEz1qfAOMrtTsp0U|%w=Xi(L2lAf+kzvI)+~VqGL$enI$JT2h8atd zo0W;`rX71f9KIO;IBAdPXP`2Jb(yTP$L)*8guogfjzAqL(d?rixi zLu1j`kVLyCUiZAc5piMO^cjO!osfjj_%U&Qt|ENZA6|`Gq@8`jU-w|4>HaH%-d3+4 zxj&+z-#Pm)`pNc8@Qr{_@=DXx_L;nXF}{&I1LyrH z4uk5}o@j5(dLJUWhF1w3K8CoIkEEGpc~5ab-F;@Z(C)v_4l8J@fv( zr~0gF%XFF>w)39dnn#zUr270!#sVn3u+7Bj-qG^!{YL-Ucs9KhEj%zge7XP6np*c~ zLzmc;m2`;C#tsvHIi7orM0)@Id~fy|>D)Aa^cB6mHTFL34=&G&SA39Oqb=GF+^6n- zF!4xKf#%J`#A3TLt6vpBT*bs)>F$UMd{ME`S`n^6Q- z%Qs{}kgQmBDvy!gugLDz+-SiPjP?4w&-!GIC-+1jD}?BQ%N`HeIPkFlYAzR{u@Bg} zfpR5mSnZ3}a@o>`y_9dE#(U@U4X^!bepyQ(`mk8J^MN1hmo2(&`{Xt0l$Rws13j3@ zJq!~91BB<$6^9A#S=`)#h#ZpZ;WKBRTsCNV+<*TY3Aoq_BM#}IO&>{<@gJcOT!Z6HA)iS&9DgG=~j{ER+p!^9Px z!h`evYlr@~%1NA(Bt(00wS4&N9j9GF5+3W5QUm&mmq87L-bgFX^A!jCR#g-k)*<>> zK?wIEC_^fLtWP|D5sx9FmQiyfC&)S@vY(lTwyYB3#jTc@kNy7YmX_s*Y84IXxp*oY zQz}cqWTBmbz?zAMp~z${u^(Sr`+;S%BYb%HDaNm?B{iXgF4_;Fs1WzMEWbj!Qw_;G zhm3`%d4s&jc0y>BH86+aO{nYRQ)-56=q>z4BYk*+GmOe-W5OoFdGhd%oF`SmvU2WZ=_bq z*$IoSmTPuUx_~X7IgPArBe>8w?SI{OWj$83exNM@48$bCGk z&;Ob7b~3*)br^&4aO50cjR^M=_N(L}XcU@sV~TD@cgCGBsukxM7}Rz#L_|~F>L4sx zbn;k*Iv<6KSu?ACUXy+TV_p_=q1+hVvSE~4KB{Au;TEGb8Uay-wVUn*?d8P7y1kJ6 z`Uj&ucAj0-55C%NnDSAU8Pw|KPNghi2bBg&##>3xJ|Bv;N0{?Yk#HL}$PJvqBu|hA zy-wuE8=(qiC6N!ZqMM|fF3nQh(Y z1Kh>0`=eW*{JmSf-d9PNHVI@Xa+h`d!r9MW+mbEqFU2tw-u_8E!ne&|3S{{8$xSnF zL?*lylkn;O4@+pm`F;_~FbNdcJ4v`kJ~N^c{!%8hx5YA?Dk2)*cGugJrv0UxCh-=N zd~R=~KfC=n5fDFHBy{tO2#8M?+2CHAWP^KqindAM#9w{b+qMONr$jRR$jpmh{KOB4 zi3O7vhA)E*L$fgO0rfCzg>kJ6QUE^(3d?9Pe$g8fp;!E-CLkpym+j^HD(fr&`S=%O zkBL!EYV43s;|noWfEdDOZyo3V{GAiTm9d7B&U!~V-nb-`0hquJ4R``pfb+9*y4~Rn zm4;CoA{UAP242za3-s0!67ja95FHf@E+Z%RCC~2tqE7@EN4wVOzidUQqdJ0ua3#5# zW)w(|(=(1>WC#q>yUNqM4F`~nwi!RQurKPWQbe9D3NUi0yiCL>}xjzMDXeuf3jeJ3$a10b{tF){I!%|4 zBJ(k0eRVHV5V%m5H5KFwa9&T7Aj)V0b=kXw#FwqeZZiqs*nYxiNfDk{=9TIek$Zy` z(+Z|Qj=X5@OinNd#xwz$d3vhWr0n$8xvkFQP6AhVXdF8N5(44?0%fB1p-^DYc|l>7 z8onv!5b09!lml&mDUx5N$TqcAM0YQP#28s5K-cT~6eb!|qs`eff&JEDN&-BhYglU- z)={)m_ab7>KnjWEHj*(+^-c>eW?4<(XIHDkgFjn%^%)x`=~%tLfm?c~KSHyEr%{e1 zE)l9>63d)Qb>)B%2LA)FB)Lkk99*O|$g<(vhV!Lx$Nbx!94P&OO?1bU2b`ED7@{1 z{XWA)sXicwB$=z1CspEA24oOw0SmzeEWUIoI~}br0p{1Q=Q+B zj7c)IQC-*VZsSBBL%!~Qxf+=&`(=!({yzZI^Dg=c8HIZDn2dotO&qr-<9z6W>7?Zw zC#rdssd;!hneJEbVXq+Xy%%eKOipYY0^>VWigC>{D$Hyafl_m*dB=QXS~9mM%8Wcv zjZw%rr#TpY(({Z^5)3(Hc}Q6ZLH>bik71*G*W!ynooAis(5ogrdZ{a!(KO&c$tJ@M zATGyT;{m-SIuu>*jYB=S?42z$Uv7q9Kw^-WaZGS2D@3_6efW33pT?@`2AT&%Gmrub z$~=zcF8bWS6dt1*EDO5`mx2mO!0afb?}IR8l4QK{OMxn37Y9-XVe@L38z&O{AqXAe zU65L`M8_b>p%bhm*uWMd$U+~uOFj0H_xL3`B|s%R$}S)y<^dMYK?#MbuLO7CaXd)i zRhX*45QO<9x{8bF?Fe`udq>tpY6wV$KSpJlDBxRoi{A-kF12tLA&giz#a(a!+{r~0 z@XYJ?(NU&|q-mE!Rk2Bz)nNR@{j}97s>>67$@{7f%L6-wYNJ3Gm3o4T$YtnDTvUIe zI)H7vZS0E-{!DA-o^|2+A;B-2+EPmucsfMPMkgW@Y~-o1A+)E^`g`*e(ypx$^IHl1-eYORVAoL!K zKw3s~>vC?|a4zGX>THB_GLBebM@tm_O13c>@!3_#r_6_c@*VeKJ4qin>}9oDqB(pG zq{n%>NIAKz`27t9zbKbJLPUsw1r|LGYhY!{8fro3SSBGO54c_ssr>*zS#^e$DG6EW zJ7sSX1SdJnW;Ir@QY#NfvSQrcvGeHc4=pAoAmTGg#%ZQ!RB^lu6bcJN-pN^di3;%_ z;E127o#^<2To%)8KsWecNa*AQJA+Ibxu|kmQbBo7xbdh9`Z`@wizFzmSyP~Qlo1$w zgyxZ-AMmtxDMOI^oeY65KM7t8WC-u$(cy#`%f1$f6OcsA%N47gr1wL&*`& zk_rf`AG3)~lzq6ymI#&d2n~QNXlD}U3>o7x!L>B0j6;wzI@12a-TzG4Hz*M#0uqDx z>7G|qNsYou9R$=ZYV-zm#C{nhc#(zm2?W&cWQh`{0_bME+WYZUm=!&x1dK0A6I>Wz{c$RT4Dg&t7(PoAHUtynST ztmVUkMI8$ww4h$b0F{y|rDX_#q+!blzDleZz^ODx0^KY$+qwOLcT%vdR_c(lyISfH zKutN!KcYs^E%NVe2ep->a92p>;9ev17IJ|aX;I{0ns)gx0f=3!imETl+p(^HBQ;6Q zCcZ@w$`sAha~FfI1{Qtm(0eZ>D#<-ueM!lxww00*EKErmw1z*5=VnFK<)Qq_9xhC* zGCzphnkoe>pOA0DBU&S8G{#CXx+syvk9=&G$%Vww-5+lw&Dil2+?*>>81?YSCoS!C z7{4WkFye{TJI^q!Gc{G6#oywC)aGjyEB4rer zwq=6=L(KvwpyCCSKnA=-!yOzst9@LiEZ;%Bv8?=FKOo-cLFleV0K$VYsDL5n-6!$b z;EBkr%Oa1VLx{UEYm2=TN6ibR)i3%lcbyk*`=FUF*?s=d?JTq9q<8qPtF_9>uHUI_ zk<84pPAnp@wq0Itk@$#QKvjR|51wVqk4r3A?$#bm7Qet@#=Z70?`nY%sju!-%eQ7`+7`HM?qmlw;PCfYn!`5Qv(CSyE^;j!7`*itp{lWV zr}oc({Cky?Wbwk9jShb+aVp=d)mHuEM?d`2H;p;jmvs1+%wT88`L$*MlIozON%Lj` z!YyEE)%;DMVTP>7rimE+pbq2&I~bkcG3+VPcdq!S4avij{z_9P1or2h{V4 z*;9S>_M5%lNpMHO4J-PQ{%^FXB76RVgEc*H`4W3xMDeYwzrubDAiDED*pCNNb=sI~ zAF8p>v^_WT6)>}YXmC~m!}YKa;VP{s@M@@(OtK^9nMtC#vrD%Pkq!#(r909(M7 zp|%DK@x005Hs)!A@rNpaF0jBggK^mYdRMz0rn*i6TScr-V%j>^S)V5p)a>F@wM9Bx z@{z3)5-v`%x>(k1F93Z1tMjiO>&TiU;MHq2X6j$^3!L>>AJ7%A0_^p0%+Dfh24jS| zNPJ2WzCvam>kkV&?>s2K%vgfTf>>>7aBIj0(a}LO=8mR&14Ur+2^^Q{V72^G=aE`T z;M2;h!o6g9o2gHcL!X@&R_}6FAB&hv2QFTQmaJJqYl7Z!eCu=nCyARdPTBhaa1aEq zbNIhsZvehk8@Xf>O8p8gUyy=t*^%5ne)q19OAg1{2sDgBXFO0DqOv1nmN& zk|_pT)GG@~nU|qCG8EKS4cN>>YrFbXO?)sDu+XLc!|{ATpE_!NUcYu=9qfu(6GZj! z$qu8WMiyXpiQ1|{OV%6-E$i^@1nQSXJ+OdKv%sktpt4pDs_}o#ut;ls09X)W3Ohq~ z&{Y{T>u3z5j1tFE=PUDUT3ybBiC<0RE{MCu2G`SHj9ehtW1SB(>A7I6xrYBd z>wVvNXIpA3jLQrS1K-EW!bNE@lYuNj6+j+ABCA0~HaaR66h;mhR!~x=M9zY5Jm)*v zIMhJ5=;mC5%Ya{S%ETy*cnMYK=&aL85>B?eC1p+fU(x76&&f>ub%ec;17e;;1||s)m$m4KD2T=v=``tRlG#bcNo)LnZLIL?EI4YEl z3boyUh{nVfY57*{-Cj`e<&N|4%x#o(_+%l;ptU^jLz35m4h)0W>1_72Aq!^};)y7_ zb@(ehEfFSS8SGC#SxcE+soFRwvuj)Ni!fKQZj7%LH|G_dMs6UlL&&N$NcD6%XHcQL zeFz&|eyKJ?2}wWd-B#HbUauW4wc{D3TAjlM0L^rE`&}gz0vW-TC*oX?`EM}9*%Jw( zt@_vh{vJ%R<*rQozB-uPkvuwTdi0S0jRguivnH%0SE0Za0GMlj`u?Vn5ZTY_`d;jP-uI#?Es=6ij%+L%&uVv-7!86R(nF1?c(N@LzTytQ)@>Ay#$?#eCmlwzFs$8LV&Q-hOvYOk-mqu);^CU?zKk>%OFe(6Mh^8I9D zpKHiX|LA-9%cA_Yc?z^V582;)ez2V#EKfuBg5(AJ;@9)ra&t~>=jp`uZIO)ZOnW^;64eu5T=)g@k8S?hzxo8dDmEW$FOs18avxI}BL}Ss zO-kHltS^StW}R-o&?xZSNfJb)AcKN?UW^M?0L&y*n57cJRzBH+IKfBF(ojx}nnkP- zx!j+B?LNM92U6sFA2su7!>zL-f+~Mwcvg=7ACT)QQ zG{Z@Et~(bmAL$6x@x&At%Lh`#O0|c2CM3O&c0PdVX~b#VEMn0L^C&q_Gi2f$ z$L3RnOu`Mtn5XNoV+4R<dLSlX5do&bMfxi0^cNAdFm?LYna4kIz`T6}fE1F1;pqqk9c&G| zU=-pTjFB8|$gR7??1WyCE0_sg4=oCfN16|rlut1Pk&~-R47(V^2-fH(-3GvIf|+Ib z%=-FJ7!MXU$3wdW&^j4ngGcr(TLYvq4Is5*Yo=%7LrMWo6+C6**LMD3m2Qc`PQ%{g zgl@Lc*n_{#YzyvKzffREaIO(u9D4QOJjeBly6F_;x;g{4R>XfSjPAN z-M=^7;VSG>?K)0_(j0bHf7&UAD?R`=CND(+W$wX#^#IBh-h;HTi#~GId@G^lltQ;E zOJvPp8s^Ip{hW#_%(tRuG}%iw^1R4gKsJb|n`*0;7BVkA4=WhVVmW;fY=Fm*bjiXS z>|txIlL}d~!_ES)85<9sn^)9mECFnH%g@nDl8*omjm8(oZbzy9dJt7chNqGxpg-+E}CD8#5!F0-4GqApV#ufuh6;P&uQ>mum;LAWSGnmb+E5iSxxDyn?$`r9| zd??~8bnS@9Su2K5AVk_a{5D)MKoPdmwG8zh0Bc1AD@s4(Xi$3n)?1MAxTiowAPe>^ zbhXewCs>tEOyHBALIcKq!pxL2$=oIz2g2J|V6UpK0HN2r5Lpx zS}lMd7_bN>RV2Yjf{zGES!aNG{Iely2rXpZhYClE=pIe1EBcXbDP%s9ey_v$_pD6g zsObjV-(k}fJ&2%&deP)awwcG^_iEECdglC+@zXy+=K!zm=^NB~;(O2oaRCa(Wk~!q zc^YI7qyObbpvm&!+M)?fv{)YBvyee3&(@xhh8mF^$DS#_ILP3ctVlJX?9quv4!Sk- zy%m5*MCenG+@KTtZEE;oLY1j2PBRm0gw-QBJuf7(FQ~M%DP@pp7%w`Awa1Z}sKk-c zWc;OUog8Os(eR+~ju1mWuwyqnAK*+I48zFSikd`M(2thpK4z$t>;W`JDgT-EViYks zDdFy{OZk{0$1OQG~cOJKJ0bFf`YnnhpcR@{Tf(dgaVX&NH-P)5DP^{Ymi-KJi#} zBcMG8_& zs~CG!&j16?NJ;OM<~m%Tw`{(9*ub$~wW-J+)x7>EJ0BoAOGXof9zOy%K!`ErQ_<_B zwcMU2v8m<*!&|1XyjTi!7M;K*WHgKP3&JzRyF#l*N^OK~2EENjtkUHXm*Dmxx6bc{ zWQA+|!rxfI_LuRe`j#|PF3u!m4lBIctQ*9ebcRge{Q2eSWdz`u-ai-(jG%2+pitDj(&SF&_nz> z4C~>3X3v~R>0UsHtQosU<1?Gq_*A$%jP%S@sB8Wr4xo|uTBLQ|A8pxs508U-w8xc{ zW>B`F>lRC5#tAHP zZdut7-Q)%&$S+T*xvfw|xW%2R225nTv~)C9T*ZR@3l6UDlZbm1aOPIf4e$Nmf7l!w zD-Aw$p)L%bfjmC*&FmT1JaqKQ@`;Qza6a(Q@`69m7i;Q;ZHir`+Ad0Ru}gdF$(kCE z`WGZ481JA@rkb2#;tM4qSeOk3vdfmA4WqteK6X9qsn)UXFc`eERr#S8zInY)qMTUA zgCqUn_!eCD_-5;vyLBi&+*`~5Jb~-n6YAzW-RbfA3tQn(ZM_w0MVhf4RQzpkO`J)k zp)u8$Kc6kv!yKkv^t03b-%^*zZ7Vs9#z1OWkH|6?@7GJE z=(aW^;in!N6GVZX`;2}+WQdR*5@a`hiG==ZvJR&&Ns$D06OX+2;G`K~AOn%JQgM^K z+qdQh+K3YK+OdHVMWE(fJ>oB**`qO=!xA-V`>}EDvjBZ#j2hR_iS2wTEX8Mrg^{PC zX=~{Xw+czMLB8n2ecZVik^oi4$sYHDCamvGT$}GNO*v8&p%;B4e*a=$zffctV~?ua z+Hb!LF&kO=ZvQ;|9MbGDsi-C(+6c~siu@rmSQxG%cEG6>@(5OCbF9?F8oyBwjA#hM zQ_p2XV+J>4#JuA%i%s`ppqlQEI6^6F#=MDVf6*yX02q!oG*xaXy)Pps-HtYufTM4? zkGR+45C6i_F`jw7oZfStrBZxo40#QORhQrS^3eJPhBea-@&#+IX1{x1+)IGHIbYMWiPZ(~|*;XDH+_b0MghGZ_#? zKi%$N+M^Os@BG@vy#@x=?|%3Rp10Wmk)}pVkt}NjiZv-mXD8e6DF}Xp368%U3b!F2 zCS-O?d{!=PkZCTN*_g2~R^gTcv}q+Q`s}R`1v8u_jb}0cme0aAkCJJb;M7)@720uv z))-;6#@4KAeIt98Bre~GwW52eNFoUVaSX8fH!xM{VJy?$@Snok%X^1e(k$Y4C>nEM z2BB$yX2^E!nJY-jP`Ysvc#cg+BxF8&OY%#aDf!uy%w1YVydwRED@J;dO9`wU8_gYa z{h7c5jmrR9sXQ_lza8Ps>aH@QVZrhV$K_QYPBT=DqM|{+a%e}>*|Fi+f)3M-GEF19 z>0H<*P@`(1Mv3$z43!%Rp?Y;vNpG^kL?~v0+p{A}8yVuU9a}Tbj_gnn88c4ak29Yg z=n>INQ8}5TDwfZgu{;%TAs$aCaZaw@_uwUJEOHDRf3cV`nAm2MSqTl&~&3Mk7U z%>1g`pNntcCZRR-7A@w(2Rdx)S!@J{oc>%U6M3%x7FaCZH&SGJ{tNwD_ydRlZAyib zK-WHwMx60*1*T`k{IOjH24vPp(*O%OEBVOCpGklJdf$K1*OaX`5FrM$J}=8qucI&^ zhh@Y^Xu8-0WslA>jEAvH<+q`@P-43!`CMhBrXN4(r}$$~g*^CnRur+Bz$vEc#S)WB z8>`Fy$34sF`7L(}&0!}4wO4c#bKW;0rE$q7yR(U=vph%X{|D?%Zz6cfcxDCwP$pwdB?eTMVi zk56Vl+xTc~>p6+`-}Lhm$^6Pmzo+|usSZ#0 zkM;JiZSL+rEN?S~RsL&`X9xRc`H18o%UQoKl925CsmQkLD^oBT((j|&Y~lYT7umn* z4}{12Z!&&;=L`SG);3Z2+R>cJJ0n$=?{xFzXCH2D30GNueV=N4aJ#{S{M@f@Q;i?r zJ~d}I->=V{-}>uYl5FiO**5+6-R(B#tl|5`pp`uAoH`?AovD}n;c)oPpZ*+H7@fH! zE9+?18@i(izU77JhN(wRz{${r+stE>v%K9p8ozkG~hhgbU?D2KzBCMfstL+{o}I z|8UQQBp?Pg@!rXH_F=<$R*WYV`!iz~otz@>SXiN9E@07@{384%b_OwRhZLj2^zcl( z!M2(BshJs(Rxw8YAtZfcroWh?*5Z>3<3vS)HIJ7B62udFGc>vq5<(ZpUz%$3~0U~i?bDwrLK z--mEtGgo{b8qFf*P(c3N=&mSsmRh8$`_a5NL9pndcWs;F4z;5^hD z5MkH zmo6SbJrMDwzl= z&%`!`fcYfbDdjSy!z%24Vt_^hP8)yrvu}SnHXaJ_P@qzEO`SBLzm%hxBP2zc!T?$Y z{`M&Iuqx(#dlEIT3m+}U|EP=&1oW+bAvz004`C$KtG4lKn5uK(Qg}DDbVzwRYd19V zBH`UFvKmB{^Um(61e;sgT@$HHSifC#^?u1s9I-w?IQ8vTblo8>7|{iLpB79 zx#DH@j+Z&>t?&HgJHXC-lC1iJsMIE~?>tZ(G{W{?!h!l!Vlxq!@WxrY2>ymA@sI-x6}t!?PH%t&Eje&%aet;*R$X9h9vzr@G#_3|iS1;&u?jt{8TL?9hA&g86$9t0 zm{5RYVLos@QweGog3%4E3KM%1w0||4r;cCv*RN!cI?c4*DM`@PQ@T40=TDJ6zMLJ- z!a>h^wGU1=&BT=89mCFGq=DjTGZ}(1nR|jtf#na~j&9oJFw<>y${#r~3>jU^t&t>r zrgU{p88ajYUiMQ>$zX z4C)pkm#co_f9g_ts)Z+~_nM=A?_$0F$OKIby_Q2j>t?6^MakFrK$!f~FPu_7FFZHk zQJMF!T4>Qneb$~VW-J7R12c$@2Qwqo$YSkB3Zw&$-6=9a;IZfxn3@DMtk@AylRz&K zQ_Yx7AHaT**ntSFkvT?+0od3L!yN~LRs&X+jND4q^Pw^L1Tlz%U!X1Z7=8smiHHB- zwcJDp)jzB5oyq zYy_dXvxs0K%fm=mnCpnH5z`jSSVB+G^$L4H7A^AwW82dqj02y@B({7V7b&cW>v~uqg_2~sc6>?kLP0HUh>(j5NM1u_`k){ z>lg|6Q-@*^mZyEI0+&1rnTb|11iqe6J-?XrtlRyxKNT)==dj$#=r%|O(15_#I;_a| zJHNH_FMy=8W<9&O#FmxdZIK{FtxfL1NE!%Hvf5_}qLOYxe$%C@3+~Ogkk%t=LUWD= z%R*Q{xr;?Qf+<*DdxCXtM-@5)Tz3et!nva`1~PW_(ThRm-H8`BD=!@Ba7NBCW}jat z3A+`xLj7OAwt}JCd_DscVVmIOJwe6=1m6Im(Wc6Q#Ssy_;t6D{XVBQwGm{MUM%ZY^ zC8LoL#1i8Tq{L2^Zn9Qr4g@vHZAD?Bcer^;aU?yUm{9;BZ0}ZBCyGb5 z2wJ#^$fTnH(Gs(<;oyiAUO3JlklO*%%xJzBS!}w@nh?V!MFFsN9LD1Tk@NE{84df9 zjG4-!=mTJKOBs@X{#W|UT``exnaz--*#SfS7>Q=*<+j6~U#)=@rGXWER%ZcY=?`8NVF<$NccooDOps)ztHv_w< zc8e26ST>$I-lG?e_1WEc2;77|ZZc23cjc!(tVhyAT`O*OcQjcmtUPA0%rOK%>O!-W zos}{H2E92SH3Mbi8fz7ot#AZRs%HJQx;!gak)#56%_BO4ZpK$QIN$IV+BiFv$u_N2 z6Gks~F_-qVYV`y93pEQ=`7Eo(%$ohrr;4rN&n|y_5Ov(*mcbUFZNS};%d}G5V(_fX zQn`r7Y`UGRtMQM`#wYctyAf6GEw3 zOMkV6j9>xLc|wJ9d(d&ngJ5anOF#498wt6ZB8`12Zh`C|Dp{rpJ%DlsAC)U);=z*Q z&OF(?RzNTLBesgD7q_v~Xsl<=BQ2sL@T@fw7ZrMnkur+DQE3^6UTG)l794u5q;&TW5x5Z=ny<_}h9o(Y0ner9+Olx_9P611)o%a1l^`>x@>D04~rg z$qg~Ehy@y$r-65^6(6_fYPr_)?boMT@3l6AWomxSd?)(Abxzgaa&JfNIDSvJJ2$c1 z-|4g_elc9HdQZm4y|1=Tp+vQ#i@~i}?|eO=(z_ddtvc+LFM!_96lXpPfb?db3qK0N zgOlhjH(HHAk(mT<@pr@Upg-4HdM~QGGvO8I>cpT`+&%U9Si{YpXxr;Mm(^-j6aP+N z)GFC~9(C_g?m~rUJ9EpA|J6%h4)u(?uYW6>9J3F(Hy1XS4`-*HnG-td+V5rySF=$_ z?wVx7-eSs9O9c4WNTVLPib zvcLSTl>0XxDUKJr&j!yooT+fGvnv22#t(3JXdmx|`uS0ENe6Y05Ih zA_(hFI2C$ieWx*4+pFBSCJw6ltpTd$+e4{8@qxhNGw=1PRu}!db={c;2IL<+?y5c< z!&})u42}Jf!1}&91JuI7g>2^XUSsB!y~c2w8`n@5DTXjMhO&BEF8AFA4D8p#?Zdj% zI;D_qikvv3S7Ux$Qi|g|Ddzjd=*@ck^w>9JGdh`e^^y2w)EGH>bEN!yyp$g8Ke378 zL7C+D*K4Z(D%^YlHaT)Bv#4cG50&-fe!^mx+Q627?W0$A*V1F%Y3tVY_rr-A^W7YI zFMt)6zi8w54`z25t9!EbNkc-=KM zT{a(oXjh|iZ{Jur@{!|=JnKI7M`8cz;Ic~I55@q&;ica0Su;m(PL~hIpPqr}eX=cD zm~Ed38~w`g*Z~1rFR5th+e2Y>o>@A1^zG(n;|NdW3rDwHV{02ZI6ZOI%Fco=gI2@I z#@;4J4Q4B&$i6Bt!AcbX@XZO;c3!Efn}-?fWG`KKhv9|ul69|4vB$%R&nhOilnv8G z#fO5L#d{D5@qYB$*8|tM`IUxs3u6Dq*ixV(CsUiw%vf>2f*8+I)jpct@S|_Wm&;!K z`UGe_yjjX7ghSB9PAluJRu#n&e4lc~&m3{D7Qp^d<>ulodLP6ulKJs=+cHMibJpYF zQa)hpC6FkZJcR(_CsX6vmnL2T7RSQB)m=zKg7uBckn-JSIQ9qkhRttdQ*Z5Z8_jQT zGMme#xfn0St3ZeO6ksUJxGhNE&|6g_m#fCLV<5NO*`3*ec0Kc)^PZM5Ven_r!?vU_ zvzsS&SkMQGJ%lrH3YFK6J@C7K@ZgKb`Vm;&(OC2ppv>m=;2?V+mbS7C{85QQy`kko zyAX+P#WVXRRQI(ph#b!Bs*?S)QXt8M-UtpVLlfiaTx=N2b&$_e^Fk03+@KTC?owWW zhTU@Tm4>ort)@FBsDAhG)+}k?q9Qe8;PUpO3yv2ZyMAz|Ou8WkP!u94aCRs zw+|2hpKm?z)uH$Tm^`lW)nP6O1ZJJcQED{$(Ql(=<&q%x!Yq}9mz{S#Ma1WB?q*h8 zqu1VYcH@=k!BRnWGEQn%@DyL&?y<^7U)hTXX_IxoRkNgPM`4IRH<4L0-`zJcT@c^)d!ST2v^Si{5F%}j0?)qM z2-;A>%q=uNqerUH16=6GlOHX3C0o9L@od-Qn`~m313^x@;i>$eL=GZ{Tho(Hn z0POzWVEmw~4Yx*BTlt-d+WuuK0YGRFa=^S%cE zzR9e;hnQiOqdd^jw^=20&AotahUszadN{WwUDw45xU6buU~H2((qd*dfc-S}=MfqR ztB+cnmQqKcT1D8nJ^Ra{YDq`BgRGxJ2f9FLP9#Lydao_BgiF{ay$gS+sPJ1JW?D$R_hWMeNZDm{Bv(qfHvg{pa z{gZl;+BU>wAYkTTnG7>^?EQgx{wN3&Ju;l`YmFibmf2J(#XWrszz|r8 zdLLw;a!{sWfE1c*_B6yPoKo=DaEHLFGnReJ8T20X%x0j?Iuk`8Vzq%~2tNxpAYJ}zLChm6G{3%+~d7y78V zPiG;ky&d4(&F&Eok!7pbP#i8*~;FtI{ zT4XqyMI)wLqn;{mO=CwIKgXAC(}>x7Lrc6TQE2?-*C{}~QWfQrtD-N{RfJ2W)=m4_ zF`-kBe_9yWQTx+U3A%mI`5t{+NaJ}S>3{iEXxqXWf61pd^DjN$&q>%XEy=Gxe(7+| z-@ac*`u93Q-~P@ZFYA22jxjiQn6&{YPb_|09;V@Y|oyzE2k)|1Y;m>VK~I^qcR&FXv{zzW_)5OnbX* z^|!~Kouq{swYI+{CAZ}%pZ(Pjt5C-O=#Kwgl~dz)DXBvJM+=`9+W7B(_-`MNJ=4Hw zcVn!Ho(yY|wIi&yB{*^>I~hj0fK5$|!FA=eE~`j+aN;Zmv_T|Xp-#4=!~N-kjZ0Y8 zJc8I0w>olK)U%voF4IC@!iYegVVT6EZh%Xw_T=??1o2$Fjfu9yweo> zgNq9w4U1=g`5OP`(02(sTzw3W)ny$uW-I+SDx$(f=tJDir4ywJIXuK zd3q|?bZ(~_G~IbUSV%{U2dkrRIBFP#(VhShi^W1>M0K9ZZNE_tp^|#5)mW=Xg>DOn zNu3TV!|(t!lUDz-PZ&{(+Z{sAiCquh{K>x@#-8e%v-Qkv>r!JrN}}hN6A6F0{i)#aA>h^ zSL%A&8uizzditG#wlJ=k%$d7XjF#`T&WHYZr`TRoT@%8QHyNnei1$NmvCd5KpgnRy za+DB2cb!?XycGCH`$i4+auFJKa-^dbfZ_U-K}MGsi-}dUJZ|XTUYq>;*$_o*QG-X3 ze_FRBeEMv_c&5E)L4fd+u(hT~GUl&;-p!a&(Z?Zh?+Ls3c6u)d;0z5z8&Bw#Q}XH! z7gJj6E3P%KK`O%f__~iqhK^7(aVWU%k;H^W&0k)cnv7DI!2K>|#+b(N33$)mLe>S6ecyG79Ny|dE!SNte72l<;W-RtUbDO z`JIjyM+vBfP3F2`2#QwF-c?OXst@ROx?~TH*qugLfWeJ&W9_KW?W6{H6M?L zxr-#|B)uUdMl1i^vGL=*+vM-OhS{7YchUzMAk^4hpHZvzV@Y|vTCwV?MvuSRNEgwT z$4in=wD#cb>XdtXN&C+_cYk%?Uq^;Cq?_!rduC#$5i=1HXx(&WcPFvEg5D`LHt)?j>6NfuoPDvu=l!O&7W;~bVpR5?VRcpGp z*-vp#FXPKj@i7}HXvVKS3xiD>D%zneM;$* zUS>1firj}mZT2+_Q9h#>dZ;|^fa-wFNZi9Pd<08K23E-m6NR^m9BlpbYusI>3>KX$ zs#Xhlbg4K~jLCh0mq)TPs}}2=K)m@@yi_E5fPTO<L%(G-xwpc|rQ^#n1Cfoe?U-^yvu|Nqqh+bjl(M=2~ z`VR7s4|vhZgUMi?Ml((2;fdD6T``LDPSkIt7>WSx0t+86az`0*pkWp$J(>8MT#>Lb zlb^sCHbTsUVt`=8!NOSMo3y;O$hi0O|9GWOYw@2Y=1Fd?D(6DR$8mQYR3q7BKwyrM zZ3H^0J4_j4PawS|D+5p1NT8Lrix>_?9z7wM2V zMrY_v5|;Uzv)Bsbiv1;+bdB~x`^McNV*K6C758p*H@f1a!(cRc#<}S3CZchcq-|Ty z*v~wXv9j=6vVWyY$-SnIUBX*KvWo_XzYOVDZ? zyUUQE@Ux&6zSC#8?ge2My-JLR+g9bHoh-|kHd;fVgdxuodPUj$^~%m`oy-1A_oE>2 z(m#mLhp{@yhGB=#y6e@MJ7vB@#1>jM`2F z%|WH5F!(a!(OpsOucxFGxLW>zwa#p+X&b5$DbmOF1HCn0I6xZaWG3iogB(|_Ba5F& z3yT{sUHurKp%N1Hi`GAE^gglC&?EgSoWiQLbwgS4jnwSItFX4Nni0s_41Bo41|;&i z&TO?1->4RrzPztKcYSuvzIF5cu|RD&Pef#xCB`?NC5r#TRId=rk+Z5m-U*L9kjbjA zZtKZ0ra5zlBEVHkH*DkJWS#1)*62mpHPE%mg&=pLB1<7ar;@N)C?t7U=4N>JGENsqS8X-wB5N9kkn9 zWF$G>n%#uj3~qFC=T`TB`XqV0zY$*vdjw$)35V zA(des*`)e-?QZ{lB0Q<9*~(VSvjNihvB_(5eHP-M$0JBC;VI*S!;)&JR~YHO*CPcuSz_K|Bb>=hvTFcU?r)7eM-H1KF=(Pu2L&c* zVpNFx*99gd$Cv{dU zR8}X!oR1v?o5Y>JE{S?H-uE6^bC&tzG+eyVH=ti-VaV(_V5!RGATbYo_h0{hKNE_w zV7h}V;aV>_+}BC9n#1C)cRNt@Z`LO^oP(1U)graBVURQw&*ifriTfs<<~QQk96=3X z1$NH|;PzPW-Fg6RoP8<-$E-IuZI{u!glk5d+=c(KfQ*%>)u=r*e0M>R zZF&Om^-SM4SN0k!du6QLhJ4sHZr!x*0hlwSH$mbxoO0=~v}*Dxelp3n@)n7YG~>pi zWWBXOm7~&3@nmWM1zWK(BDqDbNw$_5%!9~S`V!*=nna3<5{^7vfx80^_$UmfVM=Oi zg{^41Knf;-qIWa>tmIiT!_lx_{s9=jEExZ*F z^J+xKgvz*S2bOfPbI`A;*3ld^FGWUz2q5qv_cEamOAxH;J~QU*K)9svo}s1ZoOjAs z;k3fnv4e~uLAqKduI6xdRSL7mGmVA>QX~>Bb)%9KgxuGI_Hi;?dV!bgleBlTy8?QcAO$poP|JB6ykmIK~LX=?OuH#Rci^q$} zxqZxGdn8y@O{mTEEb!8C2^HW0=Wa5i$re6yw2RB=IWpG}qVR_M0I^1_3LKc}YD(;q zq%Yh8fXRj68T|)+(|NRU>ad^)ja*pT&EHo2+xndNdc+oL7=Lo&W3IcNlrL8kv!3iM zo%IKPTw-xh99>o6jEZvDvl0`Hp6^s~V5wUjbk7Uq0+-mj28YzyeG`kAgn@bh-w_bS z-@M{fUwkL-fvYvhOI2$X4=Ou;)v@C84L?Rh!%Oh+71u9e8l80yD2XQ!d=yBad}`-` zqE{_=eqpsnMRo2$|H6D>7Jl<5PZ`FBBZs1uNxXqfxIC@^Ir!y}c>&9;na3L>R`W%A z#SoP|#v?$Yfr5M!N1T+O!iYD;JmJVZGdXlLNvrD*y0iT_zn{O@kF@b?e%m5^S{1zN-~sxnBCltSI7q6i(A+ZSom^&?mr&ePE&q}JmBuw*WX*-uF>9;U*GEP z3;#nDz)N}fz;E*NJ72gTJ@DsKj{o^O=sP&;()I0(c$ zHp8?e!>EXmOyHjlQaS2K=d`Os%+}=vZQNMU)T_^i+103Y(>I$_xcdNGK(j4OQ@Aw1 zXk1c2Z#!J^F~PDP+fmQNAX)6e8WyuBK{|-KuO7SD@et%B7j9idm|k@2=$b16*fFWH zqW9gWzHpI0jgD?5xDm`;DCM(-t6-KPcH;bda2FmRf6cWZDfM&HmL~}@aQGnqBgnsp z+k|C-Nt!12&oGS0GxP7TTK>`AA9Udwku$lsT<%xT^W0tm@Rrgr0VXr~uJJ?kQ0sN9 zZBlG3v>Hh-xp4G7H_*V}Sg-KkDaBKVUqd*biC~hxc{6*b0`>`p9{>OQ+inSR|-~}nz zE@s)F`g>!Qv~Mk|df2Kf1r7^~ULSvf)aQm5_q8g9H_YYWHMe-e{k31{k;8+zK{9x+ zN}7ybDeHp-8NJXBT2!&5_4sd!>Cy?!^O*+W;wF|mZaV%k7M6YO1V|RflZ)q~uUD0< zrDbyuTSKc~vhAAEtN$hXeUPB1Tx9!e25LKvx$Mu=tmNt7vJVM9z{$O<4J!BU3j!v;aZ zWig?Yzvhz!9zeRm>MkaiBJA04Jo7081IMR{Z_ym>`{$-;sRDKI%4Vb%lc;zEIOEm; zZat-2a9Cz2f|32-kHcn6>IPm^<1YMLWVTccRrJ=tOWRuZqh8uz^S1?W{}zA+Ioh zJ8H#Gw7;gp{bW9PToSp~4&y-I0XCg1BRn!?&KBL|*XtX{zXD6f ztaq|Y3%yYR<9g%i15yRj?e+YqPq)47>hY;#6%_>wnuG!7Zg&Qs($C|}e9gvbqko%` zQ?=LJ)OIg~x~`-SsNz^LZ}l?Wf7-x0EXbVnfFByqniFiB?ZMWKZBm0 zR;&LiEoWw+oBd~{wei)Le_WD^nSKl!u2&+oCGJBaAz)ua zd!#lMP7UajJ5Z5}1yk#n2ZGi^Yte1+G13#69?$+@o|h15hJMV4%Cj<5f8$kmEn*wZ z%p(ya@-glm((#~gBc4qlcZEeS(O04EET2 zi28;$2qEr!M#5x>n9@lxv5-1OhcXks?^~^?(HH1ex@%fLd^a-PPLd&D|Lx1Bk+@)$ zA=@&uBtt=f1sq|H&YIlcP|eGBLlHHCoFJvBF%)bLw-Wf~5V0?bGf)dkBk_c9Wng~> zYH6}5E(j%0$YZm>bd*_*u&dGn_lNFl8WD;^#Y(I=vAn9JkGW}bv5M7U84}KM?{lq{ zzF}0ARh>Ma`7P}GOcBApc@Y7w(YIszKQ?G6u~LML6-TCmWix6k#ll{WGCWmu z8e@aZM2E_(r`_WWBjKJ59uLHWwawcRUBl#x*#S|1pgRqnjBV1yfz;ly)!awn0Jj}w zQHi^4I9=l3jKBEO1C_K4B2FiJrq~m(lO(1SGo6do$_)p8`%K?t7L2<^S}@PV%RL96 zbfEnukOVqLHv&0w`mS4nRxBrR)?^|M0V+$}1X-PMeriDBuoe3*T4 zk#bC)X4G5aaCz>@A2gJbhmZh9=10aIXU)XSWVKODg{Rb^ftyLjuoxviDyG4@B~=0s zP|O(EW>^Rl9Tkc9<64ICYG8VnXDQwqN#tadQQ#tMxXQ-jp}QDMetY;$_5?_9E9UXN z58gWpl1*uf^e`bw1)i1WO6QI^aj`4;jl4A_{Q+J@ZkND5+V@g_jaZi(aPh1mGnQ*d z8pMUS>W0N=81+~otLWZ*3P?K=+|@F>#a)dK6LXG-+YI-5dljt%)2wS%c4n<2or$~{ zmY+1)SA7Cs=eT}i=5|-?rI2OW)tihKs}Wye5O+=7X9b3AP>7>gc~K`IIUgz;vX1(N zRueY`N;X`%61R-Fu>`m!R5`kc{YO3Z}OD}L4_(48rcCo0n~D8T8Wz5AiB zJVrzF$f$g|5jiG42*Yldi#&_YXBc{@EM}7@YxX0j=&6W?>=6TqB|=Ks$N-+B9W_Rc z{FF>TBS|e=I>9Tbwy&65;#uLX{4WdQY!I>K(MMj^W^TIw=kmutRbFxZK-;$z9?e=| z+Vb#etyb;iS*N(a6)SzFm6q9G)j@QR-eP2rXYCm8;?N$Ck7fIZbJv^e^|;as?+iD` z*!<)7dYg`Z)QxwIsdno*w{t>wqlv@ut6@9daZ(Rjm;JB#PejqyShwst4T2d^%o*g$ zYk_r8L6>>e>EX**wPykt%hRb&qW~v@Is5%2s$!Ao{`Tft`5JX* zCO!-U`-x4f{gtWcsc89Sr=4DIHAzWk&rUf{ERHLG@l%7l52y7?_1Su9G+VKT&C#Evq`@oF%r)qqi z`$vx)&BlX;cKdG2_xA>!c2-c21ix&MG4V^``!rTA?aJKYjgV+W+_@gn5s#l#=6|*o%77uhw!BNtD@3j+&mY7{r z^?S}#O_7M_6;wJ%eCp8DnO@bJrGBT?y|h=&U7xNNuD!C?c4zPikq$q<;36?7;+ z@z?m`aQBxdr+Y^`kJ3-j+Ux$cKOIPz1LRO*E}poGLxG&|78mF6Q+vy|NAK$1QIheK zwS3HN_}9MFPt%L;qtG+%M5edtgj7_lnDOqZ%Fe@`U;bp)dD;QgtXFngzpe&8KsRpjw!yNP%T2W5Jeq*BA?qAy5 zi{9;iWglqs){sDji`3VhMYn%{jBN2h!&=>(gjIhfVDOW@?$!9XOXDZTz`cDC0T4MGkk;4P{r*j)C4z?FGy%z)n-P zWQKPSmZwoc)T_ZmTh89ct&^!#m1Gnf6o!P0J6!-PT>&}1_Ef7fiJk2c6>(rAiTVB`%) zo7dh9Q;XmMgO;$JJC}EkTyWfx*Bz4?!d8PqA$)Y<@$>gd&5U1Sr@2gNSMkY6z9p)o zmb^Qgm+&+vENQr%gOLH`X+gCnqQtcrJ5H= z5YC8Z6frye~1^FOJ%~&3| z%xWYcKe;I}MpT8kH$k$l!mEu-by8_jlVTnE{@Ygx+*ctO`Sd0As>3PF%JIv`Z~wmd zDsc4%52ut-CCv?=v(K|dq6lqW6Q@h=%_7!>y_=rl8x#=Te7ECUx1(}V)&Tu)9KtCd zp~d-8=gl!ca&Q+Mav2%EGG1l&!6+cZp8KSY-9+9TQSMro)1Hb zt{~k~N_FU3fy7i2_Hd?`T3%|c&>oO;rq%w|fd~KAJF{Z?eyyw$OC@w`p7e*iJT&E` zi8z}Qv)963aujEp*+OlBR8ec z)7;FkWkf2WC(o+-8V{olE=^KBgcxg~yj*=SJLOC2`=+y2BF?XXGz>b1_iWc25yBc!qy0C-fR_|(~8Ce%dt<;CcRQ^ZZ;|(cO&$`Z^%Yr zEYV%&sRcNCz$(+$OnPY*d>0kZhkfd;`jAG5e7MvSfl$+hpi%iaR>THi1*^X-D>jIFcxfjsyShe z@IL}TuE^6H#?MXoCA3EpmWX%nvElFh!GA?Y`?vjnz385t{2BLc|GgKs^UJ@N9hNAE ztD6$supMRae4l`Uf4P4<%;0`L{9}3G!2gw}(tqluJTT!)TgN!_dnxAchc>(*r+$?y z4FA>m-^s%wc9YJ2jFk9C|3g#+=X^H5>DR;KfA_;iwtep4epp1FhyVLA72EmrV@ct^ z@4fuK_cPn?+se<~KPE8_e?BnciN*&KsBm}R{a^p&Kb$)8)U)}sj!Pc?-^-u!qs;%| zyFBf;-DiD*9KLt*A@vu@jQ_>Q1Br2He{t~_c+fYuKKNUI_ZIfOlH?dQa85!Ou%5t) z<*yZh>ZR~VA6^yaIBQlB=^5AgwlH1Zu~Nn%f!vve8iTQsC`at?b3Nk=P0TE3fzlmY7{@ z7275x%0qGGnfSfo&pySJ;N`{E_8*3t-;zm|zqrHwSHcwHsQf2?%p%LSUoxd4qm{qu zBr>tL|CSG0giA#wEJ{GOuxQ*n9-|6W&HoWU=)*J{tUR$&JNdww%4`+Sr!1zKQcdfH zl>K)J9Ya7IvP8ig?WR~x2E+}h8a$r8KO&oY_#re&!uYh=xE8yY{l>74?`I(;)6SH6 zs?A|Z&xDFiU^JCu>n_kQqvCq!!&hCOJP4(pRf|d+Z0;Z zga)KDVR!@fC_+XyT;pr@IuW=cA#E5z$U=zXMs&bJ-K&|ktpI+9UZnTvT)L=YX|Hv> z^|+sTLNHY@Td!wfoowWfY4G(2`j5T;Fa8eP5clE2C3CuW#YPmA(LKW>9!2ts0Rd?` zqw4}=^m0{`9K{HGCagU!-}B!O1G3@@>_!_wMcn8RU0h!#=m6`uaqV~u>XunMPpobj zRsiM7mB=eh{%Wa@qJwDU4>UXRZn5>w!Tb1tRgm=Z$F33G(eHetOlxnU8h- z0Nac`ldY=C=AEyUm{NVu>N8o?h{dj882`^k;CBu-3a2cuD8Sdx;;&wiL-l7$<&*w_VYZ?^=`>p1HMd<}jD9qn&$K`KOt#)KX8eDW7IDNq z=fC(`r&PXtKtCUJoN}W@mb{Vi*Ou%?JhE8c->((t9Q6~OSKU5`*82xOR$fv|KXSbF zBX#%tO@Ma#y{j&y4;RmGI6thGE??MFURrbd(f9>lf6AFvA6w|IhOc%a*LtGA+BTxy zzr6R;j_NF(2;CpbkjGu@ce|P89PdVAc*=w1F^*Qmt>~$xoJ?X3*IK3X+D`&b@@7hr< z`&u~4l?Sh1jLe_t=ohjNobvefR#&g9-DAmrjy!!j691Ss(Aj<-5Jh;Zo$P zg>GkN81Cla(s6ar-ua>K^p`lgvmU4|o^o`(x#d5!9;Hs^+rO86+K~&>t1w;z`;{xE9wkn zsQBd)PQs|D$$c~+LxHB=1*$lrK~dlVd3KewshyIWjfZJrKze*H)qG!rCz6p9qmC(u zZVMB7A=NdkRgyLNZ0ba>6!$a`mt--qA0d61Z{nef(XvvQjSWJEsMNO*4Sf8)x&bmr ziz0w8|6JqVKdS|aspoaY>}#V(+%-}WB#zPt{XKn6dRI!G+YWn$hb`<*@&l>fIntcDImKm}h?w;<>}u$i zt2RuS^1J;93CJ-Mll?Dwji4C|FazmwUgAA!!J3~XzLm9=uh^Sn@4-*r`Ng07@Zso1 ze?j}MCblS`00YtzHY$iv2-32r|482X89@!p_`Y$V4nugX%PRHREo_|68FCVuU|0^K zi;Z!}j^w7;)7Y;Ee!U@u&I^S1P>0i=Qr7YncTo=t83-m)x8~CiY(+psN-y?y zk16l4&OrX6#=ytn>XGCNrg9zOXVz;z2n!+;aEF*3)gur~JdSXG&N_B-$$#R1KFyvM zpCo4-{y=|&83Tc9=ok&~&q~42YN@l19IX=x(X$AH3Wf38`nJAYc3}mYm>q@LLhVQg zL%%W^G@{YscqjaCraCJ82R>nL>psCm<##i6`IF0qX-l0+Zk@TDuhJ`E*fD|GN77Jrb_C1E5_3orcHl!EcmnXc4+WawUJ~RPaJc z;ZGZVJy;9D040Nr|Ap3pe;a-M9j|p_GY7pBWhPNja@D|e_hfn-?e#t`@OmBu!6PS@ zihy5HcCzGpa5eyq>f;VFnk8f8M1<#yd3iqAU^8ODz^PzN*ii@!VAo4E^V3s`gkTF= zT+sM6wTIIN6_?~+mTGi>hCJ;TaX=cMJIN8^#m5HcV)Ghs1DqT;1a2mKpiB?*NphF5 zFbR4&+-IqnMswX~>rUDwWHlJD<(W#(S|be_wsfn{4&RU+Za(w-kQvyB6H=8K@S9c? z+gELk?F+P_z7dPh8{)Za{o)hATwv}ecvZJv|NIY_ORK zJ=mWkG8$EDmavI1rKkMmz28RIdM*)PG&pg$yujxvwADy8>$TFAzb4>p46x>BCywo(%6{ks=$(7h96_~8ER zq5NPpJV{4|u;AT_9|{&;G1Ul_LoCm46|?bJLUTOJP}it@+uWM)*l)R?7vY~Y$b2WJ zi6Y0ZPp(Z3d7QM9C~?s7$;Xz7?$k43C~7GcW7ZLcQz9cIScA|``&w~VaBFqy8N=8} zSy6r9Z)S_2{({LH$TLB``2)|L^qP_2bmIPrm9#QF9D|vaK;j`xKTOQ}z+`w`yErN zE{?hqU5NWdv1Dbg@Vtai8TF-7osl(q1;xI`*+l6Pm2C1CbGFVP4_K0Nvqw;_Wpd7I zX2+lY)L#v#RI4?H(slzPxf4&vwRjE=oK2$qTZky-mEEbpb$@pC<5{z1 zY5F{G#r+OJHssa;Gf#{iEZ!t~<}FLQCneM@b}EC#&7{#{H_R#Rkz7 ze~9sLGTIyfgdE1JB%-X{ICHWmXrO69Arw)x#&NtlXGB@fc(b0E`f=uXax^>pc@4^z zGjquNWs;;{4z5DLH-}}86Wr53+Fs5p3x(g9Ih3Fu2$5T2J-AliT;z@O> zmn|IqhrILg97;u8PlBpgj%E_&cu-QmTntQ8!ehb=xHC9_t7lm+0z#vS=@j ziq}azVQzkC<=Ajv%Utez&MZ0^gV+%UV9|i@woGNkW7_k%4clF7JrSPBl=hblJczdOCWd0 zv%nSyjvy|)wL(62$#B{p)QtLaaT{?kcfs0>iDPBYI>ovw2S!6U*?+1?e3&f6f|CuV zWc?}~Gs@eYy%sgQ>Qkjzmnx0r(z7nR-T@f;r|cc?KeYX%)p$#q))5zLr0VH1Y?&#K z1=s**OiOMPC)#EA#g&uPrv%or&_#2-$!Ll4q{93Pu`@ zpogkhvlMCQopG(|DhX&OVu@}WSS==GFyNvlgZj=g7&y=-RtlkhXYfk%Drqs^tb0PD zrnAj?Z`O3?wo9e0of)aqGJR3~Kc4e8=K`w{y=}G4QPR(n*CI%z-#S;l64O;weTyH7 ziOVx&iEAWne&N3d*FnV&J!WiD*O#c&R-3BBp@Eiju79$9k$l2S+lh(qb)gSD>LjK2 z{o-tY`2GmJHX3JqzI`y{7eYe*JJ;zKn`sf|8Jpn(d5RE3nFinuDiHN_1KGpSKd8pl zJ1J)t07PgI%QqKz?H$x&YkN^UUIe0m4?$?Sr}HZBe8hPMbCJ%$u10ocKM+w}r?!0I z+85sP@9z73r%t_~-*;Br(%;4qYC?KljDC{Fm$X+(r1YKE*}=k-s+WSIS`-HoLwG8b zUArnDEz)GeE!+!rO6Xl)+}U$nQ6M6IhB4zO-6c@Pdp_HG{#o#>ag z7w^TX>hGDkP@Ga-G5U?CX#PN;6XJ|J&?z2wRw%o--Qm+7b42rxu!dpTSV?hqct>fK zCK_)w~Q^oc{$FlTZL`$fP0>!U`@sv^e*Ec_kFo=~lr zv<#4C7g^wOv?ofN=Ev)y{n=(%2xIZrLJy%@AD9W<7( z7Lg{&%u5<-P1IpA6rI{Ra<6ozCEy=R13JW*jkI<#mj)aq>kh#oDb-koMiG@nPb$y#XPWb_DrH4Mh|A3>6ptzu+Z_jvWc1ax z#>cgEFMn0KDE6bWs3yc5=R4PrUI}U5!9mD8Jy6?pLd@(LMDw-`N6H{Aa;RZuZtkeopZ;y6fOuY^qwMOo;2NKoMjl#RV~>z%o^HV^~89C*pO| zER?9J>Lvk_2fN&}S4%yh;p5G~%Fwo(`{#X}gMC*$ihL>R8JqPymN~HnNwu|>9+EUa z&x&iQDL!ijAro9{xttYmgj)5scWvam7+W>HJG0dlaw0XY`M)k(qM>TyvsmVtm8yer zE~UsZC_U5(E1^qSnvUr}#BPXiF(TN{`L87bW{x_edO&|uUJqkLu^tM{SY1hycZZ`- z+JKt3Gpv-ZGF8pXIRCVj+kPpo>61da+pM@sGo?=D*KT9Z5{os$*P8~@1zEr;o96W6 z9bQe-W}9DXOr^mIXf>}c8{vVdid~!}gN8pN5gIp{7#yI5dX?D;kx#KplmgONlxh?- z&7PYED@gTS)K^oYO$K2iC{3bjniZclQT&IU})t6Q1#cwEn(br#r+Rj*8H zRACBvUIw}o8R}uv)90Od&gI-d+@+-6v*c67^=jf`+ljALNj= zpT7g?MF4BcKvP^Ap@ir(yQ`9$B!Ec?F-QMh1PD^1?-+KwtmEOF!Lh-|_K> zR6~mkrCSvbW>2cirdjX4vEI+(kolcI_#ciPGB5tYZ>+b$_ye;`hS{umH1pp}x(B?` z{E!N>-tpvt+nr}C|$Et(4?CZxKU%&8=GH~wel84q*-;Z-^ zKWh8&6qF&=GGv`O6s>=l*rFIUn-6;GBi9>vT>PN$pc*dq_~Fu0CH+wZ3=w*d8c&28 zgtp_%NNsvq)ZU^Ht0xEO`&5K6!XhmesV`KUD!09ve(60;Emy){`FX2vI;Ma7cUNyltqCqZ-*w5DN_ks3&ZRIm*sCS)QL_pyjBlcr=P2L158l*$);tHO6C;hKU)+A13fOW-in~t2c9BNLlgp6wAv&hL%ySS=TsdQKA9L;wCzvWz zwJ10_LS=sGj1sz)0Rc2`z-}&(Sgz_!V4s(Q`$&FrFIS~31Y4atWF6whL8eLKDUN;} zT6bDvdM~)D7>6e*@$XuN)(WxAOta3^$4h8&&*VX_D7S-3SYAG!5;L*KyJKRXo?-7X z@?PXfQaQFdfBK*QA!)jcW>MQ&O-JOfSA&IK$Qq||)Fx>Xfj7U)hv;qZ z43*FzRNVC&tkE~iR8vT5-fM|!@ErwxuT_nLGfGOgiV!|eHi2Xz4|=X4Xm_C%`XE0W zr~@Zxta>s?c0v?Dx0F|j_~v7{MtNW(;^8Il9(&LV+*rqz%a>eI!42o>g@OFrU%BU) z(rYKGdcKDsk<^Lv`5~^7nK~AqMsFf@l3D|ze^4A~o*Q2+=>*zGxk6$hq++BgEllu) zNXIPtZNw=hGq+N^xC%*UAdrieHCc!FWl7o~l26KZO^DZQxpmKd0RI}73vasCQ^Q;A zJ)$Pk>GhNG(sXbvj`eEZd$LCi+7NnMsWD-8>wtLK+3W5;k%Iqf;?4$LCFBPB_8R>;u0l@!6T_SdIzHaMkX|?~Wb6P3*MdY$D#!Vog{fHP%;c%F&IQo5pyml-`l*v+VRqe`$#2lKpN;A4sT4 zVW(GSB1!XVy!ES9{C}PJ2gekxL~vIkjDqE`dYK+zw;&~4brK|LC#o`JyNH%Uv2)7 zv(4T5v+sSP?QHfXgvPA1nzabvyQvN_{>9 zC%6;%Jf^j0-x1n1(1~Pi1@4i4RFHMu`dV?Ujpg53_9?3r)u+Y2VqwSI;xzfG3+~v3 zA!2>?@^iBFm>*0pM}uujn$=K>gc>duFDEf%5UiFbPuaw)sR1|WO8K-SR37UuF9+FY zT~^W47aX6!MX)1T@V)x!kull7Ts$EPyK1FHm&JLsM1msNKwsQG(uWS*bKc#Nc2Au9 z2MNpzl}p4ohBZ}oRd7tp;KAUOrDXn5))ujfJ~KH2PVOX?rctb<@;zB6!4e`;muej5 z?lDWVQIra-4$;W!Nbo#_ot>8I$pR?$8Dg+=7{PvN5&qmMJD4;rnqX_Cnm&hqYPD4$ z-9xhl)~J<;;8FU}LCSVYOyXM468eQQ5likH#c$*nT)7W{SF0&tJK9(~iJ*@Q1`O?> zP@*$>7S##)(Wl!J3$4lqmyl3ICkL5Spba@$`r<&nk&spa)Afi_bCkdjPC83xh;fqp z-`xPyUKzmOzr_)yAcKw${WwO|nKeD}%xa{XD?J1o%*bFs^B9#xQ5hGVS|LG=Sd-NI z-Y1zxMR^JFO05K&30G}Cn}RcyWOj|P-{w<^riI-^dvYs`R;;T$y{L-cEE5$U5`#)< zv#~}*PN7xekEUucku_dVUc zBTCC=(j5?`JrrbQoAYy@#C(+^3e(M+?t|@=Qj=|-8CU8l3KKKYYv@+BwctS6Fnokk zA*m>-8>tJq$Y5XU{5=JI>RfJj6t zX_^vvHg>nbG(aBYb&!L?r71iXV}dwW2it>$O_;gN4bezjs=vf!Ss5RftA=2TYqaad z8)4#2#*vmcBCRG3!9k87qe5A0o54`L0)xO%UN)DvLHPXs)zSAUBU+@3f@MQj=6Dc5E8u*=#)cHO@s4>*UqetgsDuz=%$uz zQ8Orygh7S(Wm$*zxT)RsMCIw#R8A=OE0xOEL1_$APO6*3oT1~;>uR*aKpIwnh?p2$P5XNf{A1i^wfgd=;f99r{Sd#= zBmlhSjO7xe%owi7+}aLCg%dfceSn125fdk!x;G7|DHwsx{+x?LCK!r@lk(BXSE%#r zg9*|qr83o3f5{JixkLybsx%gaf+!f7Y#R87+#a0^b5V;PN5aKsG3DR=RZga$7bk-S z!#miD)kTM^u&&Sw{n(;;16lEA?n*Mu7^*~N2|U2*pdkqIrp-^p7|oiA$oSaAQIv5O z2RN1La~|Q*AvnuwrL@UX&0}f;mt7ZLlKn$fxd0&a}qpqjnibYny0&BT+`q}`?pQiA|$1w!o9f|a<%nqZxk;Wr?C zR<62Nb*vGvR2c4UBZz2P%##V`(GluBxPhg-yz_uv?*gsr`PH9{G&#k2He zNNFBdq{;^6yizD7=E|rJTQE!wq$=s-z9itpI#h{bhQjC2OTXgX()SNE))=~YGjf_U z{XTKte6VQUU68OE8tI08WsknOPt2N2>K@X+SEQjn;OMP zT^^j4O6lyy!r1DJdQ;1x?|BQuqpwwkKWu0HsdmSU60b}`*w}(O_*$}T4@z^w@B(b8tW)wUyV6bO7qpS*W(DY_5v$9&Om+pTYx z+V&FOAVCC=?Jbpp)_Z{sRR}7&JysqG8Xa4im$`|mJX#ubEz(*Bz8Wx` z0R|*q^C0WAXa{}oer-69a;88ov2ZH!sILdVWNOcTnNB56m+GUrC)#G(SHbqXEmh3t z8e0}`Z#*}wb-6SbTV9E7r?aX}$-EaqCDFYKv>l^DTM-qf=54+3D9G}^sAxAia*I`> zy%8Lhqbc6hpHeMK_W3)v6SCd;Q{!tpdz{Lj_=@slfA-d;+}>_jT7;^P3G@~!{qF3! zyf(j`aQ6JLnX6@iC%$?#0JjPsQBEK0DwD z+LAyWX*9D3*I+KFKM#!U|3$qjUM=_Q9faVDSHHaAH7~-oz835nv>p!*H5Dnr(O#{; z=M>G#;e+=C)yDg~cJ1tG9Fcv!))VKC#ZM4$B|x%$aKhe6`p?e!;cIukq$OcQw)he` z^I~FQOBxOPnXft8gMEY!Wp*N#;Y6%}0HXAv{pqJP!LF20d`l*;5RDJ%RfA4HP!(2Z zh1fG-OB<5UGP!8Mm1z4eZS94iUpR{du3rguQkQFJg#tUdplz?{6#jzta1<>|A(P7y zmB45jqwO1Mht)G91!v6~D(9Z@wIbXBBE3*$yd{4>R}x{0jD2OK-)|w+F}(x=@ZpqI0xSQ7C~)cNE^5EcC;ISlF%* zPF0B4+S#K<%Alg`T(9%UJ;CEe$n7J*+-v)JTzbuPesoRf~8wyNim8 zQ_9Wl5wMm$`ginn$7E@!4i9L=F4bU@Cx8Q^t{#oL(6cmvBcenEkWphSFkOuWMc8j| z|IO#Hz#2_m(OAd7tg*bbi{G2l$A4`<;F*(h@3yop2JqA$y88G-gm_NWjnLP_gKPBB zsQ7vtAg2QhPsOpN;@{L+5WbJZ6qQZh18*qWU!pb1p-9``7O6}Js+d-ZQsLWTzBj|# z;jJ&CWSD3>d!FyY5+F4IPmQ!HhBN3x$xhB0ff9lkDt4)ltvw6SpE!Vl@PQ zUEQA#EhGH&eU8<6g?nrx`3FOb9{sPrk}UZW6n#2rR~eD zkWdaqj^QA6@p6^hPc1pS1t}d|am1El;62wE#AKEp?FBz{9IAfwdl1DejV=_2r7~$- z3k8o2nCs#ix|V=3^iRLYb&ogqu1|OE+1tjwEzH-=S?xPlA|rF~-ps^6H0}wwf0mWt zGBA@*FjJ%KrY`ms1(}0@0tY)NDiSesm|-7EIa)NqTF7Qm#)@`Aw0#XTG?QAFFx3Nn z7K$1(luNIo`qXqNd4La8X@l*S;(Tz7x_mAMkdw>}cP*-|yRhtN>{ahkU{qaSoR|Kv zEG_*-AwZLf6^y>3fC0<@E^lV-1u(eeYBVd)2HS6f15t2DVd8X%9NVYOaik zHLU}#jl)UM&b{S$Pzaj~Ug&r(jFX6Y^&DQgVDqmXw&+DL*9+A`Hhr>`gP6 zt}S?si0Z-76){hGOJC~0CBepP^-!w`c1_rJ4u#K9%;`K4>sY2KqKcKSPqu@M{iWV; zWT>TA%om+h<(r91ksIcxu`|ug3OA{aS@`s{D-l6>%S}t_B41$O`(<+ z*pF-Edrtf>n9rK2Wa#0kE=}lX)B(G@ZA_B=4hKH8`*-@gG@s8q-6kl1zTO07_fx8O%8By# zTA%82g+AY@D(cNgNISpTQlIxm;*Jf5jO%boV+(={nD-+&xqget!38mt~{~L$H0W_fWY4)o1H{SKB3l8X!)bfGN<_DjS|Na;ZKkMR zlvH37P%I$9zoM~uxalI)9u!De?F5w(<>Sw(R}ZxCZZ>f`vckw`jHF-<;gqS$@iZUA z4PhpLa65CubJm+zN4^@SJuRP7R~M^q-2}QC%Mxv&^pyG~NK!a_p5REXvZUr64^|mU zC7;%KLisY+9}Qjb%$)M^x-alFZ|7xCbinwueEM2z?M5rT>z-5u9+M`9L4`Q=V*$x$nAo`W z;s{PnjW#D^IysMH^An?rkfaZ_ZYb=tLD4YOgLMUL?8X#;O zD9e4Toy?|Wjp`3%sa{bo zIM$q70DZtyt|t#P$j^o_prc`(+H2KiJm>;(ze2%UCWu3a_;iWCH&^G}!FOK#f3afL za6@|XCECn+A0MXUVp_ZRh*XuY@dg8&4O#!$!=;+O;|z6)%;4PcT|E*SKrBy#_Q{rL z65@~v9noGcH9r+;a|P9ekXVclU=$UuMVMEVZF!fT#RY$6T2OEUdfTdfWIQU&_G^6t z+a>>K;M>7G(bCio|5m4>YOK(Hryy$hg`|s7ovIj=sC9D7aaC-Y_`jcj2X|@4T^OMJ zS1J*`M^j<&KM_qWHb=KR^xO>0+g_e3NiDilS{RB+gt8@EINGBK7&00=MTC{d|1A#r zY=44(c^4`~r6~(G zu5+z`o0=yre=;Tp6Or34qHTWLLfBec=Ai(1HJ4g6akAKv3%zn_xWDNGt&sTluEpWGz1lFyo;o-#1{xT(Z(e3$!q z#w+3p+FLfNXMHKT5aE;}Fui8)6V76P-_y9J)Bv-Ry=Hl!6ad^DGX|oyp!GK4ytg1R z4f}JH8W%HiVvL(0GAoENoFviJAkG&!tp{VA?`%Makz=p5goCxjI8HK6%=eNlkK2J5ohk#Q6qCxd+(&wvU7xomOWC6BbdqRhyAMabzU&OV=ve-Cd+*e4DYC(% z!I@xeTJ%kqj*1mCp4+%A<@~hsL%Y-fND&C41VQHEI?Q z&6@iNtVH+NWAVGWq0RPjJGy7Y8I@lLXeo?7X20*)kQANK_=5kU-FAu%U+>e2Y783X z`01gsg*(8?#LVfD`-*es9>(&n(|&u<*n1}mbMUkyjvu<*ylA(?`^_MzPsR86pi6tb zORl&i2KD+mD=9oO^qik;CUy6-IwjLPPRn=uhl{grKja-kgRUhBe1K%jDUlc6dDYvT zNL&P*M}0cNOm!V^bij3WPej2=Ih9`?svA)zl}+@h9J6H|Op+*aD3tF6HTP7&d=wZz za(N_z_K;7>7DQHsV1kiI5=F_nJ4L`Od8?clta7bBMPZh+XT1C}OV#LmEbyn>BvHb3 zY+CkNkdzV;(@B{Su>bz&_om%(T9M-u5iSJS#?`CSm`@f8Ppl4Qes9a=#Jdo_<6j$6#jKWxZTJ^=|O-|HU!|C zAhIs%mB25h3I0WirV%+t30al%u9k0Olnv@sTREd5(p(#My1BILy{Asm&&zFBfey6e zB)j;+C>sJnX=1WO9#l1Q@QAaWAX9`wpJK0@F=B!roQ%`lTTOv6%;O=AAl}Pk~{lYbj#i zmF{+eMNieGeq+V+ZrKAd%zH2TA{(OSOM>yg?O+7C;}5k=Orbm7N?P+Dv8qmdpcJ{% zjqcL3C4T}T$SBf;svZ{Q7hFtyQ0xwtJP1eL5S%P;D0oEGi5iR9*2;P|B>*IOo$xD` zk5<65O=4q|@k%OK#fArLrlGzGm}*|d?+4p=ONpNMaNwe_^YPxpF3337^KqGs5gF#; z*RaAF5SmW8>ljKVl@Lfx$Y76V6u78dK&f>U4S-->-?S`hf_Z_NUDeN?wVtLSMJM*h634X8HF5oxowHiJosPrGy{#krVkC_QCHEn$(# zlR0NRt|((}e5kGR))G}5keiC6D(VK@iZ$s_lZZECRRO9MlrhJ~Q|pC0Fy!9Hh z(%L(W!C%1bs0^JmCxyqo@(3)zCt0rRb;^kWb#aYkqlBrGcD_fb;(|=zF{a&r?Ego& z2nlP!8q$g9FIm)ur#P6ZS>dQwdU= zVOs7hQeZ7@<*n#EX+tcD$r5Nz#L{BknW!${AcJ%cU2bD~*rd562BvT$9Z7Gzs-bEf zA@EHsdX5hjugJ)+RjUT`PTjHU^PXt}Y)>(>83ZPiO(%y@k>c09u6b+-Q3TP2v1Jvg zr6$y%YUL?WZ~IXbXFN9z#@eVvXQAtqh=jq<1z$VnuT~Esl``geX@jE z!SE>;fJjRRq2_hadGO4EWTMJJs@~d6Z#rcD!fqn7lmt#T8759dK12dCus=E_MN2|T9X*wsY>%B9vW|)|bCbv;HQK-kre}5&B;6vqejl*HX8*Wv z2^B~7%Tvo+ZL-EKJ9^QMUrSDnbb=a)&g1qI{#id;%vGAF?V#xGcq5^7>u6zUcU*r< zT3>anv(Of16a%ESV9mtlTCN;F7QCK(JJtst_m7kVZ)LjFR|sad+oM;-TcY$v_3MfG zdUaZ4?ZdJ~I@P0gF^6pvy#KlXoLJ*=-eDx3JJ7jvejh8~F0{tM_}4`{ce3v;(XlT2 z2Ns838^SjmvG$skSj3`WzvM1@QI>=nihDVuV(7I<-;ZM^R9w}Y>)mHJ9u|FA9@(m6 zj{rdQ!?f|lVDH;8sX^TSRJ=9U*$U#e)!*!QCfhsS4{`(VJHcdoGgY-84S*AO$DWJ; z#ST&YE7`v+-^EurD$z0n$rV8BwP4PrTdNLWT%HJP5pN^Tj6i> zb^j2Nb7GhN-MLHVxc~dkQ5k-NVmwse0$!TxatLT3Ltg`0N?gc!27%_x>+lE2qaH5u zt>0+8X!rMYUUv1)E09{Uif%G?VV7qd&%IjjCohUT{OA?R9ubtD?WJYSLhtzM^`148 z?Uxs<@B`I#V{`6R*>AlK-61Ja*{ewXFOIngR1nhKMtybP{AT+?&RBvAZABm!uP2`? zrcVs*x$>5D;juKFhk*e;A%~sATl#+4S-g8%sv)>=BYM! z`>S?YT=9Af_P{qFB$rP-Zxa&k~dnX?d)=H)ESs+()e#VchT9@D${pn z?6sszYgw5lS^tkdSt#E7hKjv+j&r`!GcDIU_Kr=cutP9^pyM!-t>InXlCyU>tB;=H za-ud!%p0Arj6C2*uPlDscyg^+SjrtAxzz8q&QTPt^9o1r8`)2|LLd9zw+t8WJ=nQa zD0E)gwKCP8to`-?Y?fDsR(i(N$GGvbvoclMSA12vlElp zFNs&NA{vL$Rr}lTjEt<41upKQeA);kna1nU0)@9;!QsEidA01J$hn-nGc*LdfXK1x z#hZCQM~wX1cm8|-Ij9g}pHrRi?sjHp4nNra6+r86eh+Z#ucyNM$HFVqX0|#pJw;sE zG7cjUHCQ$tU?jp$t{vKEa^b;dTa%++=?x$ilHJ%J>UtuzA|RI%e&=|utasX4SHgOP zalq?XBZ@wyS6B<3eUxvEX1+rGu4jDaHAAgNu&lSZKIG&=GJ=&5?X?OWBFL(V`6U;o z%riqUr3$plQ81U6z}JYnREyF#A2dDe=`7O7R)-dr< z9qe*ibVL8sKHW@m=?r@c_MdD+wX;*1Ze_&A{Y|n+Fv5;Xyb^6xbvn_7DxddLY8y<0t(^0~WT`0ldJ{AgS!xN> zBP6KS|Nsx-ngJ|r~z(sp`EGK4|O9fZS&Kh4!yzsoIB`3&= z0Y~?cB@3?c)gm2S=BX^H;K}{sTrc2Q+ciXGQ}~1K;s9C1xu8gP`rqAMnGWu96~=-t zcO>f~t!BU9;LCS0^~OCHH0v&tfl!e3&=b)3c_=Z(SxTizvTt37+n$b8Wme`rzL@~MSt=8%Vh3G6a2 zU0^P6%W1BEOKfZ@8Qt3jxzA8vk1z=Wywa4|tk_AJvqOx9f-daAg$EdkIjEC|_m}Ev zaPvVU4_+2vq9aZk;#6_{@F5xtg~8jTLTr7{sBw0$dwei>YXy2xBzWN)O?g{F1Dn0% z4$ONTM#jU>AE+H@VpOY4FooCBW@g5Xs_4!%eQRw>)S)t*Y^pXT3bl72GpTx8cf8i5 z=N!I#(lWyPV{4()>L(p51&-RIGWxmjlf!hYso`W})%_nM{kP3gcdgeqm<5-kx>0)} z=NfmEf}g@PB<^w2+u2`Dbcz&Gsndz*hqRTp0Lo?<7s>SH#7t#I0=nKfZuNVz0MAbv z6vyCBdv^W&|2baL9*Gkp{ugZHDXq)2!Hu%Qr(_!a$8w@`P5653>L+arD6DIE0#D7y z)Rk2$ZVk3TlxLZ$L&*-xS>YFVHSs&i1zdE)#7e{al%eWv^RgbMyzq3c$@;+Sn01+V zuP0{u;J#utB;h-G+3k7T8B8;m;e{-^_)L$ycT1Oe^VwTf7nwaD<=v>RF5j;HSG=2j zNtbc+nW*~%8VfyLKGH&FZ2X8+jz-3B=O zZ$P;@-daEI`j3wu{%4!kyXGk7%>H%C&3en5ZttD%efBS!>(m)oK4i=M&2_R*mn*b) zt=qBZ&pV2)v)=gTkJi~bv)zk6x_-#Grw#-Y`XT40ef;5bXl)*@#%+|0Fd3kSZK=kVj|$y z@Qw2*?THHH_dF^z6<$A1n=83m2_Ig0wg9W*!{>QBk6@dZS0`ZJ09A4x4pxuxG47Hi z)}#G@PUMNkVA@XYK4W&>Q5GteH)Bs*Jk~-JkGQ zQx6)4K|hjkBp4+(bx?2hZqh#Oobk)Lpy>%b_%IdGf`H&w-6MchuvN5pmH6SX<7^Jf z)R%PQeq*m?k@@8jX|9kTPG?Gw9O{9y^i|L$fsYj|lw_vfLy=!q!1;pnR`PVvO1^@r zDf3`E7|=$I0kYUf4Xx%gP@}}>7tKQ;38}oi3Nj6uHX87IQxpvf z=1nOG&rk}k))QZMdMTJrnVU=Y8t zoW6qZ%~7?9KI=ggP_}?59&7+_*|(F)5+6ZIEAc@ftCP;JtQew^mo` zR?!w-Cn-3)V+_L0Q6B`O?EAYv)~b^6)KPV)RNsR@_UZY%#46$0`fyM)X<|?c{<4|o zmYSonUZRr1ycZrZUy`+HCJ{M??(X*U&P3IEekeBWZ?>l5Vy%2AA)rsU_Br#U&G8SE ziujWBI<^L<#f)*>8T)SOQ_aEB8E3FP)JF^M`Z+hEA3{uWz+l0S0I@t)P6?ypP4lrs z(V|VertMf1gXFQ zJwmI2ck+P7f8h}S_s)3w{fqR6Vh*&T(!P7p>9)p5ovS-jdf=XeI)M6-QP|5U0 z(vxWpE)&Q}$ir1LM2FOS0DkXMAP4n){b}0AxP-f$nW;KqqWYC;uL5Nx{Vq#7AyMMq zgNf%JSSCN1gj-O^Bkd(sZc!^*_Sgf$s%lC8t=;zqk`HN}avHvQB<|DMu2~fhg@pG# z!%kf}Sgpsski28|*?o50I8Ve;VtY`ry@A_*Y8{uGkv1>fef{mz?7kz2C)I$GO|-Q1x+Us=duF5}pyAktKg0R9ZQ3 ze|5JUBc~gYC1hQrQ}}p)XHc+qyVjWdG$3Y$Qu0A777xee)5RIRWe$lW?Ly+LoFbuM zYcBge$=Y?NN-sa{_r=4-t#*Gq5PGx7am6AV?&pA9QNc45RXUfYt}_6 zJ~3z}53In%@~%|9Q=jaMr|P?<^D{)6kbnJM-|>$7b+2E}yJMfTkHjtOERX{{r5R(| znky@nx9m8VlB1$<$*%lh{y(T}Zt@o>2*O-3n05pKmsA#*NHR1IW~JBknvPx|@tHW+ zJhAy`gic`EPBW#Q%u$XmPl|X2ty44d!#>DQi@H35gJMB=S&y~_Gz}yQOtNiVA4o0v za$<=fuJHQwOC|x=x;xRNRB;c%WsT`fLod+av63n$MZ-neUad`)j@oa0jDnuka$2id zn-b!BnL||~t;|EL)2L%h4eN_GE1KNq52lwaa=^iS=iFLv)GVdjNnVF!L}Y!k1oJY{ zgh({1f|<#wfQ4!Z^2P2O0*GPjk@a#D73MgzR#Ki$w%I$Hrvlh3LsQUujNlIGAE@T9 zmfUF>kc`fFOI6?qr$##4j3h)k)YMC0~nBhEvhM1{0HoS&@xvDwxqM+<0VBA z<~9d=RA5j|lLlijJq~@O7^MbM62e6_T_)6Mk+6!WW{fb!+7ZOa4N%${7cqKrh1?u2 zT_uo!oiKYcIfVd`f9ac>q(}tH4so@wx#kDI@E;%x5RwLwQWGE{_$-yX${hn?wRC<5 zU&J0GpJ9m@eH7II63$Wr9?&!?SlBXP-H0kvEHYIw6q%u13Kg|qH=Fm&q0#~q&!EbbFO986(qr$=NnpF0tXC2w;-F2MZ+_M!Wpm{dQ;QJ^dL-;zef}!9gK-1 zU`kpvP9p_KdGSQlNI5KZMnXn;_Y^dUL>GWl3T66};V&~9&*@1L`4N{wD4a`*QV~NZ z2}MBmQe`RBY+PdRMRYXODAG77VSA)MR97sd5;qNWuDT6?1^^_hSz;?8b`Q0tLPHsk z=}L|)u^1~TD1(~B3DMGPtnzI(V9gZvHF$F-J*I2PwfpW+Cvw%;Wrj@Ti3k;LrEZIQ z4ji3A(W%*m%(aL<>NG4gv`2jQXfizj8`|WB)pYn|kBl^p&VX_stw(}11{^n8v4Ndg zQ{Ja4Wi-6(?S1-lH#VHS~o0wOFB8m0FKnk!788WN8Lr+`=Ia(H*2XbZJ za^oQ>hI%v^^R`SPkX)q+D91%5`|rFIi@Ws35vfqFZFU~5kFSod$f}zVk_+R%#;A*$ z@TX*6S_A>GLrBPCwlHOs(X3#oG-_kiI^(0E^5&3!l3HInEIlqp?lnbQ$iT{`!szuX zS(1Q`tajGXKw2~+W?Cv?y^pbIG#n`f>~aO%{eSxQemIA#_Pn~cnrXA#1eFRjt_j$Q zU({8r`K_v}@FW)(@I$d0ow8*3!M-5-6pQ(ZDkxbFmZ}T}HkFNJoPVThGpoG(RE!;% z@2N3yRX`>iSX3Q?R!x={Ec74~W#!dN9))xQ_<*p|PF4?^9O_CjUe$JGA0Ub^z~EVN?Vc{0sg> zR9~+RYV<(0 zUWcY!njht0A)U%)?etL*H4Y{-h2RSx|3_P{kdf>imH9&t z(MY30Q<8*SdqR$ciMwCK@N+8c-u)u3tL@ao#o_C3*x4Vy)>%+N-*X<(IBLTz(A;XL zzU0&T2j+@*dF>p8oHaV}TwYsHEFYTdT+2a`S?2@2PtQ7dF$VA79*nnW#NDBI7SthK zk%rcpL+Y~?!AGlnpuX|h^b&$xvd%Kf1@M7#1>OoVK{0wRNAFNP;7@iY{&zNI9^YAN zO+3_4<-Amr4^zL-?31aB&za-N=A7eZAO5b>EWblc_9d*x7mHIOfX}bZx*79`T|2ni zNR78nh=uKL`^0Bsc|>mYDNnQym}1r>`f$yG{it2qKI@t7-~8k~#cJh)kzMc@E}4Di zbo)!kXszQ=^I_J6`yfC>GvBTE&>-70d7^!_KJRh2 z6s|H()ZbAQrQUlKJ0)HznvKT~K3k|>Bn~{jwtwZE=T;t1e!BUpyx{lHm?THvnD|6n zPDB?WA${hS&Ueyb-))B<{MIo-demxd>XJM-yjC=4k{85F(Z%rkbq9+D=1&c^na-Rk zLYu-$C^ zAThZ15|HnfCZp}stat5qUVU@*&{NvteAD0Z+kNyeGRdf-aZAqCCB6WNOq(bx43sI* z3gWKp2;res2s+Jv3YB{pRpFuPs25+L?NMzwhoYbD2U(&7Ed;n{?7E(uq+#^8Fu*hj(l6#nZW?Qx-<~?e@a?PJMnf7np$MDGKUP=9wCswX%rWc~Wm;lPyG%Ro#_P1TOdx1N z!|o6mqm&(l-D4zU09N-WS_>I4yLFFXBq*-(==zLkR6+o$W3z{637`MK@yiAfshNHr z>?06bMTJ%vriIo=(_jFE=Tj@hn`H zmn6}le5`A@?3#9mQ38mHDk<%%6$4t4bl?*#R0Gm)1oWxN-ztR4qG*nM_UT?`;G3cnG z6aIBPKTmSP5Xqyba;oZ|6^*5%l@}a?D9=QA-W`M}JaHatyxAt?3Rs2LhO;+%!MS4_e)REcGG`KgE%NSWL5^SlZ88m&HFEoP## z!R0T{raPJ&d(Feh0imZ5g0M6wJ&YzgjqXwmG58}*e8xL0;wFg!i*C$T0Qa){HK>;O zfx3$WrHEf~A%29|UAT!@2pR?Q2;G6yi{W#YcD2t-Hv-N`3m{Qs;& zGuK%_A8Ayy&Ut$1=z5PM%CP3(4^d}Ued6IR4`~1Eks%IEer&bxAO0_ydk;b|S-?`g+5WX6~+%=OQC z6`vC*7mj6*E>mtdhE-iTSaT+TfRit$Yo{F?uvs&&tD0Y8^E>bTEH5hzh=+2TcmyT& zo%FVVNu^%md|DTysj^M`JVjQUIv36tsBxPjtCh0-s*tf`%FEl=h ziVN3h68`40zB!kVX|ep0434XMNd^Q?S|yPqT%KNrpWxMv@(aOmu+=}>-s`i!G;Af4>_RCT5dyab4xl-((@d0)Nc+;(@cI7xdO{WJw8+YZ@u6QRAz z-(zii{K*HPJW zs1|k1=zl(5UGi%^YXy&DO8HW9idbr}La-m80sA7B3)Wm#c%aBZz9--FC5qJw(K_u^ zj?_K5^lkFp8ZepEo0;JtdjUp zJCvyLCw<;}vQyNg2ri}z5fFGrHP|3}Dn@`u`gJ^AcuXgxEH`7lb|Iju^GV+c#xPfD zI4g}~v6a~7`8#1@do%SmaVhyc>}}PrL$#{vk8dXjK4E9=>$!v7yX+*CoKd*YUTdI} zLk(`VPug#m;sZb-zf|(C#@?{K_s$yklQ8;uPtTkmWDicaPDNk&Jwhxqrh#2adle&c z0*own^VMoGB5<6><4=Ma=@A5LmVG`%FT2ws(xhI(FHH|NPf$+51WUg-`PwGg7iA<0$vY1FAfr&X*MgEi>2Dhgj658ph zEl5eJx@i?xvqyt-B+;p&|MP@Hh#+lq^8KpZ5Th1YBos9_EvY)bc9RGk zI!8s^R61-PDp!8N&AYx1OMlNTbD%>^&Tl z5PRMgkaP5;CWe-sUsJJ=Bx!s3mE1`Rp3}o?o1+St8=HwQGCiiTZ0EK#5|mJrJ&)D8 z4Z>JdH)wz18idT}gtRceFyqs>-1>}sNN%HKIjI8_8*EavPRwu*_igvkFatN|FQm)YCZrN8K_NA;>)80jg5_AC)esU1) zLY8&kfff*?4v}j|aLTXGn@KWS3gXj2{n2LiMdt-u@?h_$4Rj+t7|+85@gMQ|0BAAe$(J&`Qa^1DzmA4$((P=6^4kC5H@@ z8GB>i%D=Gdn@x8S0y1qu1M-Q8*jux==k+{Exo|Be|0LpP*|8AdmBlqr#sB84THCLA9z`8SV?B!-l_yo3fE>ZI zl!s1bH&5kg zB5}hiW<2NBMBsUhYZRu(dIXka2U0_#8qele6 zTRAPOg6oEK{Z-p|xnS2z)s*xEjPR4NF zaZfxla%d*;&TsuE6&2;m@~ZxihB{Hg#p6e2-3LFoc(psD71YMcgN2k=zws?t|62pv zQoAr8rJku7j5qJ386GG$wDc#rAoRM5W zprbZaEpjf5+f;RpHSW4A79|{}t=av-Zy;Jc_{QmYh8MFb?>(uBCeVE-3h|U zvn?83LEL}mZR%~vL48*ymiqbke0+FcH+=gizP?GFJW)bgKRI{%qa)UzTL1VTJ{zb*|sZN8a#ve_eXAHzhSu;Cb>Rdd(okZy`k#((1!lT7-lNT;n0|XM*qWBuG#9 z1-^gyyrxPg@VD{`cx2Ykq8yFxpX^oYE=)O%A;%zg-<;PWzwz=XUgMLZRtdKUF@|DQ z+AvsN?a^y)=k z)9geYx#)8xr4LVx<}aNY;l=Ke&5xdz=Xi~GKljOB;SEXm|8;4vk9LhI!-q>=T7U2h zH~DuS>Rl)j^*#7IFY&j82=l{dzrRQX?of5?v`@@~3%2h#qvW84rPFa=poSyt_@hiw zUOV&A*NRQ`uJzZj3dCQ^A3Km2qeyt_J?*aAI^=w2UKR<1o7nOjt za8LIW`K^24(z~DH^+b3{ccraQ1#KIpP`md|(1mv@A5#6uOm|PJW{#4^`c&XH@ACTp z?hNsG`N!wY9l@>Y9R2POXOnYn@aK4j%dau~*6m+)+?0CDJX1?8dtTr%F0X(1kDu-% z)9}Oh@^&t?d(elovwQPDynJ;AHV{sn*O#_`?lz6UXSCp{B_19))mn@gnqwlj=4A;Jdp3PqVY~yn1(@s{=dNh^088d0uE45)XnU&l*(PAJ7)q_3P!I zPM8KN*!rUf!s3y~Dr3x)lh}Rf`a5_^ZI9~@^$|7xs_7I5)KSGA+jjTD)d{-q!rHt3 zW`5M(`Nl&Thb9{=Je97~eT8+2<45cik@Hz(r6|EE-Ms$4J5#!D@sCcler~n*P`GrC zPhEdW%@ZD6$NDeqc6`Rt{_?FXbY(zePpemmsI$#THqrI>tsh4Z7G4E2SMo+C+aTdce`UKJN4d)&JHZ@kHfcdq?e` zNoM>A<@w=9eDMV`tz&fPs&)46c(1wl*Z|rYh1l^w`WZB@$6S84*!HcB5X#h z)#{HvV0-oUL(O}Y4X~~`YG};v@|EMVab$gW?=EZPF1)S~(EYMkz6f2=A?jq_Y}_O#PI^R@V~ zPpR4blY4ys>C{i|FU7=RnkkBtGiv3#_R6>t*)ZK$A| z2xvnE-B>^yD(J@Y*-$|@knP(e2n(1r@Sv4l2M z(2eD@p@MEApA8jsGXZU=pc_kQLj~PfJ{v0NCi2-(K{pf7h6=i|gf>*rjpehUf^H(8 z4Ha}V0d1(D8%tIOa8!G4~^4U;9Hxtl?3c9g`HdN4!<+GuJZX%xz6?8KJZK$9d zOK3v{-B>;wD(EKi*-$|@6VQeVy0L^dRM3s(v!Q}+BA*QvbTa{MsGu85XhQ|vSUwvn z=qB>nP(e2n(1r@Sv4l2M(2eD@p@MEApA8jsGXZU=pc_kQLj~PfJ{v0NCi2-(K{pf7 zh6=i|gf>*rjpehUf^H(84Ha}V0d1(D8%tIOa8!G4~^4U;9Hxtl?3c9g`HdN4! z<+GuJZX%xz6?8KJZK$9dOK3v{-B>;wD(EKi*-$|@6VQeVy0L^dRM3s(v!Q}+BA*Qv zbTa{MsGu85XhQ|vSUwvn=qB>nP(e2n(1r@Sv4l2M(2eD@p@MEApA8jsGXZU=pc_kQ zLj~PfJ{v0NCi40Jiwd$F8qm@6iAEuoCRKwd)oL!G%@(cx9Oop@B;EE+^sj2t98QU4 zy>X64Ba;7*w)YQ?TNb5E>Ycl{P}l^Lif09$+Yf zFtdxed@cZj9Yk@wlB{*KxvVpm=h7woby?k=s}2JWEz*KZ z)Q`L9?z|O};w5rQm5{P7(Qf%J_i0ehrM9wH_s@+)U@+6&@AZ4%_kG{*=kxvcHz|pN z;$lf*3>P&*@U4Y{Kon(EP$2`KHl(eUP(%b}b}F$JQo38fij{C&pxu4-t9NmEiDL~J zb+Sp#jt0uf;Af8{B|LV^N}-Y%s^_G`q&5lah9qC(?A@QqJ4N}hT8DvpVEC(OQ;qDF zzF|1i((oY)C??;aDoAqphm}G0fAwp7@Rw0ZqXyts@_mHLc1aT`hnkeKXxV)CcvQZ_ z$M?@|+;6s_(hn<x*~SDY zhjjetBtw9)^(sLY<&1Vu3X5 zB0DlwVU!d98fl{(Dp|sK7mr0@4;7nH>uz46A&H`m*BI*ML`GzB9rbS_)exxcT2tc; z)1I|8Ng?G}$Qm&^fl=7gwgU-GVX429h2J=Bc51c42QA0r7jgplpmD&inFJe^oT;M1|T1Xob zb&pBsn2=#W(z*0WR>40AR6|3_&Rq@A(5mY7bttYzN{Ok}wMUu4`dFP|I;mk88~N32 z$5cu;DHSN$hY>_=%T1cp=425cEhqY<2!+E?ND_Bi(Gv~u-SX$45zv8_7V#X$(3Dt& z&{L%8q>S*ai4}@=EQ6#eZ=?LUpT_r@SZidzW25F}c1dO&s67@ss+-eyb!vra0jkv` z#T7Ao+_CAg$Y|px1S&W63{-d{jlPR=k#kj)Ju-4kdW(`m5gU69X2t5mXMM=Ky@@J# zxWhb(R(5Txx{>?S%{a9$sdqV@TwN2ilf`KB+@^g(Tw^FXE>VXyiX4oZ$fON19Wfbd z3Tj7XylW%)1Clizk3UDIW8S=GD50^$QOgth{iak)nRGt;R$psz!8A!^P7jPub~%Vk zy(0_@uXMwgSvC_J6=pn7q(F^3m7a96mh+!j_E{UN*Fa0>NnzDQ0moLb=KAzw_Esz` z-DpH#T6_DjOEwzA^L9%OtJqrRgNPfb)-7dSjae%lh4TTbC$5=wwLSlA&Lts=R#i)+ zo}%oq-MZwjk)nOeleav!hDwr~Hj0r!iJ<%~$!~AcwoLgY<|2bE|IN=9m~ffAei;pkgNHMJj~P!t|DDrLr8 zRCu%nNw)(T$gZ@$%?AhW{V&6#M0`RrGV&xVz82fTd>|mQCb=oS&Fp6LtU1c$9E1ZU zc7KC4veB{l_py2*&!f(e*`fJhwX}g^$%F0eMz^Mg>shz0QQGFZ9Lc^FSKpEAtSvQ5 zFH-fiA&s_|p3oZ@{tpOYMg$$3x#pZayT80eI<{L|;G?8=CtNezRVZQZcI-~cx*e#s z)EsZT5aetOA!_;tYQAUgcjjaX<+gBD@8g<&Au(y=MRVBJ59Pua+jmvihHF*N`+@! z^Bu9xxGa2^z2*mRM%h_Ex7ed08}rZmcGqaFlGfXN!83~gowLeTjMf2CU0q`B2jxw9 zV$I!o$-EP&ZGGQ9Dd(Hl9}9_ejVXJsIuSos%NOOkV|<<#yiG5>wC@E{9An|X6f>5+ z(f!9~iTiR6HBxU z^(ocrQdL%#JWI=AjXLVH_Uo})V^l?5Wo+(Ste(oS-e5(at;AkB%G+8KMTDbKvRjy# zpp57ic?-G*+Nlu?=#orCT6GnL+fs6Zp-L*0yscIo=n-i4uYCIVBg#Upp;k=RBZ4TE zMOl2(METN+zJ)5&hP6*C5KXz#BMQ%7Gy{{V3RFeYK^?iCQuhdSZdGfnqG;({(0D8& zj2M)(sO%apt!W}-qKvSlhf#x<@P9U?b8mb(HU&gKz%%FZ15`8lXX~OC@$)f zIX-H()sBbJpvJNhkoJP?>?OoQUAy1;RbQ|R4 zSQg%k>z#ZdZFL20=vE(vlW}*~o>4~1l9sTSV+X;2qk^}R6jdEf>}Oul>!?|b+Rh%{ zGp^5J3pZq<52K1{Uc-Fmjk3eJ;uds>K_duO9idFaa)Kp+a&8RfYOkSH&YG5iyCsQ9 znTb^F3W?E>Y@0meqeN}Pi(ruFQTf#K5^HJcvw!q64fP1Iv2XZ^35#;pic(b#)LX_5 z-!#)aX#p>R|3yQ!P|1+fqEsoWz*Ja3zh)@v5ysnN)3+>=ungy9Q4-UxU0Q zj5I|(Hns)RI+?17z;dyqiqp|E)cVb&8(EaEwA6yWm8&j4XN+v4P_ne8jmp9}De)F6 zdIq~=PN0A}iXt|saoN-&rKUk#u{B1PCWU08wcw#wN#$?GF+_^QeMxApnr-M77rG+q zs^AI}mBs3`U(rvK%vnOk7K);0h#r=RF|j0hWs&#g5!l}?7$#O14h7LGZ~4@7uwML0 zl{Ma*{n@C3TEo~fcZW!!;0o=vw>28!N0DS_gQ^QaB1!M4v_CQqSOJjMYxF%65EO|S*| zgt>>JqO+5YbX?#UU)r0lICfTpI)Uk;T1E`R2`6J9j7$ufuWC8p)RWSfDDw@i((Icx z%FW2Y(BdH6W}$2fv<5L!STTEM5cOm+Ra`%9CijUdp%vXAn8TKe#R|8N8w$r4i6x44 z-CRs8hpO7gM2e0#i_v(0{udN#d6--&6Q@pvagSs3Dntdpo3K-{i&u2mNUDIPh=wzI z1Pd15Ucr_TOD*H1Afq}OBF*D5el;+}sO7Fl=u_T$gBUY!%GWwP&N^tGCh#=Y-U0@7R9SJ%o~KsHM383ZFs+RW-;q2A*QsIVDzkn(3ms=usSi z=8T*Z!tQsd#uZV~F`IbRv@CvlX7;bXwCCIb`m5)PhBq5v8uyJ+YXF-QJd>(0pD{PH zQBFUMMJ#!*`lRVPAi+b%lU20r^%G{t=?*L_h zOH^^Ll&y7p$YGW?Whk{PX2ke}_=;D5lDSU)!ifD|*(@67#(=_)R#T~ zvfqrR29~!;ulhMu^E4)O5xyR;nyse@Hrcv*nCD#Q>PD%T+05d*{4rt3aqkQ}X-2$v zm>Z8cCr!6{+0-AmBYnSPExc*BSILNdB|prUY$l5;1HJ)w)hc#V6)G?~(j9#sJ z#>^41SY@FnoNcGJ=7{|!uW5y6On)hGQJfSSGH;x9w#pWr5BQ3KKG&aP?cQLKACKyf zd8H$yJ?32BX1U{$XxpnKYClEJklY=)&@)yHQXezxVn!Eo|@hnSIN~Eaj`*M3_qB1N~$-+J=awrMXoU|^26%Y z7qiCn&eVa*@tqGZs2t_pU(Iy0GnI`4lj6YTy(QzYS2Vsp{_n{kbL{a{XSir&-+Aa( z5a>lsIm|QOB5NdaQ9)1mO{q>i%{J+@;F)9f!~Zz)M;)9qP&8)5;iU$Hp1H<~#$}i5 z(>qLgRh}Em$}eUgasB283`ff1de**rr?^hzSNXm2!J;+=Z!EJhFf_>Smd$2*;*Pw> z-0Z}ikA>2_?4HY3o-XgPC*FUtSPY&SymLirr{q11pYgs+P7X5bjaWVJ{+PJgt9Kr{ z6^6&#`EGVPJ{5My>?`+nPa;1#ILJEL$tdT%J<-&TR?q!2|C4V{trMN9&b@-b#a8rE%T@x zBU|Rw7~OJKO7unyXRW?EQlvfeD8J-T?`c!7z}KnHdq-H9x)F89N{vfp!+&e%QrS+y z-ZI-48BNvB*^PT-u&NSx?r(|<2hqDnNLW3N8LeX30U!dd_hxcz_09gpGS%{q-q`zq zJO1s%j|QLn&!5WA)Qej4FQmG6h7J)0YBt}cDBq6Rgs#e~%CbZ`=e#=cK4Yh#JOw1i zF>zd86}b(}ZKC6GJOD5q-`_P&h*l>{l%w102P(74nLlwHc2izp=O|RuVGpu*f#7;@ zH4d|I)&UyZCOrbmHe@IS{$xM%J@CvqIU-}?{duB z4n#irxs7A}qgT3s0wy~f z#ecZ7I%wR8(X{wMB4om!Tr?Yd^<;*=;y&wqa^I5<+yTsx0xMCm)@j8B6ncuQmBDmn zwysPZ7j!z7(*o*Z8n%nT@_WYFfZ_HsFKP{~@&z9^JSUs!%5jWj{vILIqLWpkVk&8N zS`_wlvg7CI6N9KYu5^ZR!G2Mjz>1!DzwC~u&|l@MqbZ^G#Ndlr40D9dw=+D%u**#Y za#EWgxR}Z>>?q>sl~6N&>%@bd2?dHmJNFboErVMli>jVcTsGJf>SPUeO6$aJacVVqmj`t~qofS3QndP}eX_uhD2K7uty|aT; z2TC{wYw$FK(SV_v7Qo^t6lK+hV#ZQ{-n)uMk2uxTOlXpNBzl_j{ji%=4bY=@x@DsZrAUqTt_Hd4%SFg*cvm4 zap@WXRst+99Tq2~eB{%Uqhy&YCrxO>_BND9g>P0BLNbx9I`uAe=O}5bC}B>u@FKxH zPV`(KdkEY@fRDks?i?(38EQC+dVP1rfK7=Xd~;rrZf4eJ(%Pkpb9rG!evKPgzEzBFd1P8{9 zrYr}_G3ibF5YVEQS+|25eVKfhe4QAa$1J^b0E=MSD+QJck8HY46E$2(C+*?du$zl^ zI{D=ONsWH@-1YFY*X|82ER;V(UbV{$PyY+|)UYG|WciXiQvcmwTnWE-?ADLIy?g>c z|NQVX(Xrl-3cu=pX7H6;wK2b|A(g~y+c?3OuP|Z7(94qx1EE1{6eGuK_@@Fxi23+eE;s8Ur6rJ`Gw@> z7JtJ%HE{6i?;KMV+tT(@H4|t`F$Kp5{uQ>dhMLnGnA%Rlwp2O*9Ey-d$Qp z0k&A;&+Ss?m&a&wdrJ@ z6TZ>UcSYq6KWO4gnWQBPI}u(Dc(aNUzysR-6V7!a7)oZ%Nq%ufiS6V~;>INhdNo6H z?|+~uj)JRsKmChFAHKuRrW?CETYUGoe?YEY9C^KXTiV`|ZA681b1@h?m|^y?_HaC) zFUuQ3Rg@m@$vg)NlS@Yh<19I8w8mJ|q3iZxDQ@^`{g%fa8qCDGw<7)yD!(5o*8{mq z8a5ri$h?=F6tI|rU}2Yr_sp_&OpG#cFMIlc6xFtKfgFpAtL71A08F2gwJT8QP{D9O zZulBIO%fC;ORQDYvoG>H((5xaYH~SUH%{^A*oy5o+3Rq}jmspvd7xJEmq_QK^Ji(7 zALeiWXFP&|AEumYmcd&q2og&f^+*-iIjO!LsVCAA00S9CaEx~R$`u>?9?8X3-~=`+#95{;bK`ITQYYQICC&6{?AF$k zi}3G{kV3r5@;V&YSzwC^SPC%ckULn_TnkS9s#E(&RU6IX>8?-j#vuKV}gV#u)jyjQL^No_?x&6XMme8oGviwEg z)<#(_T>u#gW`vG`5rUhovCPxvH79CUbud=G(cH5_nQYC(Pq0ns;c(rkmi>CO3&XgW zE_R z)-$7w@XtDRySizRf@6<4ygmOt=|(3V%iFMXmq%2>pK#J!Ec32hBeHdo_1ON|(*mA; zYYni=IU6L!45cf8-nUs@UKz}LZ$-%+w}%%dATzguEZ~!HetV_-aO_=UtWD{#HZ*eO zcF;5PB|>EnriRN}eAB33cCzpAjh<1ej>U7{5X;kZhH!t@HV8Wfz`Sf4b#2+R)*P~* zU?QfxdenvEG}+xt{@~N1KT3Xm41`1W(UGBYGu?f-%z8DI#1UNNwi^CL&wIzym?6eL z7$HX-^z2FMZ)T-I!i6}O{v?##sA&utM-J|U$Ho4GOHVi>DSFsDZJspGk32c@m0*Yz zC4VyxdD-Q~&(&9k^Xp@*riPCN`$^%Y@*B~e>by6~PJ#yVjg#(?ks&8M)}~ReeasJW z`DiB`D4SEm`70(J5~nb;YvrA7*c3;-)!C>HEyyzLg=3_C$NP7Fx#LaQLnEDm`fW}( zKURBEyi{Ia-ETrWUdNHV(~X{y-!Q-YPScr}-c9e>-amhPB@H6If~9fVw?{?IXaiU# zw4%>RiN!6?w-#Tv}0csBVP!nwmXN1%>tLnnSUIU{JdCzac zCcnm-=2@3L{JaU1zQVH6y1}CJ=9+1#5fP!9V6cTqL$FImUr<7sbsYxnCJY`Ixib&Q zAdnBhw6tt|17r;>sjV45x2+G2RP+)da~@U3!6_*XsY&G*+7!&l5oW2?6sZ_)78IZD zrJV{}b>_gnY{#Q!aUmLYDx$8_%2_~DOt}H(q(e_XPa9QO(ZI9!vxzi?c8_>Fcr6aH z@v4s<(NCklDIhZjYh%Zw928apDyoIevQLP=<*?Ut{uyR)gMvE2rd-(5@HVTP9gy>% zS38T1Qvb-`zCG?_d}B%4zCc(FYzb@Fcg>swM#|EZ%10pv9V1eRv_JuRFenHh*+*Sv ztK7<_K{ODtVJi_J_tWGg+*YVx71}x6Hfx%}6>BYlYb8~Igc`7PVPJ2UEtNMsUFr9( zn`a#Wt!>rfWuIr92f$&M9`=p;Vh|29FV<*ZQNs64--|p8;ORd(>+ZvNj5=;ZtK(W= zyi|@LB2x!H0(pS>p>xC9CRBm^fUAL7zyPGCi&SRKw1h>}z7 zv%!V3-!H01#2X($Bv2!z*l;wkNyEp136xMVTu&?=m`cix2;^c8(F&xh8PUmd#DOOB zD%Ydr9vT_}nP}pTifzZleZdMyVyP0Wreo8np}}ewb*(K`5F{ChmYcYx4Li^Q zx!2FYhffSRhBydXNH^3&*?a%?+ldc&|J6)GCMssSQiflnaSAwI!vIwxkkG&v3h9S| z&tqJ1bjfX~BICzvCM-GSYIF>@S=UZGQfaI?4RBJK$cScyl-TY8$kr|}OcvyAF5p%Y zQ}P5r{y>dt8X9V-ONPG%Qv||+JAn=NL-$|cV9OB38oC@Mu==hkNg_)KRS$DD=mRpZQ9`a6HG;CE6a*KsRGF3Ig|FfyuDI zO(d^e`ZTb0^vmj*5IR*RUxer`?jdS`$p*3v=ae?kqa+vvC`2My5W9xTD&T=eSyN}y z!zYFXD-)D>8B~f<134Xh%dA1x(f>MVMcvvk|LO1U$y0fZW&)Mjm?0fDOdsnzx!FX} zC0GrSbZb}ibLk`)54vc~L6e|Qp{z$8d|L&#h#o`}J~5_u*RyRoP^uD zD~Pb|B+vp#BN0}1gIhfZV`jkr^&=~YR{i{&6a3AW9{x7Yy>ALaL<0$WCaqL-5n*^E z(NB5?oxg@ zIw4k7m&+ccltS@nN(MU(NY-j939V8ZrY>>ss&U={*N5LPLtZiJnRwg53?)Nf&?)DY zJ?Iz&IJspQ`FcU;R#xbJ@G@xxMVZUEio|!|?J++YC_qc=80XC(>p=MkrQl#FN1#gT zN#A!R6v~_^E8r(V<7!ytxPk$Heplpu(g;$PR+A54>~|i18q52!AY-z(DL>;B%&&Ny z)}C?~dFD-@8hTUcmFP*3mb1}yO@Lct!gcGx_8^f{wup9)`Ryy_&0g>n5obnty=&JG znDtxS6MK|)SQ;F1PVr#PVa+?9TF3Q;vh302YT=s6lW_ws^qgDse5OtNwK1ol?~E}~ zs>7(NzU~k7omzmns%4(jkC1+w?~Gh8!Hn4{F<6~qXYl$=RDG;k9~wsy*d?=Q-)>%Xx_ig(MC!+#9xT37g+uEG&p+qP!4h#Bz zcC)8WJX7Ata^7@|%Y!|$SlysUoLrwxWv6Hj4ISoDEim499E3JFSm0fQ)Ow7IC(8QN z031V1BW1N?qSa&lT)H*06ZIcKjFo%kjk;~l#>PpKnT-mtA8K;U+3(KQo+DMtUn+mE z+)}HD&3AfebCrQ|JM?0XOx`+kVp*-d#>THY?XFEHj1p0P4S-{frkZD*htr}qtO1@? z#6+YXF?&k?st!dUI0%;`K%~G}r6){B6@bqAJ+d`;Mco=quxTc03GO+JtCW+g(A314 zgQdz3+Z34WpaVquRZhV*;J9HeIZhlYKSC;(&2s}495~mzx?updwiD>uUbqRWfr%pk z#KhFtxZ1p2#$oT9WkBNm;MzzCIBr??8n=T3Txo}vcewF3|HH^rwO!Zp`R32y$_aCzR&GyhopS{()G)QruUwP%R zL(x6rzakdgQy8*GKYqda!61NfWhKid`sOJpdZ6JO^buFVr@-6w=|rah@hO660>r&J z25tk#vqykKCbY^~VAVHvKylQMH~@kNHz;&>guNZtdEZ2w5H84z>jx~pK{M}{%SA1J z54lqF3f|=vq8O-SB8Nu zbqD;bKN&Rh>eZi^$MdO|c`X1$Z<;_Lb-an_;ztKW6-=ZiFXH$uJi zb*jPWalA7o<^-546%fv9bgonqS7S}oFM^&rd9VaTT8i3_%PiFDGwskb&zPmtYz0#f zLac;V8>wRK^o}3E*CI6rY8u>H1+$ zxe8Nty8jAhOF=(&r|Yt*;zE@TT1+8wrSg6m6ABVi0Twgy{z1$$tOj5#stiG?#1m0! zVh`l5OA{JE>0~Q9O~C%-kkmwXZ5qIVHQ|ru;Ga_|J-e{R@1} z$PW*DYls6ge%+?0o#lWw0w{zu6-}axtAl#|SR1QI@GXLEc$go@gf(n_yv`AGm5#3B zAL2GLdjL>Y`mFM%_%UM1MD5%#6(^l4_4*@In3fvVl0`@xwv8Z`Gv;A9W6;u}ccxsT zkPa>nNWRSCioyp7tj;hNol9R1<3k zG?oC-Vki@$txfmw*VKXW)Oi4V6YceZ$|fyp{D=!$=vA&_v0~-e*teCVUcv|y#5f!= ziz$fJc@y)2tr|d_a$^VLFa?hhtHe~Ue&9HG3ssF-$SPOgcNQo0RyUjcI5zq8UxTSC zo7Ay!%kvgIICcdox08NgopyfB{Y# zOV^$>iQjjw!gtX@949PrzeTICOjeQ!!UEJW+wjPsoI&;Tx8gByIqe?)No*nJp6H|> z>ir*(@Rk_+1{8*hxfz=l)u&h*%$M2EKX~;HoRnGcTHhlv;@grH!|25Eo$+19uyS>z z_+XQ~h&hAYJFRo;N^PAsjv+`P>?Oy=`$4pQC8+#zRD4jLf|_ck$u!vGAT1>3CkHa? zB#wK3kTvm1Gi|oJJTn`YrrQEa^Mp*_#8L#2#9&$}LRQ9{yx#mSQ>WWiZF;jN(@nhJ znet$|*4D+1*tXxl_(>jOc@hJ71>3@tv$jG6C#>QituAxuo! zHw<5}!ed>a?@A?*u>iz2}Sfd*x#wZ{rZp&=JS3?t0!W4#Oyhvg!#Lm1T@>J)_)V~v- z`tji4@Y2xt-~+KjJ?7h3x=ESk~99|AMO4eO3v%Po1E}=eb4UMyXSqgpPcdM{%mskRQ%AfcOQTG|NXr0@A=Z7 zhj078oyB>76YVy*`|I7u|3u6lc(vF4OaAv;G(K$We#1ERQncIEXLnmnI{fbAzjwdI zN00BGKAqfQ{U0Ug9j(`AcTXIg#XTO4ch7t^-aR$>g}eMgd$+YOw)eh=oBd*(g!O#? zi}9EK+I7GG!)s&nSZp#?I4(3TUvf-SX0SvxexfWv@-~Zsg&!ZUGx- zYhfD+QuO*l&{_x>&ENLAHt+{VYXFAfyy?j-f%|8}v9tOfrYVQMn*bRb_A)(};^-J=v`L6IkRQ{WO=f+qwg6H5Y{f(B<(36w_i zaRW54(m)5T0AJ(vy!(H$IeCTJ^I12So(qm(`wwk3cj6w2x+l#c2HA|gSsyXw=4t0- zz`>;~%6jmIKhOV2NFLd?=P!N#ls7NiDFZ4bcPFspERg&W1>vo&>!j3^Z92bQ>w*$4 zA@aLW7x7aL60*+OGg0ocW3QP8(2QJELZtcOu)a=;^Snfv2&?^(ycW&%(A+kH=+AO% z%q$rVrz__|X4Gx5F`vf_7VR(b;%gD5^R)#E&$}?@&hbev?bW5U^`HBjmw}e;ebeu; zLA40rZcgT|0c3TCveqP65~Lbn4x4GmlmE5Kj4f(dB_Ht)MkAY@jRB-+9A<=J4ey(m znAE1vw&9bbnW`GDI~Y%v`zW&x1e8|QhFVC&qU$+P$FOE1;6pH-LYwjzv#~55h^I<` zzrf-XQB;>Dd|6v|7dwta>Bi$8k`pw=I_LPbuA6{yf9};+ zfXQG45DEfR&?Zc~5rbm^QtNU{yVA8gfU9qaHF6{Fk%I3WdN;V`4aD(9b3qQ71!vWm z-S1YgtS8c#sFac0%+5)4xa~P>2-YtlQwk|V%&i+f)V8*~UAQCF;(Q=hvaKx9qfYTg ztU=RHWi6&kAi5R3gKWrOStmEe3r6Rm6(^5mis?h(SPX^vSTId3S@nQ4sO5C+A<~H^ z=>z)!4_;&E?CiCFg~c0zR8?W6@%R$amc2|m)N(EhjD&>)e7r+(r>dnzXNB@bhEYN; zD8x0jV<7q-h>&5;OPX7hjctQfwHnaRq-*q9JBtu(nb#UoD(pF6Q0iGk-Ux~{bHN2w z2Nx3upT%|gnBPDQb|f2t2zq{?XVC?46Kku&F`7w4~Z4C(D zSs&QXM29MK{8@D>TU&KmG@*;O3SR9P&3BJa8RXC%p%u@WHx30F*2=b^Mck7zsWA&N z_*z~YkG_>1T#$MF8=stCv2$kuY!EW!?_{sJE9T4e;pYbrhebQ|T(kNZ=dD!+BQDim z2ynNP7th|7wCC2xSkwk9Ib|Fn`PWAls{2h+A8_7|wH14%y6+7?e8Ydvq*q|^U4h+P zzeh=ZV+MhHh&KIT!F*hr&g~xZ!b-*00ubMOPkF0Lr}*ps?Y{AasK?z}a67u^T-$lu z`&Vvjb(XZ`+s%cLULPyxoP&(=XncJzU00E;m-my% z9c(icyA8NypK#<+@Xdz+;~^L$O&S-@jFLp3NSi3T z7NUB+1THrjEc=YK`*ar5*Xlap>gy?GwlkwB=b6dComR@gSH*ssyam*b6&~fybS-sLde|F56{JIYk2rHdl8JWKY#3+^DcY?Iq%G6)2J&Ft$ts!9hE_CTc-DJEE<*Op44py{evK_2DhyVlA zuJo0;;UkPpQSpewMp64m9ej+>{81ASu~`h(s6oT`gn7!NV?I9| zbj*f!RQ%cr+)zHN`2=JSt)&}2>#ow6%e*mbGXDpnMb+gKLu|_7!K)66P6MrBFlA1pOlvlkyqvmbu;=YUlQVHE=aw?YBcRsn$m5^XC921~$H zCE*Bx@kSNBz~~GT5MjMq8uZY}LV(?ufe)9eMO6V9*w6vWTiTXWry2}?9w9QoQZWX- z%n~nw`T=BTKSwCVj;Q46RmaFbn1}W3Zk*zC+2j>BksQl^oe`Y$~O;JYD zKto0Lc4OWHcjoB`y95j!YLz_-ex&C-tag|_P{2GR(#ur=|I4VvS|&{+Sb_?eU=>>q zHutg#&tXntuTmRO)tZGM1PF;}0shPzM2F;wNgZrLW8DEVEOaqRllvRT)%_0WD6dmB zkW$PoWJ3Q8mW+guLNi0TYw#Fk#3KpMCf0CunD>%^BHK3cB|~~u1YKKlasmO9uEDL4 z>rLm1sU%Szuq_R959c*+(d*a(78}M0Os!OSWig0ob=?LKgulShDV*Zcpf_NdD1LRd zT#XRok2zEpW?}E)ZF=DXAY<@>m$%7z6E>vhre_Hf(79M=ap7Mj?;7SX>B4f1(+17Mv8@*5~9w1 zT_Bb)v3)@gFm;q6au+mZ16l*fhXQ4SOR>4_2scJ^BgP^&cyOi=fDxIEwy~}Vw^R%V z%nhzsrm6e5R6}n_%nJq%WAO3!y+2dnXk&lTa2qhrX;e}$3duZDuvc7KkZg)ncANsk z8rFLTCI&Js-Q;*AY*NL-j*D@m_&>i!pd+y>fkaGW#V9@6xWESR1!9Gi%!Vy9LeGc| zOx*4?31o<)E0bCY_hRXZ1wtC1{jVg(Rl+R5cZt|TMj=@Uw@=8)Sy;FD!ibU zp;z?e2Jvb-8dj+g4*b*lLV(zDnZ!>>rdS3`-1rICRexK<3FD`B%sx*n>MBm{<%C22N#nE;Rin*AxZ!k69wZFq01tX zb)8IKLm+xuk+7wNLisMMNIC9EASM{0OX-&6AaoT2ak>ij<_vLNnL&b)g}q^>VMkgA zU4R~dD#pIFfYyq(=Apa3LB8@!uf{jl$M4Cp(hHlqWkE0CUU^< zZaWAr2B4NmlTmb%N%E4B%ihboPmp&c;>-~Nc98%%Ubj~;UU`**#PK(5#EMJAp~P57 z2*5D}Hh^Xt7FV#!P9mHlstyQ;mPU%<4D9LwywIk76XU_Z3WZ@qBa+dViA$z>d2O}<+c|*R;P3!z&24rsEizQ zS@>Le)T#B#H+;HQrnRc+z#$xUR_vBB7{dtN8FSAQ@0wX%8!0?*(mK>7MK)`# zWX|}t+n!w-6b~PCtlPbr2zZM!WrV{eM3j)~@N+H~H-lT-J=R(~xPNfJDQd_3`a-oD zE_n5YLxnp$>Uu_X?dd6ASUj{Orp($Rl+9xv%mpZ3KA8|3$QAlBvNI+qQkT{R8tvkTYrQGf zf3u|g)<;O^%U&n@_=9G?`{jn+6`)YW7fL74>r-K9AQ|LT59vA~z%}Jmcq<%GzhUR) z@%RxWAc*|>fc>&O^_}%ne3&D?N_!=HR3e+iCCCKgM}e8U)8Y~LR5+0R;OS50rTEDp z0mJgHw|>CB3&cJ|BF(8F$#D2!WQ1`f1(88zWgtmyVB-VXcR%(vl7W1n$juMR4F0UEBx>jNP_>w;GKp}1(;;cNYi4%JTeE|oQMRIWJ* zetyJ#RjOMDrw-7q^7t6(Cr8J-gjzK@=p3atC9cd60ok}fh7+*~Q=Ks+ajayOoeM4= z4)KGL6$(<|(n0O?2(W2L(^mNv?Xg}+f5%rkHo3!xdY0|fnw@>d3_-Bl8Foo zzqmdCl7~J)-in8{>IKrJkSyHR)BqUW#o!cfbQl?NNoJAq>Xr2a)6prsoffYgC~7^- zd=yRZUKjIAPP)orKAvj+#B_?<)ypo@jOs~8UhXAQesA&V;)6-zm^fNp7a3zDs}a@u zFUz7k_F@-{4`kC^C7Ay$xD)cjzC6;oG#!q~WawGKb3r6JP>342&8&>lzz!*NI;n~f!I^yScNYXlu1X! z8qL1?P2@o#pp{l$a+7GZtb}0?kD>B~$*F804)ZFg;!6&`65=SmDym$4x+1Rg<~2pfWz=Wc9WJ6Zj(;Z zb&|(PVE8=D5EAb6Up5&$z{Xyx*`**E>%D~&*^Ppt41V_4k;GKN@`PCiax7{A7%aiZ zo5tg5((-UXD`!R+fI7+nS}uCVwAo(uGY}MHD^&j2k?Eb+BKS4n1zakCdR`_yhGV2I z_Yb<$es0h7M*Ez^1&Uhopn%q@t03<1^q^6JHK9ic@6n=GsBN^m-8s&wQc^l)+A)e$ z7yh&vA)AxtA8hQ^oXKua@w`UYq;njSarfQ7h5XGTb0~SnQ)Y~Lic*QR=|e`4pc4ss za2MVe7Fu?NdKeTqnSi>}SWU^~TxFVA8CLKRfQK$Kinvb&F~RG00JTh&esZ=*vOY8a zEus2E8y{7?Pjj38UXs$+7yn*L6mpe* znr*alrHYiO`*0xcr?0f_gQ@%d4)ZJo52#_)PJnq6GLoV>$|&!xJ9x$L4poTn^N| z*#``3eR%8kkN;8RU?uJ|VhOrE{pgsbStWPN?OAHO8W7u{i9Cu(V9TShwQdwiZdY77^)SyCuwoBPBVeP7(8)g>+rNHbbsRIC^ z^;podhvTlK1-}f?Zv4!mrPsQ4L@_u(!sfW8;Yn;%Yen(mA%F$rP-Ubuq`(PO)h}e*x+2^3=MQX2`0Ej`fWU04Wt%3-J5~?a~0j zj~}({+mepC)gW9&<~gA))nMNoQN|%wNVPi+UwzuA5k)_eoP&qsZ8k&@5k1|f)%o5b zX5is)h@ES)4V+1e!96DeNN{(N1%g(ACI&k3yt>o1mHmb?OwVDFz=7U)3SzYL*|&`x zL5y;wY`HjlyA`D1hy&HY)(shCfwJ^X=Zfd6Sa6WbXOm?Vg}{kqB->+gA&p@Ukyj6_ zvdWj_h{q)B#QEb=~;v|FFX{iy`2mW$Z%HTKzo& zrm7Sv`BfH<9fY^*JMok966m?I2;2Zc1Ybj`^k`8(J^4<=fl0w!wKK zZR+;+d_apWwOEb{g0RPe{Qv5!9YfL>PwWDC8sWMI;!{W(cS>?;5XchvE*9mXk9Ld| z*gTPJ!5}tTDP-a&Cke9UN*gR|1QS}GPM#Z=Nw0v;GmPJ5sfZ`}4PL&0C*n5zqh0;kx413t$WthF(Z8RyL`YsE_3Ty!usOWh57B-7Q#-e ze39o@Nnt4%9l0)Nt}%JVd&Y51JgcO<;xt`nr7QDK`tQi_0!#IO{+a7AU*|m#b*F+g z@d!QiqW$cfvA!HkFRf5eG;IA7&3ELj;1z_L5a-IRsORqcC*MEBhPwmbk+?$s9wSHG zUmHOF*`6`H*%DH(=h~|*y7d(ivQ*8JBSrt zjz-Pa`wp2LV@JWDkemHmeoustZ4a5nOJb21Htf7xUg_dVbHM>0>1+$Zp$&V8xvftj zi|Z9*;rI2GhY(~Oe*W6_ffINpOvn%nebeh1&>1SA(lImQTaH3|2sl*eoQ&d19ILT$ zeh^}uNdqC;auV2ADj|^UA}sCX*=kjEg%B(n1cW+xHkX!p$(8})ch@j;1mQISGG3BB zt=HI&jcCqG!s%PuX#nd$D}yRvbO*#70Qyve;#k^f%SodeJ=J6`J0FfkaNLzU|8eP6W0pqSOO(I=^){$K7Xj) zXGY*nnja8@7dEpFz*H6>3!aVebRk`%rrzt=jpXrr;7+;1JA0MyWF3ue8P;Tal;H_K zsRU;C`$7P5k30*xHWIVk-eWz01j2ZYDgX5ON7Bc&yruXv_=&`~#?IN%h+`Y!Mp<_MUy;BYwN=GU+=ZwyrRc!pAe3 znBF~vyf`R3<7U)z4$BBG$*9lb@K>PR^k>01Ob!R~rCG4LD)K8LhBYB)ne+-~v?}sv z+}S`e;;MlM|*&NU4MQGO|cn2vmW$t+-h>x!!P!WRVwr3%)~eG3 zHvl|LWl;gwuHtG9l}s71WQx*WMeHlIR=Q)u1lT1IlDsDcPmHB~fhHwIGiVB?7y%zx zorh)<(4AVs_3VCwEEbh1xd;SYa$rMCx@dJBWzNX{(J$|j*zv%H!g53Zcd3)a8A8ui zlK2paf`<1cGypIYkG`@rnTa_7d9{JdqnT>Li2!R)%SIMi$`}I;)&K!xllVSpGs?uh z5gd%95(Q7c!{Aim=KkN*y$^64*L~;N-54=rS#dDU5z-!GneK-X+=3~Z7&6M3QJm>U zlWIbcMbX+6%jZf+h|$7YJC*woCQSlq`TPYhkk zN*t8>`OuzNS4b5?(q^nXSAXV|kEn|PVvtD7uJw@@X$(Z-9*u>@l#2Mw#w$Evphv2} zzJUxN3@je$2#h#g!@doAkTPhciyj)~QnUQRi*IL@1dRvf(#d7%Wq*P=p!NLvM4rB5=OzbJt#kea#68sWuM`t|52tdoZ_Of;B_tIAhV)dKx{AwfNr7#VPy zh-d@GqW=FU+qUucfh5M2uf2|Pw0j4*AP z`_vX1$SP1eO2+TBe;bj8IJ*Q`p+|JsueFh(jDgDU(z6%6_2*D~d^q3POs39;`vbL3 zfRm;=(>|QDWbJNF#Yd+$&U>?YcL_$`yAUdE2q0w>8hRb+CJVX{fi~uW=Am@z5${ef z2@mIq)n_kfU=_`&quM=LN7LXYGx*du=aZz;aQ07CWzJGt_fV2W$t!W~Xq4QFPc*?8 zZD|Cx7jd+aFGao{TMrtqENpRm0Y+;yqj%PWk96}ZD70_}!T(CG*$rklv+Q=B8Xa?OvZ-xVk`wZ>TEnSHe`D8e;Fr#K(Rgu+Mw12 z7ql`_PIxYk5N_u)05qdj24B#=h&K>njBi`~A1@tta8(!@A^eXG9Ay#3C<}s$IQ87o zB5>9nEph(Am!^dQL`HS_AJ+&V*UZjMZT;d)RZ1PZH*PncsACFvO7HTrZ*-5;vPWy~ zzC|4CHl8`RwfWpv`;Cd&;^b}5;v@6gXnR48n~x#o=3i*#7%% zM{aAG{_?I{FLETR-jfX5;oY?_%I+%HKueLvP>J-d8MbZEYmawr;&J+WYi` z`-#!Uxd4A7jdC&UO^%Mgn0!NTt=?IGw#5^79{q2W+V^7e-J#QAtFzGr zFGk_C)mk7p9bma_7Fc~IhKQ0;8plt_2u=)HdzQ9hfdIoonSB@XL*v2Qwi6hrW}Tup zqTUzULqtV+o%CX&0Nz#dHE5mLHxS=qUoua}j- zq%Z*o@UIQ_&RO?wdG||iWhBpyVYp}aujp3DFk*>_@5-X*N1wr^>&3ns5}Ews*ac!D zO*s$~ZUFD~T5F+)BhHvg%6Ft$r7n2e+CXv;Ow{%=_OorbYq#NzkocG_!FK0wYbx(6 z(d2IY1a|X6ZrI4G=Qm#4-F6dE3cPgb$tFsnCva3b-BkStM{gTN<2PkXoUQ#fVHEZU zk$I%P>DfV}Fv_tS%izw9Vc`HiPX}hu zZ9Fi#9ohLgiwPi#xp6{69PhHAX3JYeO7juEwKKemcL%Njp+%52)VJV~?Z%L4EaUib zXA_=086J2J8wP{1WU~Kjg%kC^p@$+OUp2Q|<}3JV97ymgdK#Iw0%9rkWOwOfz>?8gxp2Q?zK)hu*MEOo4B6GNRtwAeX-*^?Fx48DCyh+7yst_^_ruwgTj z3(8`Vlf}C|k3`4gJYqfFbj;q$pmuwNNYO!v*ugL$f)7-ygGYlY5n&#K*IJM~p021g zgyIRW>$qeb*w(ZmWL5ptXRb4CCLF|&aasU&Ep4XYfII7*qE<;F=@2{F_(~qwM#BQJ z(@8Y)KJ=5F8^bsYk!_8Lc~*OmjsJw3Ez`5~2gF||0;9t7Z#&%1;5NdCtt#n%tq39@ zX(IV;zk?Z|qfRQrU$1!lw{ZMH=$cZInQV5%K??scp=erS9pb2wVEvAdAt!cL0&YlW z)=g@mkHR*jiI$G{`KqWC(QXt%h4X}itMBbsOd`UKB!P}EqeuiQy*e?RfssX(+I_M3Cx#;{_?5 zZRyhE<<;EoT=J|NcY7G_qU*APJ;4c^5!r()+GLp3FwIr=rV5}_opQ`AVI#oU+%bUr z%zH%6E*E5v53nXvkLERItTW4Q3V(q9~@Kx)XH*e z-W@QVic0%G_X~x!+!2E&B*thph7Jjd+-y(4I1(5zF|lZ#+rh0RdB*M#OL#^4UtHUg zkSzjZM0aG$NqT{E6t5QK&rKnfUJz{xEWqk~iXS{=Cz}C9ug0CS4-scOxj4}9ZXB!g zU~q#tb=<$@r@y^8I$ip@OjpDor1U*Gcj*l-E4Y#11hRkA@#Me{`;U~aE^m13w{da# z%U_e%Z{C0W%};Im$KX)&CbybRUzN?Gu6fn`V(E_a7o}Ux_ncMUT-Xn|)%-1`UGv7d zYew8^e!w?ov%I2oZ+Tnk-NGx*EpID*Tb}z*kNlh`aHoO8XhI>uC2`v@er?9X%usK* zEMiZ2OjzVI)MH?IWBj+v?VMpw6C?{i6&X~+)?IcYY|8OWlVM2+xRY3xua&mTr_YAQ z@|S}$JzF&VM_Xdai>xjeD$cxO4VuQP6M`}!r*~weZL5%XE~c=hm1{LE zoy#5r*ol$HVhiXzgWh83iqiQhfQ&Y;h~26p1OVAu2byoMC{x}qcUzs62QU8fyjNRw zjTxRBGs^gr;Rr29Fs`Bt7(d$GA2%QJ?lJC^bI}dYwh#Aq61;4ozNjW@ax{k4%Fi!& zy=W0`zTXUK41xj2aAYZNt|cK-sMpP_EGRozOR#n{!_Rpw;FSd;Tf6Q@Ru|&l*5d7R zc~_|};n5nVJ9O5sU1D~2qtsw7X$|V_wNXTn+4Qi8%R#2c0;Dv{f7#VbHz6DN&H1sW z{?p2QyXV7iwY?(ro;l-9TxgySd$W-NE;g69f^MrL z7{GV8dR36o2=FI#FN~*YMYpu4Ph!5!gl*T^d=F}cZ)+DbTgWaRIJ3h+71I9 z`BAk$#91z{aLY!=}XK zT~hJdZS0GH?r*TR37CWyg79mdFro{iVk+J;oOqS}$nNLdnF8ZOx9AS(!&@`EyBwI1 zEeLqwD26XVJ6mR_tKG%!g=QB|ffP`6cfpVoOe)uQS1kv`p!z~c?lb>Gv;T-!XmF$Z z?Ub#y&W9VR_ma8DHjQW*9ufhY`>0dBs_UI5yQ>TcD7TwTm#t$&Z}GB9ng!oJ`dLH3 zRquH2t+>PObS<atsMh)iJl#pmv}^d~}hA=3VrJfil&-!aEO(x3#A4kp`~txqpX-w$ZBUay}{)lMwfXPJDU@RRIA*Xn+}DBeTvl-*fvdJ&Pp z3qUo%-Al@2Lpy>F27lQlfoB+_zN4*hILK`^U_t`P5`3u{)xDwumV`r3!f_nlc&(x- z(m%_B=Z)rAUn-XGJX{F<3PF$vto@hQpVDCRh#%W)p4Uz>9kr}SVMK0YwKfQ~m`c7C z^bz!;-*qPJjN!v%$Zi}o2`W+`N_L3Y*sZ$54~KKQVTWLER%^^f8Uii#2$(`Rw(2Cj}e1~AIk-P~@hkNQ7(RCiYInQ;#Dd}c@8pq{S>tnh>aQ|Ftn!MZR) z&71+EJ%BTY2K)4uLuSX6`|Kfi<~72{wbIA+jqkl@d@-E*0#V3&-6xs=A(NOFG(U8v zj`Poa{i*cHZOiV=%lcn)s7m)p-o51Yei;Q-^37+0XO}kmlcTL;8@neaN1wT3mgD17 z&EDCWP>(Op9*=L=-7}5h0x0uPc(9-6!COZD(4BId94B*g_l4%Cyl36UlOC3i&hfbS zBth<8&ObE2ZEnx*_AeB*m&~)M0Bt2Xz7vpN%C~wVpO{7R2|#%6j&G z$0TyFdJ^@soLs~Wqq5VP9-wGYRHBY@4bpbfF?H8F-oDSr#6K%G#! zYcKZK({OmB@GpdVUxB9VB6{onPSc013p-W1Q8jMAaAx;o5ez*d!&vQbCp%97a7P2l zT&*+vcNT-DOSBY#S?DG4uV}}2i417%OsJ}Ulvw|6HC2G!aHJutXX4Oqm`e9OO?~xu zzC1H)reallI9`s-&CH-bN{l}X7Ce0Dl43ut4DOdDeidq+J(!y7_Fl;!Mw3&)ff5OJ{Jkid8RqxcQ;n`1XZg*xn49PKH7>4qh-nK5_j>h0QX77$(CDiAoI`?GqL2 zc;Q`2(!tw*@85TKTrWZaQXp%76$#_87Jj3KXR4Y_@{om80W8FpWM`8x2#pt>Zgzv5 zgD7TTb=e_(Izeh9h4v1lujW$f^vrE#6tv^K3jwsMu*Cl zEha4pY3|6T6C}Ir;VhsCI+|qqu<3RljMvMxpvr~BrL?`CYq&(T9TVXAaNOes^;60Z&>DD!r%U8+N4ZL zEi%cnVm}-xhdBSg9;)&Uv8~Xv||U- zsX&ZMeuS=b=zvTuPz8I$Yz118A6VmgXA;oaA!{$?O6RjGYDsIUPFZ#&pUaCB6>G

5nT&qv=Hf~3EJ%^)jRy*9DRS)`jt7Ktf#a)Xz`ONuXB(vom-+;gD)z24PqgK9LP+HJsp50v(K0%}I_*oLak$lcQ$FRA8$aTZP-2SMinX z(Tg*|MbBJ}s@}HkE{fgAUkYYOaW_lv3y*mQe7? zY!V})7Ve`{wb4oz=p{rno0-piIo*ute=01X%(Ad3hWVHN=bw7M zI1MaZv53PNVoU*f?3s;2j0BcgndbRQn&&;~rjwl+|4$p7Km!wC=!6as`2@ zaHgAbI94P8JKjYoV00pv_-~XVVlRj{v30;m=d0r{Zs1uEC*X7yEY`n1vlOU}KBphe z)HqOR6rXvb`Ea&Lj-BsHpkTEdb?lVedw>XgW1wnQV{B-x-31_*pj^0ZblF99yN*Eb zI4+>eVMnJI6sO-{&5pEJV^;>8&7uzAH&93g4!3Qrt{JpAoXB5kwm%9L!UMV*&>7GB z8hb3{2t@U0pw8E82wZhw!1<@-oRU#A4_I#vuPBe8Gc{{{VXS?CmOW6%0tK%ZAsnLT zEKLrt)U2q1X*@nxM3`D`btE#;aSh93|9VQ>mo)(PWet=)m;~vDn9(?ByMvDTz;k|NXm(qQfepdD^WaF49&a>T)y#_;mgt zPKVE@yPDkcZs*8{8sNKH z`>QeMH%Xwaoh7(W)4+}ka7Bn}9=9A^{Ym9v=ui6y6Eu`8C@aZ^<_l2kbkFkSfz(*X zBRP*o0MS7$?9juI3GN1<&zX&H1>L)_%R)t<$itrm?++ZGA4|M+_@zQQUtWLu2ACrB zeXV0f@{lLF*#dQHYT4f9*}cL8ukMo+zFDQ@kD}x0vh(Z5X#;a^?dRmAzU{}Hrx(K z|8(C*4FYB1-i0qyGsIN?h6m~&+$gmpLbI3xOBlM%?+SuKIksG`-@Gv~<}p};N1boO z;wn<%+qx5DT!itD#u!e!!qU6jq~rZo0nh*9rY8?cEc2Zpef>&$73;pr-8CnRtrPfO7ZiaN(AN|S}W~6oS*<~+EdPk046;LHAg^-#uiaMJ>@OA zr9%N0q-1~~p!|S!RPbvUfQ71Im1W4k7<9~XY-L}ZeM=`)RgCnZu+o8o*TfP7eM;kr z`OtwyqZwG3j1LrTav(}Wm4%O*`NCA$$mkeSHP`yUSYkP%<$gD)7~Z9Crpje7g2Fc+ zD9^31N=ttdAa1Pv**7-2KY!_o(Z`PcUFQ6oM(^dZBi}ul<4-pa5c%OVJx)#Cw(&-r z{_-@C@=y>A?LTfd4-k3dp(S#T2*;I(iGKO^_ntqpY1zO1O~;SC@raavkPpEW=c;_y z8{i*i)&G-!ylIX`XL1c{>;uh#8SD8(J9tfUD1w_EObDcg0nT3IOx%r6pbNJH2As%k zG|tM#OaZ35S56U87Ly)v9FbOX^JV`cQ}UX)&KKfAPslD*eiq_qb}IQ*Hj%vT_|nJT zYTa1vv=YW0`I{7hBrSztvKjK@YKOc#kc^DKB*<*}-Mi7N9x3mvdHj?Ya?{7YElLoS zN#Z;2s1G~5ZKh|FgPhKl@Ke?M{M_x>&5cy&F)06JMj=hRPiTowvg&r*-u$b1ey~6S zB_iD8OB8DMt!_kS-APVRK%h?3OKe`Z&gCPk1c~W#Zdeg^y%2=DR+);o ztB1noQY$n`_x-7_9t=$!S5M_hK}5OkQcd3)p4;l%fEk9fim@{RYzAr>5AAmapa9h_Da4SbjmfCek=BFY7XziePZh{9*lmaTlpI+;QN$>mk){sxN`PFQL8`bg>ScRH2!O`K@D?^1di zD!tsD3WF#di{_GvfF)8w7cFoCLl&uM#pU>K%wQ}tMM!A-11ee#5Zp19vQIb@d9Jr4 zDO(^G>5KR0`)iB%J=i;O-6udX(tm)j`90xCyYP}8S^yPSM~WpB1eSF>niP7#D>5C} zZeo%JFgz;ggY<>V-IKbwKGx$wi6n$ zyKK%GQ4QPf+sE~E)yP>2=SOUX`Qn0SjYeT>G$p7gvCAZ^g#Y3Ris*8rPV}mds{{qb zvEs%s{1o0lC#i$D92mUQ5=PamB$`g96#WWw8X8b^gEJ|4bcYTgYa&$T@@bEe&O7mH!fJERx9SwbqB1PCA;*^_FYz%qM2`2mXd*XNg}GE<70aK9;Ob-HBDq2tG)s=Hu09_o*+zQ6amTFTZ) zUr9gfPCr}!+}Zja@f}{$XgxZ!ZYFlO|3sgI*{v!QPkhasXfD6Ex3aarl=gJ6yXfYB z@!ETSuP}$8JkRWG9Kv)et_FmD(3l;$`WWaK(TU*BOqFegHFG9BJRd%z>={h<7N>eS zz>v6tfY7Wp0Eck@!~Ss+UlP4G>Jrw%6! z3bem%R1KPl=CC>p5K~U|ixFPjooT$?@lMz}){%9r8o`q<7pyb|_^lbK_(ycxw|APe ziIr86r=~fGyZ~AC(<+L*SJr>}Kj|r_vv|-a{9H6M^Kl6j?<5>{Gt!(&?=jdj985

z?01@6k?7_Np1CV0l8txCeRqDhx#J)P`6H|IhxJmo5^{i4ex(2+ z8swaw$^5BqvBu7wCQ93vM8+cO`O}pz=C)#xboB9eIX$v9|T%sjx~`l1L$MceyEFxim(XFtPF{dG!&G`3af~5QB7*!4s(p z*@7kV0qbv(VPW;MAqEkbQmz#$$wY}N8HQe?k}?76TVLPz9@+PxwLY91@L-)eYjW1K zw88K^;=bhIQ>O>u$z)277(Cl@hgNdqKsxNrNn`02VeIU2%ii!E%%E9}scr3AEu{u{EqYzuEXrJxq*lx$Te;9HJ!{Qz$cH3w-(y5&3o1i zKVg7N*MP>c-g`!8(I!4G5I=r3RF6lMyjT=lW+g>sj_X&fpWji*kQAlk$bzJ$%(xbU zlE5m+mI?rT`6!z}^<)gd7D$xYR+5xYXbL3_Nf|UgW$W@vMj8DyzyXpROH`U$(kEpF z5JXcyzV%OF9iZfb`*XYw8%8wRj+97!qzi!IO*tTB!E;0fD*|#w%z@OT8@bBDEShbz z>!#b~Uil1|W0|1*N?zqp{t!VfSpcn%F8?@s?Wz%mR90SIV14D|mX`KQL7jqkUET#O z@7utE+~>p-p*o7&OeZN}soaLsl-Fi8%8ydP%m$jj&RRP@_D<<-t-7k!?qE+7I5bS3 zhMIhMu%*QxMDpi(9|^SkZN4{%_QY1QUHuxe0il)?qzEJ;gN}ZG_lZG&4o1H+$ za-;_agl;+2$&pA$R@PJ%>l0rW!wqWUBf%=jL1hjFN;HDa3#LHmq8clid?%s&VyKe! zK(pLHYnknOvzdJGk#BiL!bFy;`a$4q5{W!PlbHDA5vN$A;NUa8@#p8>wS2R}5U|Q& zeG9;Ly(76{T?pGojl&Y?Ox=YiS;IVzud;@<9jRMcy8MlzU^03VoaE*&QLc-JwehxxnYE}Ne#`a!HyzI0=&z3;_h zaug`Ldn7QMnPag{NFK@hT{CT3$R64bff z!sh);H6mAVSol!8b!)EoD3zn4$gy~z-Isl--aK~h-icRUdGGBQ;DcZqfU9o+3VHy~ zde1i)TLN}4bqT|Pr8S`3c@H}kruKOP+;C?eK4XY%w5!AfnGq8XGf%Kjcof@Q)E~|~ za4Eo@>)%~i`nZfX_+jbFz&4Jh%D+N`7Jx?$h&Kk?K;dA1@B}pCfbWc7`8Q}O-v-zd zSAn>$2FYO8#AC^yt!9Y!=w4jxcUxFX6GLT;ue8^&cIoVjMi)T$;tt>)XQmhYKqa6q zx=qF;5K~}+uc#rzZS+{xE-MLy(n{cIMtEBJBs0?P0OO-bjcmQ z1hYb*V7vr(rul+}Z?aALS<%@7Er9QPJC3{~IrW{lc#x=|!u==PV~!z)CkistJGToB zAlNZoCDRVZxJFrBnOh`9p9r^RX3|U0^lOrb;U|X?Bq?J74+vY&OA-h?h-hkGFn$kM z)-?EWCy`SMYe1|UL?JBI@hM~l0Gvf6QE)~PE&L%&yfdZf*=#9733NzKlt237$9}y3 zS_u5gk~y(7X5kA;kP5nJleR3_2izQ*E!$29QH1bCYML!E6m$q*rPt`5Jq~cO-GOQu zBf1d5oE{SZ{IW)_;p_)8Taap<(&ZDD&}!Q%Np%QP73zg}0mumMGU2>0`m2xp7zY4A zA2?MA+(Db9X>zfyc5sX}OtU%IFT*FLcB=H3f=vijBH67m4K05PDZxP4R!P#C9LX-~ z4QT|r5qeSg#-_^EQVL+G;l@^3nav(!bD{=U4<;30`p2bY@VqM-#6s3 zMudqtSb!}RL=)GifNJpMs|+Dzi$!@1nM;d6d+9HhE)yx33rbT#&jBAWco}}u z3#to&rvC!xPLD-|>VV##*$(PVPg%pCtL5@Z2n>H{GBUVz;)OGdaFelC6R4+_v)f09 zH3(pv)CeL2gT4;Z;V)#UR1iq#O9y`Tc+GrX3ag}iyK4+SpIk|$Q} z?;4MLf1C06|7$DoYgXBtw%I@ZZL!MUv`>GNmHPju1t|gq{^bF6O3r<_PY#OM{xc7` zIkO763Wf))ClY{H<*gTiWsO%o0*wcnf5-$3p$Me>C?EJkNGm^>5~D506U4S$EyX2@Y|I18H}Tvc;VAE-n#51z{BlOf!#bR-vRH!UGskV;*DGGzAR1nO>UVq+`2ixca(rs5E%uT#T%Dcb2Z zj_RH5tGgb%tZg9Y>yI2+Fd2JO|1O!SPtfmTb#Pt&nI7-;CLko3A)XMC4Z@u*=HRrZ; zF`Ih~q+vVG9Sg5~@Z= zw>Fhcy&kHw=WvZl**0cogwRtw6Umb4?r0^0E81yq`~fX+H?Nx&pF1=8DOVVe;C7S zr&kSgv^@>H+1tj*I>C%6eAuI2w!)2$m!yzs*MN!>K*ncmbuSHv+|FuX{N(_+WY}}i zx+qUa;M#>^r)I2_t&1CDMRa$V4|XT9%4r@8C0N?MvO05Rj+H_BvegXT6jMfJDqr98 zc2>iR$n}=EEk{<~M=rZWzSzvo6i#SZxap8VEJxosoG)DH>RM>+t9y=>bPfrcrkRCe zJ-F%{=(6u(r&S#UepJzEG$#cDIN&xO>8w^b(ppM{UHHyKlPbaWnS{PJvxU@B6`%iu zEhfy?UeBvgv6Z^vum}I3 zcOFPOs*1Be_>`Nw)AmhOtj??0?h|jII?}ff@9ibkY?iP)Fq+^=c6I*Nm2L=-(1m-1 zF#;_0o8!OliCsIKl>z)+2-Uy&U?Q2Vv1b%+}VPd?9c30`u3nlV2%Ba zwEf}Gx}4t>%|(a1I;&XS&$)WN7mTYbaTTx4Bc>m-u^qS5D-VRby?uYVV4L^$vv~a}JrmO$yF?~DR;O=rByPakg6~`X~ zSr-*T)a!1%8sKcW7N`1-`mIepxqIT71j$-QPocG*w$oU@5C5E5pLloeUQkpxYUSa7 z`_3)Q2N1FJrQEq3HD^;WVph~+>0+MJA87x>C|u(s1X3@I9I!yCs+UxTp`bNEb$gTi z475c5jigPPa6A|ZIOx}$DF$&BYhq;Fq5XFgSQ#onOobx&%ftYMe>**OKCK{53r+Yp z=GSdI`LcguLhWQ?4p)?j#vaI}oXRnG!6<9)#1N;lS2>&B+EIi;Pj5Nk#oA;dhp7=S zZ5Bhmtz$}36yzIJ?2Fc@lMF@*d{(2y6!!_t5LhO(17V4}2E%h~iZD>iXu>Nwag*Hr z<2L#wq*3s&IARJNO+cV*Qk`0Si=Z{mNdLOM;8r`arHcZN3q>iARS;p3d|M)Z74_XN z`UX9r(+c6nb!YctC&-V+H>LIJzSYR}il&wQSl@nVs#c{>WT$40Uz)Srr8R(Lm``VV zHLzL@r?Zlq?E&Cdtg&GWd)LE;5-0bjpGs`E7dnKq@%I0(X%5zhX>`yyyrGC6sK6WU|HzNe z{@*|FEc2LTvV6X=;LRK%_y4kM`;FHdA=@`xl!DA*gx`GcD!<@fz-H$SY2Wbidny06 z4_Esir>g51-IDEat(}$+2O}Y0ft|M#1c*n`YSyLLP3tD`?W1|w2XDGByDdq@Zcg6c zVAaDntb^p{wJge{YybPjpWqg!0Vbdk)Oz5zxBTe*-$d*r`r$i2`pF->J97); z!@k@gS>__Pl~>aW>g<6*M=Tv!MY%9q!~+7Kuu0&{i(Hwrv!sX3ZyeOaa+k8vV(=h^ z1VppK6T0)=^uxIf>%SVk)1A|Th#+4NS2X$IVT$NCTrYC>V2U_UEzEG^)glSQ+6}~> zvlh-USLP2Eu11Q;@UOz|p=C_w;W)Zhuvc$#gaax^2UJMHf%TC6))2uK66H0|4d?*BqB66ryJ6+wdL0`V-xsPTy2{-}q| zyFZ0dLx$_EXJTuvncQ z<Uwq~JCH(3>)cn?PDV z3P?yrpR>0+oq|0s-`FjsbA|5h2ImRh72rA(U_l6zP>$8~Z-{a90%*%yt~bIq4}aEr z>O5rapT#K28u4oFX2Xdmnl&Wqt#)epN)P?k{oM!;+;OLy@8XOxFKc^kuX)zmxyV^Z zRU?5|I7T@X$-lraA#AK~XW+08K2VH@OLq@@>GjrpT4>xErwYt-Z8=`Yz9Yzy(S{dS zEyK20K@g`2sl$nGy&B!jP7v|RzoK*cp{FY;GOGinIo9lO-bx?|(AEm3c8Hq6es*1- z`1Jnkp0BN^W7r?GIrV8?KU}D2z(M$(|G!_&eO17Z3nnpAvuk3 zY~I8E^{{svKc5u7umy>;0|Y`pk&A<4kbs!lf{}Jx^vYF1a6$ z-LLo0qS2c_>-}-#t~mPQpB%aHuG;zH`fbgdgO?XByr+NBW&6>%C)NWt9k1g zpmNK5{D}57K(rHj%+V=r*YfaKbpw3FZjPhKHUGZ)e5`{bQDKeaS4+rnTZ8o~?V$iP zVCzQc7EX8|cSmvp{uIn|aDs$=_sJ2k=N0Q_+huc?cPh;toYc3}4x3mCBy+?p(E&7( znl!tTcrQm4zXDt~hR&G%#dt-TgMM%(4MF!)->rUr?rqaCeBRoND+=m^h<(yX_4d>{ zhe}cH3^i)(o6Z(~EuX3!t9FXewnA)bED7XojbYJa$?-{hob1IyH*N8>bc?ugL*~po z9S(4Vi|sWMjS$mBY%!*j8=6?lUBKPZ3X^Ha>U=mtHE!CL+l=Sgz+cY6S&9C8qC56N z6397mha>BQlX|@yJc_NHU&p1nvNY8^)KpRH=IBvnemA(&n={FbqxaX1n%v%vLQKr8 zr`2Q{ezv0U{x`EZL4#WA8C%WQarM5FR+{K|urEyy5rB3$G_3;5O?EpQ+1a_hRg(-? z_c;?A>C{NLLMYhCk5sLCa`(ZJ=BjH2UO0%b9ZaqFg;tEe{-cQ)76x{nY454}?Ec8x zA67?<(zD%sBsI=3*8Ba>5QcI!O?MXFMDPFn{71zq#*#Gv)?xWUpwu~+nJ)SW#h+m% zQC-Nyf(rO7iDAI9kP#)BuYEdK!@MO2x!UEcWGZRia5XBv?c1$kJM7q*U9Uf4i(&&x zGs>^qnhdFj zwzFI?4lZ`OS5NE_ag;Kc0ft(Fi^SC(A=_$GwupMfBC(470cu7|v^i|(H$`yN7m^P} zif|#_0a{lUBa1v&`8ktBlvNhPx<CJQ)5pcgvxtez_nu~iKWtQ#U>>|mRHkChDc+L1IMl?V5$lI)8)&dJSt*mvQ zytR#Hdr)>)hQvbUdqYGAl2K+Fpx)vVoPf3#e4${%(6UgE^KzhW}fBiCdu&e+6?f{-y+$Smrn@*sED;vT_y#2LqLAsk}1V6_79F04@GOf;@LM8W|{@M$x&Qss!4VdYQG*OdKq*S(`;xVevVh`#Ay( zc&2k}s5G+)a~gy&gnnpalRB<-n{Sx7Ncba>ZH;O@w06g?pP#jB`Ax=iY8i}#kOUL>4qMh zH})Z<85bEZ~Tb#9K?zxC=6B?r+)d!ZJIg$+g# zM`|Xm9X4XN@_g}y_Pt}+lYE*ei7)X5-N7mSh4yTWzTTU%`!DBMNc8XBx@qZxqa<4+ zZzN`Mxl&Lw(bp#g{1dAfqPG*~@>*C(U~R7(<+`D+6nYGNIqb&f0?{2WNYFqcVb;x| zchtHd$4j-AnJ%m=0iR07xGZx5dh+>>+mld~ENT9rsqpoD)G{-)PsyC77ea zF?0RVdv7>}9v(46JnyU><>_P*n}5;U=Sy23z<#OCw=R}YxQ^lcD60J@+C!(kg{{M@ zyWZ;!Kh-|BYj_ctK=>n`X4Yj*^Q}ht5>+o_j)SQw{$gY*mH>>ZL-@l9@xhhkI8cid zBcCUj}KZcJ+N&$Y82+1nf%2`=rc4 z`NS5Fu3#C)OiIo^Bh3=QKM6_4!L_Ar%TNz%D)u(OUV`V$w;2w zs4yhO1$GfbiNHp{!-&2reywDLS_88+@)jyC`9bMMNxDp{El?OY<*D|bBej{`k1}DU zM0!z^cpm?Av011NzuFz5H|QW<8HoORD253(4$+-gagl{V@Fx}-%&7S)pK2V}KsS)h zfnuPfPTn4I=%oK`Dk~8I2fHvyqojG{;Y}A)`jmBhvrDC4`OB8ZnFj&Ou)=A6P<#F( zRiRAoa9hFsvZYB}*q>};c*Y5Ro1L&s0XkbISaTS{rId5J><7A$KHzcb3K$lz(kDhb z8tzTDG!-7=Z9{c>FMSL{3EA4vh`yKumh4Lgn=3mv6_c^tl%Z~`wfT=-zl}wfe2q2n z5YgcXdx(9>z-@u4JFsg)_0csPieR4)DR0k^W=V&j=y0nvu_|46dAfuLNh z;1lA^I;e$WCMC6EsVYZkbG5(5WXs@_JOV+M<_*>_y|hJaaKx-hW2d3TN75=k6w6~O zLz#UVo-e)T5lvDeO12P7aQF0tfI5r~; zpChy}-wwq{qQv?5_G!!~EO|QNX}XIHu9>3vPA5ONECC}meU|ij`c%%#$&h%7`4&A- z(|B(FPtX$5#ox2QIHFUjomgO${U@d$o!34rwy+&q>$SX`{$Ug&W)C33u(+$z z68Ssf<|PS;-y<{hbP17Wc09aXL&YZJzcMzA85WK{RuY!gF70|4%nm)%!Qr+1fuX?i zoZyd=L@Z9YN|P4M3+QSQA(|OuNj303=KC8`XH`l4#@wxV(>w*B#>BRD_0yle+U_ntU(v;y)yY;@=X}@TW$f`wvrX4=4pL%j%681~MWd z4;#cTxDsa&;AAvjh1DD6m?&f=hM}o8{Af6BdpkLb9v8rF1U@Ev4|H8)`>Hsuxr3X%?rN|QbaTva zi&=QrrIftd*hJ`|;Z4m3GCDCDh^GQ}Vh~F-tBdTdt(GxlLU-YOI!3?1zrQbnv3%bUBMt$eLIQq-!w%A9bVZLnaJ$!wy`T39U9 zaR7=znD8vDgu|owkZ?xKA&U|8vA?qe9pVCzZRr50rq9VvzP_{ABVdX~&bRSZ>{ZcEJAB8l zs=*;PEaVEAhuzH~P3}|2Uec+cj=mBf-NPz_h z+~f4CLTj!ENV(9AIe((qf{-1tNIBV3+!6CBLeK54dwwmr?)e=zpj+;_?G!h{-YhGG zt=xb;@{aI8A+AXJbhuFKUo^jiv#dBYRS%j?HUvnbo#C)%%nCdEBPK40N9pM$t@T{accBIFW&$5?(Itkk9}WImlUn_zu; zX0}-OJB*A!vuT{KO0nc>3W7Y6{VG|Vb7lVGF zYCXHfi8m~U-d0?O4KZpAmJS46^uS7vQ%65=QtU@SUfN!`kF@{+Kx00FiEIUw{&0>c zu@YzbbyyAn>~2G!o*%@914sH`qR_{j-AqpvrfsHbcvFSoiKxxp!pbUN`NY(vrydAb z-I()hbbxwpVNy2$vF+peTH%?2>h7}p?`;KX(Hz_Kp_Venk_%PqGS7T`BS9CUn%y%*^Fxb$9$#FQv z=ct)JB03tp5{_S(=AfA6z&#ZD*Ri3l>~c8UP0ewxO=gZcL2ShtTdLrCYwg)5%{$j0 zf7)AX^n){_Yoj+Udad_y%*m3QJjRKz7sGAa+ufTU*&eQi`qnQVT3#ZQ10ag6=u#N(zN4mV5!k5a)caaLIrp!y=1Iq){X&kKp3+aB;!aQzGG#}wYB-L{Y0|n zJ)o*>whyF9UUc4rg5ho#jk zd(mywI>l-eDc>AUvQK)AqS7hMgB}QL;V0;g;*r;0n#MCG7{_z6YVK5=!4%r84XP^! zi4lOXnNEw3hoNx}qC#?46h4r7Wb)j;BR)QRJT5+U=f0d0Ou-8ShHoc z()>(VP8eU`#Z?RVGjlp2HuTnbDYYTP!vGjaxv*6-a5)REgfyBl);w2Ua6K?5d4%x} zeJ6~S3^-pz;mO6PU-{^u;L(s(RUwT_c9zxhhlYWRM9z?}>;(iklFA*N1^I)6H5? z-Aw8dmsRpB9~N*>HVH^?o0;*>Lul&4&~5Q!`K^#=x&q!2u7PSO!o(3sLGEgKko`o8 z<)c)ne8Ad~hA8e7^9#YO;?qyk-4q@3mBK4)e|B+(uA!*%3NwcXa6cod%50wMuz`g7 z5|dLS1NaOv}rcl!8+-S6RIZDQ*t$wcJ(N3sMJ?zw77xAcxD4DFi{O zG#&$b2*?)%k&TMn0|MoaB~9XKG!1ewaDod;FUUioT~?nwsf2t~KH-U_kg}v%S}Ocs zTF$lhufAqq>!A{~CL0=8mS4)VWKcG@e4#u_&Zwg$)%k8+{E830NrpmVymzY7<#e7D zNowRlDN3$%SUc87cUQ0?9l~A}`x(=Rp0hKdmhtAI8B)4byc{@KO?eyIVs&HZB`|Q= zTwXG}bOn$E#Skf5v2d|+P zlBCSO{6W5%j8J@j&V1i2mAOORL$l`2c$i>pIL$_RN=3|~RcQjfASWx=F8T+d#A zdh9$T%s(aq`{Uz?j@EFSig&jPBhgwJ!~t;9(@Z>9%lR32@iUiwLUTfE1|ci1A`(9k zQjZ=Xp$W3Al){&riXA8pm$X8K%o@dJ6(mR#vshV5P4oP$npJU82*;uIaXM&HS>K6S zi~KE_YCTjf8uM!Uz%!t#}5%UT>Wt6IDLk)9Pu`)tt zY+(X{s5BKEjYHO*IV+`qSrfw1N_@*d_i^aL$&N^TzW8EV;?K6ne!d`F;7QKC<|uXv zqBRDR*jCw-oL|}}^tlCA0x0DoVkxV)tOTrhzEFS^6HYi^Rq`|Lc|!gYWl%sP{(ukG za4{O(&Ho7gI9*|Cr_aC&>bJWI0KS-5OGcmKGP-e1Wc zyH8~+<1}KtGT=nO+3AgEKlYZ!(yj^j!&3CAUG9mj7|}STM`REntuy>8~rOmR+p%R^Q&rDl_3HNuS1i@S5>6vZ@3yt zs|Kp?*5>N{{GI|@fO>-C`dF?HapZ+{*{medsqU4%pFK(lc0AO+7}ZnY_T&TC4U+pu z)Ri5_y47Eqo8B_m7e<$pl@06u$C81X9;vwLPBT-Hnf6xvDXK}NxvUb%<=`*0XMP)t8|AMbqK@R#|KG_-vBv3)WU z+~q$%${#`Rj=lct`Q_qwg070i=~1?#*^}WIuBvE1aN3+lVZl@c8bOu>dWBWc!^~c) zmMO>D^tJ5M-@|k>r|WSKK1LsR*!%Ob*Q|JrrUB*1U>B_LRyxa!3Hd;+F2$kZ6Ay4_ zw)~ZEq~tH0_&x@7HeM{}_yC7G^U@u72bbRGv;UtUN+fD3Ub&*kbRfO7Fo;NY`Eq0sZk2 zrvs6v%f`b$LMkj2AXvEJ3ut7Ue$muNe4FVUlsnDJ^F_0=meNb|Lhr84-4D9UXWpH3 zI;_skW}Bp1_M)&F`ESjY-MjY9ul@L-rof-X!BQkWklAaC7~%6V^8YZ5cgnZ2;3Cc_={eErxN^)(YN*w5C@% zkj|AN6+bmAsD0Tp9a)6J9yJbS6Kl@eIkNM2tR6VO6y%212Nw%`}U3L!)rYeS`j{= zT#}9YUI&$#?_2-bU3W^Tz43it>pn5s-1i@jf1%w>T zQi}%(^0HqMsd>=k-%-CZ>sRjI!o66<6UrcqooW8vU;dlkg7`k0@r!?S_TC?h z*?u{aXJT1-=5#911LLjpEaD?2A>>-*Pw^DvSOL|L_tNxNp0i}4$&5~{50R>#kXS_& zdblWEBuQ+ntRx}WQ|#M?cj{;Fc&I3dag^zbY19rOah?tr!xaQZ1aM3-0g-ciXK_3V zvGqNyGj|j;eJ=E!^WOEBjg9St)#Q=hw+h)vqo4udn`hVTt_&yP8A5jmYx1fKO?cP* z9Co{Ki3IT)%sC6pmUR(hqu#!v+q*BCzG=C3qB18eT*26BF`{+f7RkRp!6q^WP4BgsSlXo|?M-+so!!w<9B!(ge^+?dO{vVo7Gmt3m1xtFlu?XwqB3xh^I z@o`nOib(gz&2@ABmWe{xalJK^=ORL^l&WJu@H6G9k7VY?2M8TdC`I~=kOC#+5ZAd&j>$gJ1>+84tuBhBSmOBvZR z0>d^c1=PaA1F=}Q?Y^;vj^)sjc3b%f3W9v1n#tikM{C}AfyturCvgbITTzyvcEd}V z5_hz-$opUj26ll1LVR_kC{mm?(&|B<0D;w(r}inke`bzj-03n5r>S7W`G1&u8z?uf z>&~-KU2H*&?68Yas|3?b)$3yG5uzCqq-D7M)u@K(B1|(@TjS}&crzqKD;=)aBg^^V z(PT1Jg(6izw?8NW;KtXs(@nRLGZzf9le&QD#73f)y=w9Y$J~TJXLgfzPP4ijVbQ{BIE;i9bdX;xIaUv|pRh5e+a zlBmFpmRB?sQA{=h$G=Huoxz#P&}%B|OlRl>9dmX_nE7asRI|7Suof^6#$rCrrcL-U z#mvTld2Y^#d9r`^0?^mR9X&4w{m9*lLne5DG0RB-aqYo+e)FkQ6I zyvc6R1CGTN^-@xtNs{CPi&_W2Hr(>7PhGuj7)FH$OO+>`ul%#s*Wni#5f7)2SKHB_ z@KHf*cZ$%Kaom&8kc1kE<IyGrQGUAA-4Mtsedij861-hGsKbqDpX3?HzIE39bE ziu;dtT(6+$nnn~CB$(AMy=wtigatgqtcyMy(o0ab*#7fBAB7|CGAOx+|P05;H!)grhPumfK^v%%(QW zg7;r_-1O*Vy6=pAO1Dcx|Dn2hVBoX1;UT4;_75ZnvMa26-xbcX-r>s@ER$KAScp#= zm#ZE-8aeL%?9LR&;sYm;$_@aFIA{}(F^$|*5F3sEsf|HPoUzzl>8@SvR64&b6({m;+8`-U-l$B}PafP(0=8PF|e0>w4lMTTGz

=n z1XQj+B!*i=BQR8Q5*3*Y&WC$S(>i28JAze-kq!`S0})TAWo-TIVy; z#w%All#?1GV_XIutdZryrVR4}Nht-$6U&SqnNxK)GxMKCB)lo^#LOY(wNA-d960h! z5J~7c)ZHcLEdN~394aDHNea~SGHYogvUCeV8kaTPnQ=<<3d>*dV=@mX8TC>~{hV5E zl0fD-DuUh_11VR2Ij`}kvXaL|sr^lrVFP85KyP z47OwzG%Ge!RuuGA1edPa8; ztuV}Vmgt0;k_1>+VLr29H5~m3ioEG52cC!uC^J&5Xd(~JWSlXm^g6poX3&xXA{!K? z(^~z=yVwqr5fHzvmpezm6_IcbYxbOFCMnzA;MS8x_r>?_bz{xg2^JH2{!~ku}{LZpT5i!shAPu7{ zrFIJ=$|=-rvB+pPD1axyC*NF~1p@|n0UzTdx{y)Chl#1m$3nJL{X!+wLq#mTrz#tr zhvjdmd(|)KqCQ`Y2Hj-z$J}ia6_>Ka=;~sRuk*S{fIB<`G!7kttq}x?25#dID#BLK zBcue6I?k-Uyht*aCN>=#}ye!f?Ly$ExMxN){-_dC%iPA|p3 z^R?`P^H>M3;?@3dCEWs%75k?ju5|ks9$pM_8UBs4`f9EGSSLxB7o9Y``3u?Nay&f0 zh!fuM(@A%trmE4fJ2;gsbN$!hliP<->X^LQ-m7toZr~%c8ldZ zvMzoq4`st__T{W-oF5WAUY|do9ZtH#EQO)F=ZBk~$_B&tW$ht5H7@beL&+1)SdVRd zVr_`$Fr1KgEBFMPQ(6UtJ>O}AQ+XiD(NNq%*BhoXm?l_`38cy&FGqvjr62p_E}r%U zAnM55?q#tqIxFSHEY7-%S*L&IVV0$u=xaQK}W?@VPqW!Bn&Hz^-2X7%?uQ0z*BLDvBX?(e4soCqtPS zs`DG8K?ao3%YaC`81O}7*j>na13ub=K{v!K=_Tj!v>bMgw2MDWGR%5vYfT80-k;Dz z@zL%{S=|Cz2n0(5OVZ3XKwHj$Vx^R*Jn=OOyW{RahJpwgV)Qn@@}m!myDtk7qB>oN zl9u)U6==y_&xm0ZI5!L@yMW#vpHTZdoPGOlQq%z`-=Da3j6RMrLOC)lvNk%*9H zwCX9LC6V7og8_0?2q`DhS#vTXglQbwlA-~LOJQj3X(sY=kx$bb>W%+l^G0e#GGP27 zIgE#|Kauq_;QzrF;jQR}rHw{+qw!GZ`re+0xGhSviD#9uJgAUBu1WDJXBbVJ8Qh_q zWW)@1E-6S|9F6lUi~_W#)0K1iFGYYtNBLm1mjY_zOE02g1J={(ur!!P+M)DLm1o6^ zk@$?BnYPX4OGK(mqX8w&fAus2=B(qRTA>b2 zbo2(=sO=T|<$ji?3EG=kKW{p2F)D64odpc}y@9cv1&$HkhfE!UL@iY)Hkt+cZrqZR ztYfb@BJb5OzIY@8ztX(FpA~FzG0w+$!FOel9|63f0KB5ufN8JMf{R}tBOF=o8vOSP zF7-%-n#wHckTYZC8f+L>q)urJ7H!c?#x<0B^f&_xw2{aCsEDTG7O6d7_$W&vt|f3c z$LtjktoTbeUH7iqcSgIXj{@L5Rr`V6(;v;==>5f~f3Eu-&tv=a>|NDYw`}?A*S}-x z_atJR_$7Z+=aKAo%ztky^#9~td*uh;TueU~y=VjaVn{0VE z-?Ke?OLdgn_wro#g8p8Xe>(94Z(R$yC*}RG47{iEoRkz%;^BRL%d_4b*3Sx}YKZ~T z?!^zXi4a>h6_;0F@~jU?=OtM`Rv8bZovThKT*;O{o~50|4CPJl%;IJF?7W!B7n++I zj{Zf(N;XLJOs4Qr<}&NmL~@%Vst^s*S||}?(U|<;*66>SaPTybuK%q4?PnguWl+u< z$}@;zW#u}5ej5kkk;oA}v|+gY<@)G)5EbDCC4$m`qsfy)PND!y2RAsL<_IDS?J^hp zoWZ%qtZD3;s2gt_c-tqgmI#4YbYq3Jx`I{+s1Y8}wTzY4`}NdS-^e2asLknSXEEszJPMxxG`Q|v3H!kmcInJLgPERFgkF$hkD0UDzayb+G1@gz z;0j?6Z~b~!m__Mz3o*85-ve$bgzZ8dKF1;4Qm(lO=)wRH#T#8KO%}BJvE^oZB(NJD z^L7^JCv?{iQQ^?!AIJ`8?l4Zbo0H&ZXY97lSg2oARTL9+aTLK)vAckh|9lb-y)G)g zovAp%a$g2cshDN6gB(QUJG)ww_%hmYyUQ9^^T*>_5Sf6Q6ti|e#>vh<>yv68JNIIQ>c+W;Rj=l4aK9gqY zq>7tQI%cOEqtR(Qvy6mSinD_ib|ykM^N?d0bLA7vC*r8%wbEf6u`Xn>9>@D>h#TAi zg#|Ty!m(8IN=U=)-{>?-*rqpU-d2#aQ+^6d4;8jXsKQDCW6SMNxWy$MJpQ(;Ia?En zO%;1()_X08vEGlD3oa4V%;!Vz2K1)nBKiv8lad{TKv%qi4y%D-Z(rTk^SEU%0P{d@ ztRnPz&Ej&;V(?-Ss1T|*mw>z;3EW~E*0~1|I@CQJoa5`WV;Q{W`UN-h#sW?Eq9ZOw zrVd~(3Z~pwzi^v-#yKbprw_zamWl@*Z+P0(olbJP)N8|O%h{?EcN5}!of*2H%i`@p zw!f%`lTSKhok~G1ovGj^?t1C<_QU33RXu}-13#K5W~Vc*Cj}dHeBd)^;5c4bQfWQ@ ztRD1(O=(wqcv_#j>QzeV>0x?mh2@1A$a|^MDaPH{qlolMcgj@Ff;){`YxLUBCwibh z`R0@TCA*3P<*@E_c9*A@Cl=#M>Lq<|YwW1WtNu!dO=`k)lh0Jmzz%Djrx&vFjx)HT zSGT;lvs5{u`f+Xlg|o@nJ$r}glB1T-=&8QFd>Ojm3zM*~Nle(XF3iVq{GnUFGuYTC5#UWZ|9`4nu^GLm8x`W_w@+Sc6wt7Crp?~g)g<<(i>=v~P zxCzwVnX`a+9b+@f+V!*6?3%~!)Nvda%JKG+-d@iZ<3%k90(M3~WH%2G`UH9{rgIlL z#!^~PQIq{xm?WqhZYy{DZcBiY?FeGq(e9Y5E)UC0_s@q9WG>4C&{f)ClUAeRXM>pj zl#J=}lTK=>S*w?dpzdumnooc5>#v(DY+6jpYxQhf(P80C-y;lkWbQB25sRTHm%80b znZ}#m$ec~#RJw`y2=SS{S$sH&eJ9qg_@k~CD#eQ4KITWvt1PBbe4-k<0lEe-wef*k zc*6Grt;)3n#>(>1AUp`Na0>bc(f$4n)M^~^#1Yu_PQ*SShFvM_&(>_vJhp-U#UU&0ij`8ShC7_m9oq+FT|QB8w<&xl(!;2I zEJQ$P#&7c7{$`{6!g8@@bm=q=V>-<&rJWR=jtR2vv{%TQm|59x&U`*s(+wqkmP1fQ z1Ou~UBn7lDUpee51_?SdAD9+gLt@ge>EZcnd|=yP0U|fdD0jcPc3r*^Q~@uqU;2?J zHiCd4e6A;HBEOSjeqNFWzdPq zRGf$?L6$@WMa7i*{sT4Ji0p42e=BKX!izYJfWbVJjKY8ixox`)z#N2eK%h&Gl1aXr zOGG&;L4F&WkG@@|`>?}BREeFIj4B}~MwF!Dqmd^-qg;MyP6){X^d^m&Q+T9{<(Kp7 z!MB{``7)AFARcF2AUAkW@{}?}7_960=lSNZ=a0(E`AdfJci-TdQSwQ2J!1zkYNv`B z(pu>xD@4~D#5k?7iIyP_3goEQO)(-EczH&WVl*l1B&SZJt%K-X*z7v*0-C{0AsqPvAf}1itl&`< zCmZN?v7;)isjFq1vds6hq6=r8p?#7}%0}rTYeZJDW3>8}x4m9m+{%Tdx7+BQ1{XP< z80E*ZXz;m#1BRTGAFHU|h4XA*EjKSD_-L}JG#f}O`Gt}=aqTbP1-vSd3jbwSk^$)| zldp)f52{?N6i0u<%m8=HLicOgZ)8Q7zZXl+B4hKmWv~&zME(l}Km69yNx8q7y|%ZO zhD0bB>rFiT8?p29jW2XMR=NL6;FyCide5t*Q0{*b1@nvNowbQyKHJ^MJzkd`#dk6S z*)s)qu-UUett#!}42jU}^(s4k_t={PFmm(6%cU2S!~(*>4QXQJ@;h3vUa_q!I5O_x z@4Pq$e|i}96bPx&eJauQ!JWp#kMX-$pLro1Qo=50oA3(8<=8OXK?^8%P|Zeor>?7p z2AzB5!e<0>lhyAaO8h;RB`$;YFiUD>{X+uCT>u~{_mhE9*677a1USqq+}MLAGgT0* z3Aa;(1Mpuo1*L(ga{P&(fOufT4h_J43=B@9!C+tv+Z%O-JR<(+|7Bg|J0ktlfbLw7 z?v4)~a!Fo*!)OHc!Rq_PNtauEP`L=YI#k(Rg}$_J{|l%O4?jlDg$@s2FEvFVz?^?@MFox-x&}&3iK*$*-BunBcAi5z4R6_n$1C?yblN}zV zwxHVF)Cn+l=DLLS;$MGD%{^{@R;;N>a)X)DL{*M_#wUm-ypK@P!nD{ony*4C9r~Tlm~BmBe@Jv=fk`05u6CF(Z*L zwOzkKZj~_!VL-=a0~l5i%bndi$VKdWP*@yGbAT8pTOdhh0aKvMg`|ylY0L}tyr_TQ z&sODEpMBe(QIAGVnkbvC4=)+f^-){|QDn~BjEaZrUC)fJcl)+rlYBA03upwEOsu zYhU8L`@7yvFMi|E`ycey{c-=RGQ8sjUOjoorEg6CWQ{t#@S@aAJ|(lC{+Hq)JNo>; zb@_cx3VQIlB3l|0wX&kfgxGhF_1I5aV?!b-l} zlC)GlXFqz765QihM#kb)k1J6zkXyIJ{;LR0 z>hNX7gRf}+ptgfvnAYhYyGp-&cF6i4H`aZRh05i25+}_e+SOLyIL-=V_M`wkWmC{t zrwCW@(jh)R6%$8d*6Fl7BMssM*1U>d4O85gz1xbKqJ%MOyOlf>rswd$Obl?$z@lt1 zWrLal2CTlECIKy=f8v)vezVoV(42o=7Y6$YIaZE#1S>F!FEl_V_q-p890VP8s{2bSpiIGh^$E!1kT z+|;hr3ktDfco7Sng8hIuuBqRf)bQh?C4+36-fXS7N5hczN|ZZMq*P_1W{Ph|r2u|Q zLMJmIou>Jb*FMhR$cmDQzE=#+yTPIt`#Ld7FZ4OpA?#Aq7Im~&0T$tMKT`!{?-Gk7ig~)Gv2x1E4GjDctu~G;_?N)JsWgSkm&1{r$)a z+d+#lX(o&|#KedJbYcMT%`IzT#S9G|VcWDQ)yYc>Z}~t(+^3#LkKgM@F*Nkl<|6KV z^R$_pbd)!UH9BVT)QTHV_xCa>YWD(Ls?2E9v(*BdrWD-ROFf)&dpPd}wsD@a<3HoC z`T1b9p=SridmyZp!BmYkO`~S$4Z$uf%?d!`&8v9M*_F(6O}cH&?li4-q|D9Y{i+m8 z1EFN}wZ9#E=&nD?o+w>nvkI2Eeq7t{bQWsK%%zgIQu%83+qJo?y4-i-3Om88tSU+Rs;BtjFfoEz5o1cKh$I6w*2Koo_ed@=e2=($Hxi zJyE+qb$(}fqdqj4z?X665-zqcv-!sbJ*-c&fNSdtuDo$sU)Q`4_8Z6J^2_mKjhWAd zL@Qu-AfDb^+F4s(t1qc=ddObN<*BvSLVIxXHo%7Y=pDZW$X;2WE>2FuokH{!vI;X%7Xw&F%3h8G%3NThiH9vsI{-WFMPheS2rZSA`9tb zRBiZ$&7%Y0yx1+MsLt%#F$`FXu6;sZXCGh^ojw}-1?8%^Ao$Ipg8PInRsbLiERI9Q zly{(4xd;eYdY>AUdO8g-zQbXo9?`kYsGo8QcT0g8`s^@Ks-xnRD4mt~2A%-m57nR6 zKC#lCz#ALcEitWY#$2X?;rJO{fHOZPb}Y{(M;JfvD7OabOJjd{>W=f zzm6M`$Phf%r|6eC2F8#^g|RD8O@RpnoMI3waD`$)n#fByi=e87Vk$PBq!94O!L`vh z7#spauE-||B7L#h7%L4oHTCoVPW=!s%G=x;%g1(-!O{}oksReCzngymnINU)qNFq` z3-<#qT+1;p1?P+je6AnZ3;F$5e(gJXX`+Egu7Z4AOGL);L=nAbq(0Ejd4@eNFTu5R zu6^fkT{}^fh3xVi$&=A2uPe*PSX^g(^TuxNalu%F-&D8t%y_k z%#B=nENvDQcwaK01e{bvrC2{`vQduQmM1v!FZl=p_sY+%E+-@6X)2qi?dBQe=q*jm z<(#HGKiY0uxQ7!SHu>U!nb&_p7fKUc%P23-ck3x-Behp*ZyaUd0@^dw&{;&k9Mw%y z<0=Wp@^oIH9K<(3C)cy%x}is=7o`6OM^ABqjxPm*d(40mJzM=y4*Tb1ZIAen!Z55y zeNQk)hIrnhf6yrl5&!8O1xX>Nx8xuvGzr)hU;GaEUxb!^fiU8#Dh@?Zx(z^NU-#wAb>#gL<%@L!JNq&sjZS-4i(H}-fa8Lcx zGkZ>Yo&?Y#S*>eWezi)UAEG8)Qs5Y!x1+%7SdPMz49*q%v2XGXjKq2|h zxH?K2q3;+i!?~AyA}f^KC`^|GY zxK?yR5M$}RiBADUfQfFEmr+s&KQZ~A8!OOx&L1AXB$YY7lz2a-?$`mfI_E>X7#7y`h zz=6(U$uOKzVS#h*b_$t5k;nv8>DsT+xtc7nt~?s-3%(SOcV#c*z+orlNVeQvonX`B zU*El_7D%Ym+RR;3&hS=ccjKusfIx3LZS&x&U5(nU&3Qyx^sQ^pO{u;k`}jJwYNKY) zF#t@B)n#X%hMqQ4}U5 zAVBZ#d;V%84NqIU5Hpt$c%ec{9)tIA#5& z3`iu+pQ4ITgQSz{L5MJm>8K0?&@UJ5BWTYs8obWcJk}~cjQH5ec>^&g+%*CZ4SASz zV;0%VF}fO=;@U`(YE~$L$K%dxN%s>@No6rKTK61$9m|fy^^(ao+nDGmjFl)ZoKj`Q zq6*%e>yrri33!O|#fp48AvcI$$GGO!2vr0roJcrhiza!CtD_bWq)=!ej&oFagiHcY zsP7_(QJUU%dluy|CRweMz|G4q)rST-t-i0elEFVDO(qwGj2!=Ek4{_0D_{M?jy<Hb!XJvKeRG0Z?5~K zF02<;G8{RGZp&ZW_Nwg6{GtC?s}WoF{`XIN$$`O1ONA+|3_| zQSa#2^j7f-6L}F$#rZ(K4Uxm7Q=Bk>_a@8JRYNO6zMZ&1k;;@@^PEL>-(p}`6 z|B>&jG<~)GOPLR!71nXf*%dG6=Y$1d)-1+A(FkNWWO2qPrMx%u1MvZt#&*UQDdv4b z&bb?MQ;u13Y}hhsg_8pu>zcDgxz0PGarI-5dyBnES=WM6KX`8tp;d zwHNEgE>;X94>1CxSe*x>Qmy^Hl8hLIof#lq6vyf@FUu(tqb#K{PBf7jyO0un#l61K z3Kvf?D%9Ls>6s*%X8XIp#0nfIVkq$r=_J$H-W{P173J!hv-$?oHkw%hh%F=5*|)a- z1~CBdPbe{}opZ6e98VOSuGwarPQpeFeRdpo8pfGTW{1Fx$o&MD%QFB9paC&NWD9VJ%*fJGK7oaWrTinlDzytWNCQaVQDg!aKLOZ(S`JZBJdQ@xVmFu4$^`CtfU4Os9i0Ed1D2 z!g3aExTqEJ!*IUn+3#`Gt#zhZoQ}jGkkJR;B9MTNen_kyO}yWg=^_nMw;MV{9tMZu z!=aYVuNQ51+End+pxu6QN)|+h)7qMVJvUZjkmJie9c|RTrqNQB)M6Iw`M18_*a4nX zh*-R?;=-nQ3;<8Y{cT0Xq7Iea>amt_=owAVoKyu>*5>vxx0;mWpqRILn4#xSn4zPp z6}=%+MP+2EL#zUOZKBnhD_kzesmC&XOKmc1L1G^Oa}72nrj6~G6apPEd?A*DNlQk} zMix}8Me4?&PFLYC3lEqV_g%oAsG@!21-RYYUBZk~5h#hXOgD70ko|_XnbkAL3PCfO zu!v}T+|3ftk5|34oW`fMhZnB1eOp1ykO;>$Tj*FmXEy;qQ}643p*33!X!okqO#CBh z&9;wq{Hc>3B>>q@;_gXbSzF8?R0}S4i8~H~LSr#=L3_;3g}`ho-^324Y%(Zsz&Ni82?z-uxzbj{5*V87nVv5!){g7TCAfJ$-wg}zPGx)Q`ouU znmd@JO9~k=lFAq;i#URm*Y?nn?Rzd~>V>2bHxsM%o{6m7M#40JteRG3%?=mY@;I1_ z`$r9e1FX%37>tz8KM9$8EnVAWEpp|-M)p}h&w(fZL zzh_JBD~B9?8&1#nd+)w~c{u6JeY{kgTygHslHDs?^`*JV6D6HQ(%Zw%k+kfe z-tiHqbLq&t)#Nvqo-CzzdG=w6XZpYD(hk2sxQZ-Y^_qpbD|_vDGCNUo=H6_V$ICBu z*XmU~Mmyfm)YgtX6!hoXH?H{yPVReEmkw5&Px#-AUz%Gx^30{o?p|1!DOLP0m5wIQ zp7gDn3p91&Tz%EBsvEoB$quqgH=%j{dIOJ!FQfVAnz<| zAfdBSkivPDJ&hA94ORhB_Pd91$W{>ton`+8`z5v6dgsw7PJ-pcYc+^i#SZUj7@3C( zAe$Xf&am9Kr?sA@TWQp|yBbSzy?vI>pc@@^wEpj%%58Wm#+NdnWyiNu%Q%3?`uLo` zL!0kw#D85~I0>9O^%SFkUVhCE_rI8R9@x%uICVTKM0zh^C{r7=YoSWIyRSw^vYiXj zOT)d-Ff<{RMTv||#&JFwx?R{~r164?h-WnS8BKUd9>?B*AzU#ya@`)?x=O5ZE80N# z1lNL2hNL1#jR`=k(?rCj6^+B zSe$vzQtuq>G2nUYm4EY*49^dDRBqHRkQ`j3ct#mAatUPxT?HH<9Rrwp9Bm~TOl-=HLtF%wt}xBPN>qGG=Bxz&VL=BDH&9wB7Nd9Jd7 zKQNuGiLmLJkr^;(7(LGk7``PX4%8)DrXczjZ&E|bM1{%rq=ht=pK{3cyz19}F>lDF zAo`D2-!8gcj?Iz=sZfw))Bt$736w<{h3IndNnW&rz*S_75|PtQUV_!eqBTX;<;g$_ zB!6TWQ9`INDnqUXaXnDb;Ns)ozD}A>-WVPELnB5KmO=x{>y+o4KarCsPg718BlOrN zMX~}KDCAFmNg|^|87+PY;6N*EZd6@b56gW_3Qt@vH%Z2%RSVPrRgyQzMQX|s z!H!TA9Z}A>qeiU96FOSU*`G(1Wh}0#*-<|zL01uEkGCW9jqQ)UZj=ZYI7mo{ z02g~88z#CwDaEleM>*$E^@ts~;G)IIJ8(B&DwLMht$+%H7o=2La)SU|=HP^c-JIse zpRbtC!0k`;cGI3QD7*w<9qe{ZriPhvPTK9k?%rm4yl3kg3|7^>>1eDn@M?$wnm`5{ zAql(=Eds#Is8H*9a*0^;Ct|DT&4*fQNi^*&s2<(G!Kw*v~3J_2gOXroFFiIB^&k2_tO;H0t_lO17lGID1jk-Nbgdn zhz2Hh`3qIRGa{#E3qkbS%KvPXSAnk}8*qvIpAt+-POdqJ0@9W-X!h{bo`Czxx{qg| zQpEzO8W%iJoHS6Uc(J$HwScS!Z-E-z!OQWHeWV@+z1`USO`=_zLGNxrs_|%zu&DX} z$ig9mznr&@jT@D5ZVR9B831iHo_)(!S0cmumvH#p?BI5{N20>MvlhCmI03Kj899ay zQP^$3$9nmNI%p3VTTi!@E-6G#(UPg{!>GdkCxy9K>g(@zH~46Z?)I73=t6D(OCIogs2 z0VqDb`Gn5>LSwj=@UE4yo+oH8Lb}3u3gijE%dVobQoC&Sb|2cn{k#=m3u$b8O66|^ zH184m(~xlAV9Gf3$ZooLziK%5B^Fu)NHLv|(n$>1@m_1uXjLe+)jN7@9F7voM}vkt zfT=(}dky0loF!D=R~dJOwFZrUdV7y(42(9?1HlwzmO_w4VRB$e)!U63=waqSARu)B z%n)6Ys0UF_;ngWM!sodFHKDPhb%6HndL%45ALaCZ=2@iLw1Zx%4O z9QScIT~)|C0O8$84VYa1X2#@_P+JIAmf>6cPXsy^uT`38Mx-2bGp{;z!cJ=ogvhOwwRj~yr%;3=T(*Qzx%*=Bw|2_AV4uoI;Tv6 zOyf6Rz(VN3bl9p2ttz5fQOvpRnk0rC52SQCrI5bR6m5`3pm@S`(_%`9j-&m z;Zo0s+|!CE#pDjRHUZy}3n*X6OGyz~!8e5^#5C{hnE4On019hE8mL0E!j%KsiS9+u zsdA-PE$5R8GiDAV%gUg9AduwfVKQ3fb*I?Gbc61dJ15*Vu@c?9ti+?ftE_KftQDMzSx`|#8~dnT4=0rGq|(pfT7_xNo?&X z8y8r>u4E;UwA?cCY#8sk(S&fXTv!nT_&FPFI!z)F4u@09>&lNhOeU0+3QCpM$?*?- zPIGF|$?M=C3M@;=L?`2lcgUyW5e_p_@H`p5M&yGWEyH+ub>IJ=V!N)pu+D$arS$Eu zPW|IPrPCw#Rq+J7jfyKN74H3sKYYbTTq64;zLt0 zXRzDGX)F2;k8Vae5EpKACp1m^AJ7V;ZUZ+Gu_|Z_T-SPc%kpG?W3)6ak=ttuMvxI7 zy#Ry=bICxnGB+J*eSM>m;upxnbj1wD<4E}v6}663k>BZT-X)C^jBQLbn6HqlG2i_4pZt@D-L=zTd8v=R$dcBRU#Nx`y-L-7F^PF1$(5R_j@8mM_Js(g|3n z;|-=3jcWC7?Tx8@`iG(+PMuf^=REYY)jiAoma8@x@VJfl9m=F^sdS8Jc$gXP#~pTE zQc?`NJec^bo$h6au5w8usBLN+dDiq=Dk5r;)v0MvZ*{$M0S2wU=;&anZk%st)15?t zTqN!wFk(=QVF0Zrg2T=Zzwf!qD@>+i&&R0OPIM&J#9YRXapTn6fW=dt-(PT+2eIhP z=$9$3jD0UuWy>w3E1PH!_jhCk{JaC^I;$^bvl;PRjuw;Jd=J<<^1ZLu)40I9o>E}R zmrIE;@9kK#f^&YA-YiQq{w(o$AivmFBjR#TRGKdMw9(E&e~E z7~@_77E<;03yEoLUdf0!%F?cOvQe{t!JBbs*fos6Ok=a_sh^C+GCox^X3ZFJ+24H8 zd49?>!3)TfgA8I8!Y5{K7%D$t$l)cnYxI^2c24zd{_mb(c1KXMHb&2kX z#|coZ6Md#`&jZH>&DdvL+1tz#Y`1oCK5*M>ei&5zw%Oe%>o9843W658fi~y zw-lQVdIxRu+{BAV-#Spb$;vH%yY91l=S;B{CEG3c6S{mu);4?n%QveF>aoP0(Q!YR zUo2bU@n_6M=U9Es4=U`?tIJ7xAoHx-vQ&Z4&RCUCB)HkycC&4-d2=4HvSQ5ePI`m- z&1-sIHIMAa6XzVN-rAg6X!e6JnDMQq8pA2%QQLTD5QMtA*b0d8X&sna3u-Y-51&b< z&GsOz2e^fXC_4KRHLg)Sy{-Dw^FINC|LHA1n%&7)=Jr}6WtfXOS(L{BSzZ?cTVqFT?>*BuJabx*}WykHk&aP0`$^*N;-)p5tjfk_v_{Kx`B4BX*L}|;4v!J(I z&f5GA989)NrioX~CfB?x3Q5bd>w0jUwN#g!aLPNvUWDqQx#2bzK-Bf&dNNt6magLU zXs~4WX#$%sXMTUnrfitJ>tiQ@=M4_5&IoN(ei2EA@i&dfUBBQmN(IQgpy^rexSNi- z4DSS71mYbF0ehTiLuB$&;P$*BK+E@7Sq2Y;8pxH@A}jJRCrCeB zAlraZ$663aJk|-M;58&4h^+xz@e{a@eC0KB9rWT&*JLy^{+EA+hC#o95LjG1E80tr zQUf}>g-{tG)21v|==rwH84l_wcP_He>&^G-HV)8M1#6%X8iDQJlEnOG^+B%qNjmf7 zSx{&o4k>}FYZpR-kC8b<6Yy0o$aRFjWvJFC-9dQ6xOeq1!^H1*iDaj7`BbR7c4#*>59p#449Q3Qi|Q0 zlLJnMx0G58?g`?-(2aqEg=3g7BuDL@Q!a)kMRd_$SPMne8TO(i(L#^|wvd@HD>N|F z4Wn^58wl47u)HN*H&!UDLKP)UL=jB-L?C5_Wu@VHUS5^r3$0x1#!G+nG+~5r&xQ_O zJm$7dql(X5jYe*pN@*_k=S963l)}m*LFL4nUs)^7H&r|p59$h)kSXYaUp_ZCvo=4I z-g2^EkJd^+fUOEjEw@#nIfb%=lD`(TTu-HbYN|u%rVAm!aL;cA(NvP6(+uX3X<@6i zsH1cMTI^ZjjNkWAkk@<#yD|Zvq^a5J`8`0DfiG^cKtuj3-?=HPc-^)x^aeHTCbzF~ zaj{n_=AcqkU_xqU$kGyBwwmpve*l$|bu^CzVC;2wZGyS4bnTfnyMHp&9}^PX3Hil9cTQ;E1VuHMfY={8LX zKuIXu@{ZR#<;QpQs`u@@0qB&Cbi>}A^TzReStvE`;PdH}&+Uu)^`=>yS!>`i3_07@ zv0JW-9=Y9E45I#r_&d_#3BGT~jP@ z69m%&YK;a#@R^T`Tk2h4v~ymvip#_5)H~yo*{`#X5r^VmeITh-e(Ki95l7)q5k9s2 z*kga0w*So^>gCmMeL-idcl~TV`~1Wn=EQMUtxlT5Tc@kt_|YRR$1dN!uU39@iBwkW zeB8A;JuY6w$M4&=VWIq?ZER{h-;MnZ;K)FOPG+mikrhkPsiNPS=NX55*PJu`Pt zJ1>)Bg1LYEzE)7P_xmSTTle|tptZBUFZ(sGs-t`Zrn~P>;@=YQ<8ybnfQ#?8a4m+< z*Y+L!=U=@Jm0!T@nJECl)vQM(gqi-Ib&HkNB_jca|6+He^UUzmz0KXnpN&@kPr0$j z2tbWX^W43IkpN)x7SnxXy0;mSaAtLL2&!o^D`Au${TZYD2#=tFp`GOZwty0cPy!T_ z6e7fD2K#^vm7&2o|7i|PSMOVEFzGOYIhzcXid%Ps8#j*8bPXJgai&qhyZ(k)g@Ug; zcEVm^gf*Ul;CR)ne)~`OF&Kb(U2BvtUv|FsCwh7Ct%vcWJ^~mI_$vOxnrH^+lyU&% zPfA)zyavnM0=@U}PFu-Sq^Lg)?vhfqZi6~IY}z=QeSyS-wKytR$qQAJ|Rs5}Zx zPYOy2h$@@4F)YzSVV>7O1rKfqxW<3ZE!1$WtuY+LW<=F;-|Y&Gu2k=i^7P3V&2M#1 zIPs482xsnv=7#9eyXWD(z&Xz4ejQ#eKhl8n6=N?Qcr1E!b2WP8#(9|raTdxvbL5ae zp8vRmbphuBi9O-7K)|O>0Oz5lG=M|E304XilC@k9MCr%*hMV{KEUfOAX)&6Hs`AAxj~*y9^y+$Uo+ znW+CUZk=n$K8xiTinin`3~Dq@BZO^_LcelBYR3`43G!P(-YI z46;*&nwxujLr_W#W{dJtM*cC<_2&ZCV8We+h`sg}4sF}8xCneLdHzEw54#`WGue&a|CH0 zx#vb>0M0G+Xv3Ht*obIbs);)?V=lw0`0i38<}3W#Ra`lu{yy>bg~!~qfg`jG2Cn5F zJ3&mxCKE(W>pgQg+Bt$p&ovTtzg^?+W6?f2wDAX*VIHzhhg`}^9=X%-5zgY@R zT!c$1yU+pSYWcUgUw=^-lovJ%ci+~6(GS3{vx3KRw3V6VzeQ@){uo0Px zcHBO=Jz)%s294IKQe|9> zIh)ES<5#0Tq(<^^@<=K_guEO;`usNXSpb@X7s%|j&mVoI-Nv2x?mV{b(cIa&vF`FL zZp1(6?EL>A% zl!QrNv{ywlyQwmpeGg0+I}U{yCQw$eIJz}Whok#qw7j-+#hBBkv?a_X{?0dQX<6Lw z?(hT%&Jz`$+ExT4e_tcRPXNO~t>2Iblrbw0ig$=xti!UxOPXugf3Tb!;?XZ&*c?Pv zx%`3m<5P-}%vLna@v-Ku$2XxIGgZT9G(t5!b*D}$sedJF_DeRwIZKR%{p}GDZXA2c zn+eNmjmf{HtvpsC*u5jp!a}$&tEYvosdlo}($u4+r^4qGD;nEhuu0_b3D=L4-HsFX z>WZKND(!lHeD*R}W|EHM=TaWW_Xw$S+n@@$jzOJ<>6p~f9cj>L zdU3x#ALAWE0Qz|6IjPR@}8oJUc4dN_xcOjZCJn zZcp43%Bt>LYq)7*o6BozU$Hx`3>ElbyHJ8%m7TVJnpvp^W{P4G~?uKX)+=0H6O3l=uw-PnDiu;n*oIyzrh-DFjlvkUtA&XG(L)db;%3pW;jAiJj3D}8~|`X!L9M-%XWE2x}& zy58G%$ZC#N+Me$(Z(mb~HuNTYKOW+Xl^sey7bIzFHMg_$1LaFEbS)S(oH2KLwP2q= zz1Pw8A5GHaY^Y-Fbax=epL^~|_}MV_{Lcp`{4+27{5!XN}M z@7N3bjsO}IboG4y5p`hg#PXX{rd8e(5X@pQxnFPlruqay`j-6Vb4OnMy-P`EPMSni zzNdtFPYekbrS&EjYIsUrA5}x=v-ghalvYY}^wML#)80|E?Hx}`tj5TqQ4@P-6~VBJ z$RKVo4w?#nP;l3#P;M^z#`a`5;msQl$GEKZY^H=50eVR@RWTF)$@NZUP|6TFe^M}% zIuIx;lSKeJemtlE?<|{@qD_Pz6qb`OIWL^uJ=uMm&4>aZ&W<*U2z2&ECi3DNmVB*Q z^ieX5!B}8M5PYc#&;uRG|@UVjq_D$O*MI3Cn1UK99xR{=g&LSe-K(KHJZh)XH`~MJdwu4l2(RY%?vlb$t+uwz6HdD%$#?9AQOhp zN5XI)!?k~wNHuFdq%o3?W&G_gG+ukAbGtK`@Qrl)(b$$t+Un)lz8Df&us(g?(I<}9_U^O~`tHEnZ~HGcV(b2tDa+sX1NZL1KF5j!H~wv1 z-*@$-GiR0u49@pBR~jdFoX+N#8;f<_-W|p#i=lF+_S)(4leTqo@MEWZ1~D(IoUYx& zJ`3@??^Hq1NT1vH;bh;6S^$eW#gx{*t9OzjlLzrq7Fd#9j%AXF1vlhyqz8x zcn{wA?blOhZU7V`s$HflnV~>sU;xpWssZSEye3GJ$v}cwvy25I*-Q)GExM^|f&(HC z6hLPRInfZ9+OS+dv6#5C-7!``C~4=2Tb?2d$t1tTdr^uh5voujoekq}->|WpnIrcT z3_`34%HfXT;DccjH2tJtS9v?dgr5Ys%hgt37{<9CQon?GkeKk2|9`RfK0tDt*MTP* z1Gd_VHkk&SG}MuGz&DsC2+@q#q~y-Tf2O}_jzNf~tr2CR#8${-E}s?p#*)kB~D^qtrdfCbt&WH5I18gUHuLUu!uhRoB$ z=FAsQuxz?4esPR1qd{j-Tp}`*qY{XXouLy%B|CP9h)lfA5b20VSq(FRa2=o#i7ku( zPaxQwQ%_)ZDNRuSNP?6=0gI39uv1Xq!cceGukP#fAvn4U_!RhsV3Z|=Lw0`%BaDW8 z1_n@UT#QttMnq@vlHJ1Wq06ojl_fjC#9$kXKA2=AHhQ8~CY^e1rF7+kJ8hgj+BWss zbc@Vf(FnfDFo;*=o_(rXC7r4hT4#XAf0a$ZB5G`zczK9otB%&S=D_FZeanXfW4H)&`g97Lsy*Cr63)g?;&vlIor@w7i>34SdaOvKm8Ub_*m^$AUNp{h8hf9MnfMiG^SR)@~XNZq)ClRz^iEpsz>9$!3>_LDT$X$s6IpcWd= zT&2Kgp}~v{T0=ZepjFq_6)=E_q$yRQuKnqkmJ*$122&c&J-7?PnJ^U$xB3o2ttT?v z=UdUR+skTlA;w%0cnf9U9rH5TvPX|9$AE0MmwhDLFBbX@CbO86Q)M&E+g1q6X$(7N zn3fmxMK>9~w`WcXcWKl`PqX00I{k&Vrk33N@p?MiE9cO3NEYZYjh7}Bmki_li2%}Q zuqQ;DA!Ij7-6REH_!p>;WC*$SmBa7&lD%f4nulJ_jzKe#BVyfZ`i=@^0pAOxTOyyBglM|rmyD52le4TALZ%s5GV8ZA4b79#8rN( zf4&|6N|n!_POW@YxkNiJ?Oy%Jz+5<8uE&l!+Ir})az}rV*JEXi!K~~?B7(OE92~>uc9%-VzUW!-I-F--Q)u&N7IhY?XsZ3$t zTG>i1d(CJx(T!HSXfz$YzVZQKv6WeQyc@CVr8%6wm<(V;M(EX}ysI>$(W?CT)T8LS zC-6(wa7_Rh^qlYTa;ZGcGX^nc58o0R7B1!W9E?;K`96t-%lI3~b-3^#UtBq+`Iox@ zy@T!Fm>Ry1Q(VfnhG@F?lJ%%P+_6}WR*u4{(B-alvWcll16bAbkMZij9<9s%KIaXC zFfM10hDP&bpQ+a!w>lLBRD|Iv<8EF`q>`DzQ8X&)1Jsyn! z_nt10zC0PlLrhstfWc%Y%1K{sV~W^ez%Rf%n-1!;FRl*OQt7zMP#v$=lNa?4-aT3hac6#ybDfGLGACQDkccIAiPFE zQ>E;Ei@Lq?h{~j$JgsL>hQ&JtO-w(8Dpd1T!Mb<_;~1b{JqC)q*n^a@=e~al#*j&r zMH%5DXKTby*+>*FCv@e8u9Wa7)$5nuDK#*Hb#MwO_zi3dPe7bI%8`-Hfs5f@8KGJq z&EmouerxO3wK__DyFX^@8f*3xp13iS7Xo4)V*yZ01Egx_U1bg%qn-tThnU<2`nd5H zka!THQiiUkwlH)RBha$0)`aQ37Z4rRcqzsJqD9Re6ds+0JM6|-_DHB_@AXs*O<9;4 zuqj}wSu~1y(q*CTu#7G){lJ@0Ukk@^T^ZwS{VAs}lw1SwcM7M%lCGSx)q^9xad#74 zdLVgiKs+TECMssAqZ?A`zN65^XGVA%0WG#`pcAKXkE^y|ac6>e@EZq&9l#{V^KD6l z2g6Wm?XFZX#T}N0GpT^NbJSkfpkChmZKDevb^e6eB(#naw>0JN&b9^NV>%foN)#|i zD5_ZMkc7a4-DEn6D*#=pLXkkq!ZtyTO0t_y`YI`q(|q`p8oN|TH))wi6pNPhDA93a z)4H@1pmC={h(dYMD+vuXePsL$pn2Wx-Pa3`c(rvJ&nFV5oVKWsLr#FWfQbT4Hmf-& z8x^cQV6VMsAPL*JfSpC8_SM@6$##y=5f8}Nqf8VR#eY5h# zci*hO?|k$htM5Di%#J%0`q=L>2}|f`lpw17S$ILt#t0g2{G*hQ6ICi3GmOYtkXar_jz_9h{8;K)$GmV<8Sx1@fhX3+6<{< zT2wg4yphMJdyAZ&Htre7d_yE-V=A1Ltw~+1#qywZ*ZD@%x2&P$<#M?& zv<{~6>OahW@m`i1zFHc6s8>4syFs5Nlh|PGdiB-h)XPa653LVg%#LJIvnNIWNP3}X z?HtZ#?WtxEy^2KrVSA-27w}OEcvJhUu_KbC%6o_izv?R#FD)U$igehW_kdY6l&v-{ zJXsBWg#^5npMlT#9Z$8Ujpbw;0c;c1v&Uj> z$`j>TCOV%xLdi3KH!qmjZ;&^^d$eb3yZET{+;V!#%`Y`7_`{3n zyg0`Q*cD)*jr;TW7ZPhwqAm!Hj+mH^o(v&NwU0?CxWOk`z|fg!0zT?jhj# zDJNB|Y#!IRx%Kzgdie3xTdk9B#Y-*!f{<(q!=YA~3^eCTVm`6c6g3rU{(2=3GQP2pVE!g;ths#X@ls;iTl`o#|0izFh7voojMwKoTKd=$j$Y@J0L`#hbzN+m z(dSLUjCM!01F1N=gfygg1>4&pDmm|$eqVmib(-F*q7 z^-gifnh`IrU-IsE&o&Qv!GRyNI-iiIO;yY4-I z^t*|CvH4qTN88qq+706|k6mnj z!~MqbGcUTou=JU=&P#DV-+Cy0^1(+mp~X?P;&e6dV4@4n^*SkU$Vg*4@Gb;IkOcE} zA$AUyF&>$xovU8wpA1q1FK`GrORhpQlL$>XWI9l7)PyDirEQB&u^L!m#bcX>3c%ro z9o$inLRd(umJZ=XMsTo_xdUNMBVTp3rviDuC$(PBv32RxYw7iSUVGo!s2ig=IkiVV zc8#xavnt4e*-Bti%7Dcz+jSj>!>P&zFO^~ci&pu9=EYWTM;u*a ze$9R3=NW?iayoP+%O0zP-4vfqjUdk43s?DmQw2U5<0>C`t{A(p#4v-pBYvW*JqE9) z_u6B@!LcrG;^^-)?_7I?z+-%t7b)HRiJg^~q@ySCbR}#2^@IQPU7>5wR-#UKvi+>L zhouH!T)k)KueqP<#D5qV(;z@3ekqF%fxyRjdCg~{%{Gqw)A7{s4{ z`dmq7p%wjyfB$V3X_Q=!ElN@B=o-6lbaTZgado?7_c4t+#rsV>gHY7|rG$WqW*x?) zTjWO;r4GI=Fa>d;p@{|ZvD)e&f$(Co&Em!tnb_fR4#+JuSWkesLB=q-zVQ8iu59Gk zUeOv-E)fnriZ%rdhzvu%XA}Iqx!=!A8=wS$>dtD+7DFmjUL{!?^D#Ppu`m0 z5Yqt#V8EoH&?T_vZxF-=@(^rnWoBTrNP7?>6A&D62}*Re_g4q)Z(MKY1VI+2nu9#5}EleLz5( zh<#1fJ5|w6$58{wsv9ZV_;a4iQcKd#Nm77|bzlN=<3aTd`)9$l$lJ?`&NUTQievmd zG^W^Vv7fd{P$YcyzVB0}^Da67KFsM4IsayW3WdXcv#CO%(H)hFgjUj;wQZyxwM$fZ zGg;H7|EQBtg*N^0`A@(KvBehTyobpg$fo2E54cd z+Bcp7>JnN~MbUf|ZBL81!ac9~ZcO=FTHl!RF419Vmjb$$O<3C1cG^|V+Z_?#C1p{S zP6n00j=_U^XVHeJx>OO*lSPUKUr%@=&-YTLis&%h&rkh#oE0>RP4(;4PWv|1@mY6L z)Rj6S)dcH`%^1Ov!vDrHqSDBt@W%H*6*Lex)%o><)gskjzxmYH`?`%*SeYJKzX)6& zJIR=RGu?LZnljawGaQ>w^`P!oOVh@IjLHH1=&0csrV_7^bvps;9lLkRQBtvCdXlL^ znAkRuUd(OP1Y%p>u`!Lf0&?QGI{Un`Xfxc%N&2)(d(DFX0utqV%KPZ0W($W{CwKf( zp~j+EG!{ARt>cmiMafQ-=8{#@bzS9F$|{X*jn%o;#fHSfnqc&0k(0_*OEr4)5F`d& zK2IWULe2i>=R(-#)93!E`sng~`?p_E(aEkQyW)Q%c;|G~n}M`DzP#>wkG`<;c<`Y% z{1ryo`Muyx4_|t5?&!|@h)x*XzQmy)Hu0G+CU92Q+I7Rc_~zuH>O;#9!k(u`qH{2r z+yCq0bnT7l8QfF9Q@ceY^8dA48;u-h9RG16d;w6v>VG(pBMfAEt&^Th|2F^EAGoC0 z40KgeOo^4&)(_+gHK4ThX+61iRHEnmUJ!0oD|O`o-;4&B1lbluEUp- z*hqv0d{HJ7GQI=hgcLW->W)k`9b`|46h&ZT}jSad+)R z`-fc)gXDZ-?(phA+UdWO**iQ4YhLGqbu;UW3i?034F#i*c$nZ zA9MZR-TUJO&?W+ILmHb1xD9|dXTYriw8?^Q4Igcj1>FWfn=I%y0NP|hw+7HA3%WIY zHd)YZ;G=D_pxZ!blLg%xK$|S+*6`V6LAQa=CJVY9fHqmsts%6@f^H3;O%`+;_-wME z+W}~k1>G7#n=I(o@Y!TRw}HG7#n=I(o@Y!TRw}HG7#|5>mgQw7~1@Ew6p zh>}5gEMhJc!9o~2L3#KQJdda$S75|M$pFk1A3!L^KlAp}05iHI0L1O|##YXm(Z zMhGcFFJjYhMSuxGOcJ67Qe|mDH?ta{B<#sjSGDU!&PHq+!fFwMk5D2>LNvA_2#N|T zL~B7HRK;{J)d}!Zg!NL-wU0kAL$`=r(XvETgr&+<^c{kosF)Q*%%W*V5$SbZMV*QS zVJD;ton=uYky#pgSn^asf_V{T#9%~H#fDUe2LKs5kRY@LQH8>dPEm(~M5@z1VN!JQ zt8f0Pjr_%#=4nU;L@7~?8u9Cgg1w5k<86fH;<0+7t6+(AQjI1en2CyHRSb!Oy`rc} zxp@?E@2>7#`NT~~tH+TFy-HOoRM`&?0Kjt|3mW7ArUtz&!nLSaj0zS2hNjf9(LxbY zNT_F#>PKj9TCv5j>N_HzFhROc{FsD95L8uzgR-1_ zuU8cHLK4$*w<{$<7!6Z~G0|l+(`vO^1VM9hLYogFA~KpbfPE7+^RW~xmhxrk&W zWH-z^1nVXzVa`-b#ElkU)y+k?{_9`fz=V4K<2VY=8kNTN@e0vu^>L5sQ2~3B6On|* zbvX^aYU6;gqq#-sG?NLIr9bQp;X^s1HUZo2q9QVK#JdWZy*_=_uu`oSc_7dpw2iAD z*du(We>!hxwOzS+Ccf4hn9?M|>zU7a)|5w3J7zc0WX&f0pg-xHzepsaYo6zIXM`BL zcR;kEG`QCzC}`Ao&h#tM>BKqpTV}C#{wrJHrF@ zr<3Evt;;3Mpeg2pxkM~CgbU=)FhkRoUBr<#20?g5CLx&;!k7}TCu@Vr?vx=8rG6?z z!%2-^^zVM-cZdU2_QwuQ_rjCh!9xyI>c@6$By2N zjJBw+5j=>1MYUZ?i2!-#Z|h0D=B1^D__-t*)*>Tw!jgE=f(+tQBh#-C;pnlBVW=Ca!yCwBjW3{hqz<+JM~4Vt+U{ot9x`XG9`~t|jtTi?JP9mf-H^p$qZs%sWR+aarw)>lVTG7bV>O1q=l{kOY zADU@m=MVc`!j{MG$~G$|>Y$d?w*>x~l4ttyN+1$jm-ef|GqQ;@r^fu)m@}nZ)^|-9 zNfcjk=Q39kgNqo#M{QA=5oyV~sCn`=;WC{Gcd7RxorqIcgKW;TpEf;MLl86!(U|(i zTEf~y{KI%0#T;`kH9k4z$vU^S(!&3*z((vP2Lhh%k(q0A0~j>~fUC zhWKN)>{2N1B3QEu2xc3$Awz3m1kZMK&+Y_<2=)3G&2nNVrFUI}5pNf9$sUT&Ub8IW z1@&vSY^zUv+53ide>m{Y>1MxFH|kHfvfm}V<3qCbKP65sy#u9tiIsiAQURY2-I&&! z3tcCu4?9zL)VFytWF5P4Je1kpP+Q5@FZg5rKM1t@e$ktIGJju25JzX1b6Hy1(fgd< zvhJ6B!wdX$P0mN@YTIrk7Y;{`UZ2!?DB-aFU7DA5yuMmY7n5}u?Kao&jm421uq^)d zzSaMA=lcf-PfhmYOYN2CI!S-~cjK?_v;3;NVt)DY>dC?C-04o(+|~8cp!Mr>3(eYY z>l^$0ee<(}OYQk5*XE{vuxswZz23m8C!f1;;4Qt~mj55TTK&7HzL`!w?S;t;p8N7C zx#rG&_Q4Zyp`lY>m7o1g%fHr|O82IN)~B4j-Ku}2<&GwMPkFsVwKJ=~5ay>3S!aXg zx7^6uOf!G@ko@E1Gwb2lz`M7%e&WXQskO<6)@L5{$iHuWVSR9@_4PcA*4n|RIxp7J zQTUKtzV-ueq3I6B-NBX7!iB+&uZ2>`Fxm0XPn=zPzjyHSy|eR6Ywgdb-%G_m@BO2< z!FimDO_XSR4F0%9#YKNOmG%}-OQX_Rb2*7cyf#Dhw5wpycVH}GWB3T%p?di|X z1r_43rNk?fuqEQMnH%xn>J?#&^}y`anZbIKZgWi>r;l8uyA|!*N;5@6QUjIfi|PtM+U6TBCZXPe3G4s?s)g4x@g;- zflF}jc|u9Yo;DEP8KddFLhw3RMnYq7^i0iD%S#)Bf#b03l6e2&-T<~m9P3(OpAr)Y zenF@YqNQ{IOZR(H6TQLxL^DnZf!cXU9wgv)Ooe%(*^cph$)IL1pLf04OKPdO#tafp z=^g(n_-ir$Y%tn7A6>I{8o_SwfH9N2(oP(4-nCf64~p4~9ppg!Vo;8w(m5j- zJ#@V!^g_QvRB0nfd~cLE_MjWr2d~PteS^Aqp>y4fuGg$k93A+jWH5hqAU!WR zaAr^X*#1O2aPHjTR3*Gfum>&!d1zzyX4?cZgh1FZh$egC z!KAR`Q4JYJCswp%{Y&$jn3wiwUm?4fB5|o^PZ|iAa7}n`07i(g#$xX1W?=6yPS|=@ z>k6JCof3`>Spu=b2ntS=>MTI;OUWvWAmJgkk)~3^zxwIpQV4zB* zC77Z{z*F0xdz_@yx{}zRTxy^Hxi%quy@@1KD#c6`*>I|BS44VM0sz;KVc%r04 zoiw_u0HA&0XWro730+fZq%)O%Z?wow-b0nb6F+A0-tvk+!e zaLHDN;iyNdX)=RpuGPiHQ_i-V=zu`o7f_4Jw4!NWdfOw3V9BHp?V@2qVwcq>K+~4v z0($x!;L?y$G}A}CVXg-jVfbJufz?E=ii{Qmv{hx%(6Mvi&GaQ6uni=@gH&W7)tG36 zgU9NKVDE}9qm(T6N4~fvA>3n8i`XDmMXnq&AZ{npxu$hBxEW6}>LI+mCZL0YH$?>@ zMMl>~R5yVm5H=CRyP*z8$RKk85*d@73oQf=JtIsad49Tv|(trU-h}o20P;hHTK3I%!HUcl|`5 z+Jvy+om*&1XNVk-_+&|K{lH)W3KwQtv<2@2P`dcSsU=4ZE~+q6Vl0DbQ+OH0o1WcI z5#tz*CG2wGH%ibqJ6@f|ebj__RCcXpb$dLUvlHF&hfElqwjf5L=c-XP1JCjWmCzgw z&_&YuQ$#^kb)-b)HN1^6+U2W%x%Hf0EGbD< zrzmP*$`s>_j1Wq;OFha)WMFy~&C;ZzP21?cj(qjFs7@11Jk{$z{V&onKX3cGIY03A zDa14%WQ_TVh;zpt6HyH9jV+EI?g5E<>c%ddwJE*qo!KNzwmuSdBpzkA`T6HF~N;__lXX+n4iE5cYT6J8Qd zr}fzBz`?+^(G`n{&ef6u!{jg)m)KoqY7W@Op?B|XBnca%KwHZ$bnChbGAihiX@yQQ zp%AY~ZQu{XX15vG$*5h=`=PUH`UX3nrOC@?)8FFxshiKV5H%+KOT=R~=B&ATBVKU{ zFM8Z}`yzpHdM(jw%5270g-7OGS9I&fl;NktcK3K!SP?s*q_I>E6E}5XmTp4qXNky9 zH)S_UbX$yqucJ+^T$kD2&hJ*H((YFS6ij%wCoIT~`svYdBdXOd?s{pNqEg|1G@#Tgph>$T1I zANGht8yZt_wc2~*y;hJtdU8wlXuYaGBm8)+x^Q_ptA6z#w5ML)f9YtpcWUM9+1~p3 zcKr4|_gdQ2@L`7ZG3TY-`LRKLc-YrDg|$)j-ov3jwZi@+-&T#^U)|ke)K#)q$-4Sp zvhE2D(_cB-uD{%wG`J12z5WaBTCaL8F&6WVwwOQQm`nNLfjv5=oxI#=i+gJ!nlC>; zc6aSNrmWbbuDWr|?+L$O@mF2VPqw?SblCl&UHHLguSCOYUAO9!TRfI(Ys%3*cHEaf zIu~8u){F)s8GeYk(HGZ+RR{Uj)XKH>O!@{HC(k*UPFc58W9O!Kjn%0R_VyGON~0 z*=&6*dxUZgg)b)r{(IROAvuED(}w4heXqyHn;hXC5u$@6b7xU4Q$c8WJtSTpl_OBI zmRL}@)(gMafT0o=BPkf+=p zlpj}Bb)%IDL6?LU?oisMUN>^KfEvZI`7ac|?ZH!RqZc;Hy~Q%CeclZY{ln+qkW38` z{z}=_sj+OrAA=Of6v+8otc#0xWYv?GyY}!=1u95&&&ibzd&um4Q+H(VtlyCx?M1`G zi==%l)U#?}=N}^@3>AQkWe)(mV^d?%Xck0VZrH=aJq@+Q$-Xf)JQmnP`kOaE>j%{O z8v@d)m1Eihe4;=sQ-QgR7DRx7(6CpJYKyDKSOG+s{@`u9BF9njsDYnS;z4qruSYpc z7`mYkE$Y!qTV`$G+kmhq?qKO=>CAROf@)_P#bq#BUoyO@6&Vd7X5o~BaMH+JiVFb^ z8ftbxldH25Vbg1B*(_^h6PiGTgx#>9scTylVWfjl=smwtwjqt9UC2Iabl#LyGzHte zni?u=bv-65HGtJwE3;#M*@RWqPXQwZ+@`h&1Wqn7*q+u>PbL(NZUd$tRikGru+W?Y zA&az_J+Y{pVT#zT)hAew)fx`7B}su28B38ZqELr@PKO4=(NRq@W1#2=VN*dd`lDa? z38JVIu|5~DX+fy>E|(CC?lh5eG_TjapGf3>S@T_^de(&7H(({2Gu5bMU8!d9IIQAG z0OvLCZh8Pz0K|#1GnYh-Vve-CQ9_dvg%UkC0?c={11>p0@%SDJdd&Zg6#CZo zf!_6r8G6@&TO_*XO}E#iWEjGm&doI_S}`mVkp;R%8tmTIr}G-SKtwOUG@XjFt)z2y zXOrkcn6=ZWm9irOL7qh2W>iKkrCCO7xbp+55?Y6h^kBMO1ac2F*Sb+mB00?hC|~;@ zz6OvJ6&M;~Dmw0Sse(xZerf`wn z3Gz+W)!K`2GA3$JBxbYBRKnaR8@L>YOV|pjDjGJZ4K(0OZ;SS#&b|L*{~b4;yQeYx zhyCa7acBSa>E~N+N1b;a{LEX<_P_G*%m4QB>7|?JYyYjVAsRYRG-F z8khTKHKL>cxb>;6Z@u=b?;4cY93mU0goS7w>ClWW?J<(sPdPd{pkPG{;5f({w4*L;cGTML4}SR$Rbxw? zv?#V%2RU3VLT2`g>+z17k{q^?77sL&gzB`eacd^@OVOKM(X@7v?69_Ncc%d&Z{V5MiQmi@;^% z^lX*o1S3-*l!)wUuQeakn$~Xjv6u}St5l3CA&Hl;>Uqm?#X2@9HRD}q4Qi`ZQFoFd zCp{Xwzh~J_et1{j_EG<9b)%QHv;EPTI7u68ZE+}IeO}BRwxhNAT_tVK@0S+D@Cmh# zT$oI@ghMk*ho+r>QVSEAvetK!`ltt;ctNyt)E3|jU~5`Fx5&1z(mmvcc_;7yn@oja zT1a7^Zv736KWtZAEohrw(5xpVi=jCpMq!76%}&`?MX_7)vbOE6e#UD@v5wl%4C;9s zLPB-IPS0mgcOn{11~)L7re-v@*h@XX<0aRFoh5sz@22{E?9FSvRH<_S^e&?fAxjy_ zk(`dxw6Y#~#`Hi>JV-narV9hqW1a4Rjg@%q?Z4fM#uQK0(DiJ?KC83U^>QaVGH@;L zzDdt?A@Y49@COFFsCp?llOGVdjXFGl78V$ED;b~V#+q00k_xX|8RLx*E8C#7gYulpAS6DV(+=5cYK zBiE5fv;}=(F+FfL85`K8x|_aYjvNfl%M+K|VR9;O&skScFy%5ky^y<^gXy8x6ekC{ z6m?fLbZG^xARP0t8IRhFJCDUZ8HgS_QhOc2CU*h1=ey0cYwNEh-nv}B3LsgE>`sNP z-dBvMgn($wxToTBAiJ+U*h*WUupVld+SzUQqoBRlGoN+!x7YmSJ2U;U85cv$9?zTg zj(hH^bKvDv{K4S!bGxm@eb&VA(W^bP6<+qvKJ1T@`n6>Hn&~Y#4EC(_zY^Hpc;^`*h#KrD-tunjznS*el`75tX zvMcVa)#9^eS~puizqno#Nn@=ePS1QWct{4HOuIAUs662XvHyJVR#yvaYx}e6OUdLH zJ5ReWbwp)pSuskzoX(+tx zG71;BSvRaGMz$7a0&9rPFivBqbg0I@(sY6h9xIShtJo%urpT^o7n?B6J)VWCu#UW9 z>l8n;Wf~ip9lIBTZz8?QxwAEGF2~dcS-X`ems&3>$8nZMSVyB_AlKWGaPJ(PaV`YR z6_%{F<~x{rvfxar%N_6at{z0uhuMa;%fz?GBoJ^Z%S=t_Wt}v3P zJQNjrHt=R#1N~SgDes{@kV=ggDfxFKtRyO{V(7}6v4zY(dGP0cC+_Q5_cZ5_+lr?R z>p!S~s~#i4CV9z?mJ&U3s{_oQ=@~uN_OESEJ#pHVPnlK_ zOg}B0b5Q#IZZwR~<-Inu-3Wot+3oL1QTqK+GOF3twWN+}Yr7#1ltfrc4?Nky=+e<1 zlL((@P17D2ChWOs1qaj0jAM2?=D{`BoIj_JV{mZYZw9r>V=2r)8~m%Ey;B1mg2h%8 zC)Q^r0%&$x#+I#F$oJqJ>5fCut#mpgs&S}Tg%+}NRJ#tqJ@VQ7Ya?X583NT zYD4@}Rk4(%30k%YJscb=HPlm>4aIl_;yvx9SDQ}{k|H;TM&N5ZMV}C4@v7l==$iJJ zUjieg01d6u6+;yvN=UT1N^qdZV~8-YS{*4Kg>~efO1Ge)g8@K*C}Wl13+#aY=r*#a zq=*1->QFjt)sb5DPoMlLo&gcg%6mlRNygNT8WQ^ky04@x+Z9VCyCm57+D^O$qSu4E z(aJhmO$gQq<&2?Zbu6)tYjjyy1F%a|lD5@BgAy1ZU2z|6$l;7Z-KdSP_mX()X|hq1 z%FLcn{Z%SSBl@L!z|d_Ya{>?wL{)=o6HO14l9It9 z!SiEl!5?}>IyDrXvyIoj@($`{*lKFqCD65T%f3i8h$nEoiXR@J%>kvT zge0oK&Xj>9=3BC>E)6MFEfV0NzDf+b!R$|r%tdZ-4J}Fqf9MY#qEng{L6%>7;M)pm zo}vR>E#e#xqK#WnS_&|&u&6nxLk+uu;3Gw}REk_9Dnlcz#4ILGRx?@mJ@zCt$RmZx z9(`8MSn3au!upX?t6-S)M(}h4BdpEpnw~09{W3pWCp#K*b>MhIUns<3Dli~cQ?{U* zXbUsKG1#{&KrjMynOGA`1^N{UP?spmW-fO^;YC%%^^YDkyH+~%7k^0Y8|@USG@*ci z<`hz4rl$yh=)>*O3EPDh({xLe#{D~(2XzqLV&K_K6l2gX(Tq?K)Up}KRM9rpN&r;= zrXVDUisX%ssA)k7P@~(%-(0zq9Y0LMS1>628BDv6O`azBF*e(OM#mY%0|@!$5bUF~zC+=74F+#oJ3HIw{OF)d?g48!a^V^Z=WK+V5QbuOB&= z7j^)q0R#d<5N*-^d#yT`C_hAFY1NeyQKsRXW;x7}poAAq#KIjpJ@hI)i6>M#ajA{j z&Ox%yOlcj5FYUO)t1rcu_SF?NT39{;Q+C7HoxFpI8Yr^BXCzgcHE5*EuEJev7~KGh zL1lv5NPv{@dQroOQs_pR3BhX6L%jaNx6UZQ2?S0(o3Mq*VG&+0W0aT?tVKGG7Cv+* zM*Fk2uZK&igETWg54b)Wm|?!nHcpNn@sr^(yt}rdkut;4R)4jfwS~2~ltAOn?44dX zbn7Dt($QAALnaK0AAc#|k9>sBfgXrOSLk+vu0lvuA=xpIpBP=g3}hhzfCrGdW+TZG z+_~C*X?xj!b?(9CL4+1l$1HW)|(lNj=(e2NTw+lUQG!#q1cCTT}1>rN3m~ zg7yWaWjX71(SMauv0^!JQ9U*Aw_vg&iK+};rP5SdBD6wwj*87&9Cd!<3wP4-hB0n< z0#(?a2it>xv1e~fW=D=|_3lJ8g{*U;E22x2v+1xpQ$i!`q!UM~vxDcWdt1@)!*kK4 zZBOdoxlbHl9_~^4&^-&?*;f65TD0=vokN;?J_5Yi)uYC z*C$XsZ^iP_?;GOeSoScQS#)&P901wtmz&`h{%Wm7*AQTJKSqPMER4Ygt5d2fl$e=` zodO-=9T`Lu<^slr3RBOzS_UIgYax0|gN29Ntf8i}tyU6F3V*?C|McDPRi=(Y0Rknv z59>r1FCYq|X$SiG1Y-SMw9@QKL>)Kni?LRH0^93x7KEkY9%dPE=jvfowx)KBwWd~P zqM_4_E^h}1;y7zb8n1ppTJ_PSz_Z0oSGp&`ID#tFiIy1Ug%L17HaSYK>Ka)$V4sjO z$u+O5_2?Y@#bwqiB*hF z`63I@)2x9;r^A#4fOJGse_A9%2_ zp^M1truica0OjG6oT`BBoq+%F@o06n8(rQ31OWen;nhbSCdM2f)(bWK@KNX#$ewb` zCxpx%1~Yke!A1G5mP(}`4w!k7F!*Ei76rE?fCeB?&{GNTbZ3BQR4iC2$agoaT>5W8eXWIsl8@^1Q;7)Jz0` zKsiOPFjC0S)?v>YG>1sRoIwbsci>ogbs}3bX^w6@l;B;7s7e_RUt=;U5(W7${n5|< zGw|L~6e`T=_Q1%RCTzLn*5gVYX;Aoj2Q3H++bC~LUqkMZ$YADlGECr(QUiw_4_bxV zZ8XY^)Kr6T!&4QO@IXUlkEa^2sA0rYAn~S?OXRKmSby4w%ElyojOeisXr`A^N z!~m3Np4B`?&=lih@-Eb0cKQC=qON)y2(<8_n$nu)EQm_rDa;)hF_${%5UXpm5h?O1 zX|5~qqM_G;+}qxzY12@z;146`ucx`Kfx>UETO>%$?p*VH1!#?7FDVAG0TRYtj5^?f zoJKvSuqBL6Phl`}6(kYj!MxiFD#KMDe=D?6^gU0$y|w)F)o(xZvE})j=ihzi$-x8PzvrGm|H;?S);(YS$&Ds{Obt!` zm1=JCuT(=%{5!^SZqFavn0EoK&{;KEI7(`Vqa?`6b&JZ#j& zr$HotXh8|5px3zCmcR7eorSkKM1}Wx)`=mewxTGz0`F3E!~9M(ZMs4R+o);NhE&N~ zIb1&LIEkPU&7;^c-a0Q|_tNHrB3sn$M|46&VwcA6MMf z6DVQbk+e^-hYL^x*pBB`?8GmlGkH3AEX73yL0PVgp6xlQarYP9a{owQXmgqQ*eMZ} zL6_+n0{gfb2(6jpG9LKu#2(AMKftfP=Xui=ytMoM{BUaer(7pxevPDM1>4y`ERJTT z;{Eu!--{%TEbgSG#VvxR(Z(5fYL9$ykZ;5KHsv~H8IDk#Zmr<5oWRlULMdPJ@m^t5 zBU}fG*)|4RmiS6;_Jmi3YxYBA~v|hAJj;-Tn zYW0(noDs>XBWWhB=()~w=Y`|O6Nc&a!Z=U7uO@LH4OEOu)w0YKne`AaU}%S`7E)MK zZ1==(nMSlUY@6ry45kOEA?LAA#*L&jr}bJ8pPi?V32zW&Qi{ZCztr(cJ@!VbpEmmk zc1^X!z_WcqG?Y*?uw6s^(n&ls68)k!Sj$$kJoD{=tcc8y^mE2+MR-}VhBrxa7U%FY zB~M0|m{|7EO>hT-@ND)ewhr&NQKqnw@}LkFUqouOgD_}4o1^ez@SNSVr{P%DMH<_yIQYWkn-`JnH4Z0PQqvr8aZ>1ebZ4WF5~H1451 zV9Bx_gWasIw*ObXE}FPT#j{r(O^c!UM8`a1uA} zC&}cF)pdD7irMtK7cGs6-AXO5n?{Y##7gh;YBvoh~Q zj?wb&cZ0g#ugv!=nb53`rR^Mj8r#Xx^-`!ru@Oi#3&scRb5X>hYaLhx-34tILScaQ zf#Zr%&=$xe_z@b3)FcaY91YQ)*(DGY8Lno(>MkkVAQxT4-_wjx?BDNAuZ5Ztb0Efn zd@R@DVk4((b<`0f_bvJDO5Kp$$QQPsF$ zJ;kzAL2k6uIH(z^w)Ra%HDl~T-8UD$A~ST!D~*0Lf&A(J*(%Jc|gpaID}%_)%p!jZl~$5kF^ zhgnHcRYB39%C2gH??q|KO|tIny94bp7*;i1+R-@nOnO1x4?qgX}fK7E;M6Glmg5F8iYLZ=wjCO2XAck1dvSOxsNi18tn zk(H_xe3QuV30*Z$_O-=Ky% z;Esxt;-MOXwv6GFKj56_N+TYD%m^n2myR#yZE~{6AV1qe=)SH-rzzq^q|-?&?xnf_ z?~1O~SQ$Tuq82hj6;~I&d^yRZgaXS7lMGO(DMxRjBu#)w5RqobsyvCv_h69=>R>V; zdDFOXb?(&kWKd9<62jFGse`iIt2P-me~U4144k}-L)NO#{5&wGMnI|aM@=I;7j<2^ z&ay2vuq{tg=9UFF{`z~Wnjczt;yQ5iDUHeh$`(-XN z!3LYWQM#ncagALOoo?0u0=R-m=Bktu76hco#%xkn4Kg7!9uj$1 zP%?RWl37_|5AwubE)_jWcIjCnJvrAMc=$N! zBFa@4lPh#KQ@_2xz42Ep4@$l$Wq+o5S9Tj{n{+?Fp`i`QRPV~#B7SL{8)zJnxLkcI zpCu_&oMYpu-1vha8tJMuvySCngYvRtno`-Jvxy9C5l-r&6i@?uSSC;%Aq8tqR+FGWA(LuR>FY26xa0u2sA1roBqCrKupo$1u$c9jIM4uK zRKu?|BER~2me2}lF^BCscx4CNujm=}|>GAs7LFkogzZNMHOSu7b501Gi(&^0PT zOV=oRBNdet_+x^l2J=>xVy0;FrN6inB}}3vYR$T`0m10Xa^kIB@hV7RM;3Pf`Efb31yhS})(xcUmg0zlY0PRAj9t9U0 z{E-TVS_Hu>Z550F)X+m}?9g|*dy{ndScPViFGnm4A@v)0 z6e}WRWXBZy22#*U7ht-^)XGt8LaJd%e-;4A#j*{I6)YQErfRD}Z3>9Y4WC{BA#e+T zHfE9nm-@jzRDyC+W|SI34ULz07yD12C=C=R1_F)%Ugn@grZI@xhcwag;s4DK*nvV-ChsbCjqYuOAt@*+>QhS~2O#8{N6;z# zmfF>aAGwcxEJ+)HtCwi5@b^~E$-Ks)T;>(z$X+zd!Y)`o6nxYQhuV~_o1g=}ZZGK| z9N5FJ6mh||b>ILzkjp%3P*{Vsz@&ncp(sERUZ`k|TmElwp`Y&j#;bQFC~sV~?syuW z#&c>=mN_ma2E#oVU2yQ8aRddl!jHJ8L72`I8q83&uKaFMIf5zWAzO6rDbY-nbkOT+ z?XCi8uRC7#He^9w6Tge`NjdH|3b?5hItS#GZ*rh$tu3_ZXsw@m6)~NHHArpxG*Rf1)5-}MfXUa@#lbYhs|COR%Ri+RPRJ4MY1O`hOQb6& zWWeVexMXWLsIGcOB>>jkNz@*gl#x_u!OQr(M{nr{?#Vk6uo#sAKJwe^X+FKyfK!Ej zgp`}5oE9iJss0oxT;PBV9bWJJuc?xBHl&qX?xM{Uj4qk*opB4U8=F-{?#qNw`t7qi*= z@SyFMGAsombRC7zGRnSgQ4qmEM+{`CXLb}%K!(RNW^vX*XfW7^*u@N2w;-A))Ua_P zSHbSp19CxMUz++DoS_8z_#G%EWN?c-DyORT<`EfM%x@sIj-|c;V#hjpJ1Vt}0YSW; zPnE(T&$e1U32sW4irp$@FXZxHhV=F+{3dV@hZ$f#uDveSmk+M-y4?Oj)*i^?v>Ydt^+X*;ZRLMzPkFcc;1x zPl?eiTqsH!Lkw{MEQ(X!-WN?Nm-W=v=h*4 zoNu3r_v77ao`A{YNYOIQE^=eZnkWMXX*agmqq(RQ@FrfXH)l^E%lnQfg}QbQ)-vfj zuJSDp)U?xj@K(u6fIJ?%OCwYI%GK;0ztye)VqWtcTf-XFe5xvr*Ny&g&vcMik#x@* z^iDXZ+!zl;;h!tn)ZfjZD#XTpydY(l(86T?oJ1r5Vg9} z#L6bT5*`~2q`GKgv5m;<-jnNL6gE*a{5YcE86Tr#b?qW_LPj8PIe5fzXD4cgaTpnx zpU#X&I)USG2JQjH8HY2@fPgdO5u_1jbk5;k@Bja;s_N?A{n$ymHv_+3$*x+DZ+)-z zsP(N^!%p^5%}sn+{CZ8B+FA31?_!=p=hw63VW&$5-dS$zBrl8{`20}&#vzXdW)?qJYm(u0c zX;eWYZ3ez$>mFJlR#S|$2YYBGT2^hQN`6hWYeUB%7EQ_6iPV`X6q~m+<5&-RK#=w_ zE6D*`1>6*d@tXD^HYwlh?g_N>|KPEAIKGb+jU7e3j6IlW-I{qPmKc{@S<^i;byF?W z&L+R6qdKC(;aguz+xQ@1o?UaM_raQ(2KU(U;X}_y$V#1o@-fHK&{zrF;{fzx@Tp-B zI>vTBEXlpj4xHpidj&IO%qXR~)Hf3trP^M{-HRZUp?xMQfM zn^P!z^Ir2*YgbMitF5=jXEz)EHtdYwiYe8Zk`K;k$mouNZIIeU4$idd`#c{OY7^{88V$H**)z@R8AFXn48C;^vGMy>UOjj|j z16!P}VlsXHyDmQZ_B^a|782(Ov>6|L4GeLNSy5#%;|*mQ*s~7haNva)JHr~0Hdvu+ zfLr@iVH0Z>b|FE90Knd*30@{)v0fqq?GbgaHl4xDXkZ)x9sq>ecUhpOM3(2%x$GZ3 zp0NUKm**V2TZ6Jd%3O{sHE`BPcUSrTz9OpN%n9i9K}Iu{%)bv~v7C0G_Xc>oVIhB!LZN}VFnq4ywyhz=#D zPD7l8fFH&07>L4~tq`3sfC4Dl&c3kucEuWX2sCVPK#dnMi4)wCtdNT~r|5@xh7N3! z4H~j2pc!V1qy8&2N^&Y3_A|Vp)go)z!3ll z1@nhYDF-+ii%19`4Jw(4o-Cd9HlX$dj@mN?BJq$2bZi3QL2>+(NSMh~7jmiW%!EWy z1JFCFuOqkoUn1;#52Vm(kU`R73C@}#D%P>6N$`vB5tM79YMLx5G;TH=K1c<9A|MgL z1bH@vM1JT{H7-&NFz|3$tPHDAg2O0DzGlLvtgpZbeIMkmMo ztU5sNO__y#E0qmevBpX)G1Dp6PAgWaK=(s_l{-g)Z^nd`b52RewuQidDz_zYvsDjg zrZo#2H@`DgIu=$h2x7=q*2ed4bgc0YVJi?|d>FQ+LT&Y`cVwW&Ex>{!#Ij3n(i3xc5 z{Az`9Sg4y^j0}@stpF4go`~UQH#}gA;hG_+kW;tF$PWb-Hd#WqMt!105%lO`Q+;X6 zclo9ASga&%5_^uj5lDf9%~Hi#KY z%mz7A;J~>OilzF24)|E+&=+UY9r7hpPUwdM#DGTz!7!M;>Wt}{ze7h3Y6aP$qZwcT zAvP_r1%6qDWr_{j!GsH$z4EJofojs2J%9{^!9*|TDKWvo5N9owx_}fSV=|3Ys4kF3 zC#B}qSlQskd>8l)(wro!mzTaY#nX3yhj#EEIjIz|rC!txh8v7RZ%jVGGPxoo9Ck#r z3du|_bE%2AuL9<)B^EarnsO+s=#DwS26cnRNu@wzs7X*0+63wpl?E;+OQ*PgO(=j7 z9W+Tw5k-&*qz`^j!*m1epmtFefMEf1PH`5Mhk&7qXQnU{SD||G6~Y`E7_Be>2M?#! zjI_*|v0h0ew2v4n$~o)r1P#!m<1(o((GJ==B?K-wkR_MUAw%F5g&InYTmUoB$6^OKiTJ)&<0k902Nj{0?_4D?}93=Wn?G&Cmh_U=5H>e|TA@?N2YEgr1Hh#LSp> zdEb-*Diy|;P&a^r=?JDx%%hE?%AoT^#@(}M|B@pR7Vvd5>X)s?<-&p#S92gF^mqK5zL@Dn4#qMNyhI*VAI;)1HD*0Oaww&kSk4$^J7SJ zjg?Qw6d^lgs=(jlQ%Yt61p08u?Wh39596Pvag*lrXkPZdIkk&68;3CArvu zCFf9Eu5;g>*KvE|m5Wb(v9MxtF*hboriZjWal!UM9ugr_Hieq99rQ!kL;CQV)32eH zL&uVy<6ITv7=cs(74p&yA_<*ll*9GlMWqx-CVPNj$>5D>%}zb{qK6VgC1NcMXVqw= zX_r-Gtr;mMd?;HwFAaayNFiva#xg#litwggn~hDJm$s_PhnWg^E&?4pL-QG|*=b+9 z^vn}>%wpew_rQa=f*Lmik>lxIxcCYEK+19_3>?`Gu%#jZPZCmE#60gWH8zwhWTTKTrGnae*?h;0D7+@@3yEW(G z!YdOC(%8ci>v&sXsrmcwX}mvQ(aVAj#Q1b7X~vW=Wq?}VHOH6Y zvJD)Aty?!i{o{*qbRPqY8I+5kn$p~xEH{U-{-UiOzGM|lKv+fwJ?a$pC_Wh!_vQ*y zJ8(w-+{X|sY|@i=TzT6tPQ!@jev){a#voWuG&=9g0FunXR? zv)JUV@5Ff4axwLS%4BZD$kzqJ%?=Nc2E`JV5Kw0=*WHYpKRrn5VXzUD^vPdb`v;mf zQ^kDq#7P!rxN<%|KmbM;SQxKmF!6w{rQ#b!*zZqELw-r6E-r0&7)19MpgVGZkZRu+___@EJkWnltub;01-C779x3vXX&u zJk50v6jNF*0DX8(pk(dGS1Apa@P{oA8csx7UR5umbkQrLJDf$l0Wr~FT-A1rVn%Zi zrbSl*Nka$f^u`pnG~!(94^QWZ6oxZyK?VcpSf|WM-N8gv4dUV;K90?rU;sCmLQA9o zO!$P#7u@gzv|J2#aJqloDq;Gs?odI&)VPl#@^t628X6a3NY*WSFn=7Lfdwrwv)D?^`OigcYKWw;UP9Scg!6oc4?%H)a2_i(T9&q8q;vg zgW^@ta|z~#3ANO+#t|GU<8H_Cv~%89R!o6!qFZ+AR{ zsptS2@*a54J==6g+lk2oXa__<7w78PL3&#-WoWvjxC09uDZ|vUV;2t^##BF!20VzJ z>6(wqI0YI3P=kw{78od56Cltdnh{li#%-fl#IOz*<4Gk37tp{FP%LzU%gbEaZ zpaUW@5peYvC^yzofrgo)uRluKm@pDx~{qsXS$tTl}c-~Lx*IN51+PWSDH&o-N{NPX ztVxPu7$N9U{ZNq7YXXT-zEowPth;c}z;<={|fM4o8U=y&%IkUU;G&gsE)h z6xGyCf(tb%A^9+}QaCE!ph6q(Be-;&j1{cFZz&hAiszyu0W!4QO+L2QdNLp3JhL?A^0utJG>L>`Qm%Mca~;>Le?6Z#3vo+L3M zYYnK-S0e++8~QkWCW4HSsv}9n7r9VS2|`s(Hv`jGh3+W%Rt8>hYXN#0A8KQpj)L~Vj9>sI(}JObw@8WNbnqR4wA8wR&!vmW1aN>Tn98Lf zec#tI_Hp(}B-b%|)2NN3DXAz$5YB$#SA&rt<8D?{V&;Y^SMn__EcFKlXiW^0^bZxP^_^ zX(Djvop+W^?o8W^_BwkAxDH#g3WW&i0Ye0IiXw+Nzb1o;qm z){K=LYHJ)gj@qe%*%@q=WAM zH6U1?;F9|HN@@IO5M|1bBm#`XJP5~lGGinWxT^Cw0Wa@lx71J;Xfjv66g&@iGbR9g{mfIHw(V8_J`ma zOr(&QW z-ed`$K}k)~jO$E*lV}(knClTa$|hsg6p-3M#c(nfMn-d%hcYV^*aCHt&${T`Q~{3l zr;fvq5JYn0){lmpgy zFc+I_$Yq6xt~LBUr)&>WQ>HPCsgK+wEv<1Km$IiUV`&B| z()jCE=w+Y?edZL`STs}eQs^2u#e@L~#?;&NnbJ&2`iDb zumQ*PvuS_Av>cdg=6)>Ga4dz>aPun||Wc(+KyZXHv{Y1Q8}xq!y+}z{dp5C z`k27e0uwVe+SE0?LvyUQdkWU3S1cXCK9Cf)-a$Cr{$v0GH@-VH*zRlLHIUB%0N7$D z0E-GtAK_r?z`#nOp}!Abl?s%Vn|x;1`oHoq-txVS3*7_O!nXrOZs@qI3$*~FXK_fx ze-;ZI=pxa94;ko?Bq=WAf$p*_B5RVw4h>Ni;=#Otrag4FW>le8rpkk!8vdlv8ITsj zq>v)#30)R090BPNvRv_b0Z2-O2MGSDKnft5R=@d{*J%;pq~Yn~kC7zi1vtZwd!7jP=&9H3s_~Lq zv`mZ%$8#FS=$M~H7cdPv&~a`}+VN)dJh<(pwCe(F5VWyLAG3cNW=YZfH?lv$6?mG3 z3gxrh0`ws$LR^i9TSQ5*maDj$c9L5d4|WDYF})+7@jWy%({yXLnb`{Nd|Vf3q^+9c z`bIIOU3L2Z!p)SX2^PWE4s#Jt;zDi+=V5;+MS&laA`p@F9q|~9q@?6DJA+1$1~jT2 zre7#|>`eG>s0Kzj+N?ndJ9Ol*GsNkoa}D$T-@t|!FSQD%z}S*@)GSnftws`T^e)e> z=BkK7e}?+OcyJCC!-wX9EO0X~C{R5oL%HYs++9ePw3xLs| zJ_!Glx2}DA%}0Nu*}zN3NnPxW!W}W%tdS}Kvg#wLT4ANHlALK&NdRSbdl};%sEq_M zQ!VI?V%n=_fDaS2+IH}d#)Whborzwk*|3pBi#Wzn)1Z1of8wu@8)W#!AZP6kVkVs- z(8-uqzr&z#62-&vcJ4U+aUAe~p1~Pt7)_iAb#ZNkyFjUEsFO3XZ$AyYEU815WN)YF z&Xs0vOcRfM1nbVqB{fw8nVJF7Zhrj%(1@ zV1A@iMG`F~5}<-!P6?1C&iNEa`^3@M9?h>BJ2N;F9%wME04=)1#|B-9OgK5sj~O~V zM8kDufODkyTDe)rdGZJAkN067qWh-t4FeIC4iy{}L5j5T-vlx+q+Uz`HLRnNq{mIW zaPXpkQ540`NH{|klZc6UK+IJ5be+%LU$ts_bl04T?L;nrMgZ0l?u1a=iD+>;fnKKV zYL{!b7N;@tf){tZ;fN0*$FUP=3_lpK7zcK^Pl)?nkX$f}*hqxV*1xw>0)NPy$B$vs z%Bm@QrefVxnL>oh1&DC#5G+{_II%}*vHRq`8;-5{<2t`1YHk9fPv}PdSAM1eO&G;D z;CUod;}#cb%y<)mQQX$TK+;J<7y-Y4hz;+RUfTYpmcCzfLvtM-luP`O+?dyKG>xi& z50-{NU@wW6KL3xGejW#(Lb;fuv$zqiR#}yJU1g0j5o;`}k=9n6 zQvTdOzY#SH^$KNfV#uRpOk{vJlo*N4_?AF3TKPo$WF*vq$*l9AzUOsPY?#ww(MCm? zgbWp+3bdI3;I1xNk^%&3r~-E^pd9_b%)Jxb^B-Bc2#jJrk&{&8GSxsv)f@!p z6o>$gK&nB!gGNMA(-MaO>evAgZ1}4T*W$1}1{A^#HPM`b?2bWnV&;W>lubT)mzlgV zAH#PPYYC5Yry`go0-bWSdj1>$Qet4EWF8n8zPzsU<=NC|6OGzF(`ge8YMQ|%14BnY z<)cjC;m4%KN!Vpn`-n&)TVPuP9!z`Ik7NFYRz(7s-f0ZiG2qs|VWlkkLRYUUkEvem zyvPPverY)d)*SV&;0@M6>d~$1O>0UsvYDcW?+u#ogo(RnEN6lcmMjSC^xzgrd@0rE z-vpMF1_*pr0~5C`d)P$*WjIC({Gn%ojaHO_JpgI$fD~Eg4@`RUIoDR`j+1vCex{$_ z0Rje=qB%o?pV7%>ut5j+*s}^%?bJiQ0_MI2ir74(fHdJ0}f3Fh$@k zQrzMi8wbSrp{M-`7VsMjrnVK-|t z&0LO24FTctKdkeE$+65%u*uP}mlDkCpiJH z``B1}}3Nw!xfIG%9Jw(Kh^w^gPiNa-?KZ3Pxj%rN@#JXRsLQqB>xt?2MXG zkmwq)0*1yhkVU|fBoAZO)wKG<_nY7frX~1jeu9xt!UCA6)rb$H03i87Tg%WRwp2P$ zx3~>|#wB>vYG2AB6*6SNFh@iLZN+)a(3jJ;Wg<@S#>Nxg7J_Liruz!0g)#!qZrB6} zubM&z6F^=HM^Ldwh!Q|~=?;!AyD*h$u~P<*!*+U5awQy<1B|HyO@It(2c|HnOnGVF zE3i~d14xDXOj%o+)^?<77$zG>f~%Pl%EAbC7qsn*@4Jw z)ea4ZQY_E#DK2neW`hcyq+v-jjppI2D2Z1O)GK&A$9iDT(l-jMs}f+5lMeo%=jcNW zSW_hvxr-quSlaF{c2#suNvf)sWJP9T(6W%jr{716&l&~R8!JD8B(!6Uk4r{?86J$p z01h2vUVa}ZfxwKVP*CyYPw)xTtVkY85riB-!a&ouaaMu41t6TaCItkf%hO=ujKE6m ztDiajCKVjg^Z8rmD0-ljo?Um|K=HLBYn(k?{PnYkte{Z=v=?b>cwq{lj3&#$t7?3` zhpB@AUGdIptC#~0jhaOtg~t7oozZOFLnmm29btf+HT;#O|8An;su~?T>>_&X@M~wJ zvI$w=%t>+7$Q;e`ABiEx~TOkJ=Q1D4m{y>TNxp7v- z;1eV1ASuY04%ZTBh6?L9+!rT1*l~)*2xbR9%(uWJ__-B`$i^~dJY6-!Mi7(M2#1be zEQ-5LuOTCt!4qO)ED?TMi4J%gAHPcFFb!O!0649V;RnVa+EE!M6tp_A@(14VedcCM zFD0yC0YSLHz!WTs7h_k!WpLm~Ls0HOHF>QCmXk`Dr?nUl2A(|m~PdoOGwXLwiJ2eBgf9vIH#(DzI^LOz}-2;+xw29BbD z;gDdYS%fk6Pix;^JB?O_+Q9)8-70`89SE-skbjjZAv_fGQ7G{yq0mJzP>X{b!>;;n zl+b)THPAM&?gU4JE8(cj$b~hJLvC@F)09FY#xfqjWjDK4|BI)OVB|?I9#C(H$QM97 zFmz-YHFXD|)>1GDm1IW7=u!UY-MIaOHEL~Wu73B6i=)~#6e5lHe`hFoBc$1vPuo6B z1!>whgRn}Fj5qkQu=l(7{O;h`U{D6X;{lII^)|K?+~d5yUB%s=Zq zA)omBRI}4%NyM%t5XT<0=Ys52t7T5VqoxiTU55;f=eA0ZJA)^QBi%`OIcegULw2q;esXsdFW77UeWx+&kSU+q`Ph-8I z!wD<1ygIblO*Z;(JeXlQFqy zGXE+uI0VyC=`uba;&9U+?-Pto7~Bq~CU$+P(oC5VOM*T)JEO+9c*p&(N=VlqT$#d9 zLv?JH7->hLL2v+!Re>rtTN%+<*b746MYJ8odC~;o6=v}UeOJAZ(wGzgW z05b3ZBSA&2{I01qOZ)d(u{)5Y9N3AR;$kWa;_W2-MwBCplgSO95e^+FKuUo!9@zhM z>m0O~(Ui3wLCYKZqf@+LPDMif9)1Y$)?Z?^DhW(ADsf0H@+$~0RF2HUL@%vX?us-3|GQ7THn z)T2gtuT)MGHGr=E*%F`dO%N;g8Z6p22ZYGwgf$&raex#msBEoyaPn6G?EQbZ@(rlt z!aQ=vf^q5wE^J5OiA|@?p?1NUGP5%#W@{S&f|i$6GB`I~GB=em=&i`38K%y((^0iI z${R9-Ai0HOF-sN$c+%R#@BE~SAJP*Oq!<|v(JkBm#TVZ&MEsf-uN+9B51y2F#-*7#a27N`r-!{z8`bz8CqexxISTWP4K7RL?;~YW z-od-(O504-i4T~_BdgyuAmg<&V;5wH0SRu5p)&!2KXG1)3CcNm84Qi5_!2Kd`EPG{0{K&7 z9h!*Xc|SW1sSr@PEy#sPIv6<7_*zWbBDig$5;M0Lngo&;W`(S`8kv0n6kG5Ee}$Q^ zl3oUKT!%C!9CBNiIG8~O_BR@(P)j62Cmb`5SY3&dB{at4{3ISOkuwM*2~Vh17&W!} z(|fR)GUKBV3^h?E*?nJO>H4g-rbOV`$kUqK_+S4WqkbGNZ>yD?dyb$3Wd*FOX%i6A~D7fi!l!O=c;=>WK}+s!S+DQYIC9XwRLe-NB55X1&SGZ$GDIT3QtEtI%Mm zF57=#Pu$hTghQpp;luGX_WzIzs|&BpKTVGus3b=vMryU(8f z)=-0}EHDzeX3J%AuHVY4?!XptH*90i>|r65t16IHM5k^=ZfcoQhuj?A>)RVHidq&| zZXpas1MW~rCFkMM2y=fk+=Q1zCd1TZ#9{bxZMQz4`q!C@kG?w^UUp7D+}Qfx-12#h zX#<^z7Ho;L`)Y1&h-jVrbda>wgsy1^xfQ z%Dxf0fU99WDxk+GGX;%Eo_Vmym0xal#epB$2Pz2&raV=!hGf{m!)_c9cu=(sBRRGe z6ubkjAN>p;_8p=0X`6iJdry%+)*xhyDcFVc>4(v1wT#= zM@0#uanhl9Hl6$~l?}?lK&u7CjMQgFSuOF+fZO!4l%Qc-p=itSx!;mXE;S*U1^!m^ zt}U@cu+||?)9N?Ac%({zrXWhwsVdV9H+?afA?bK z2db7BS-x@g_JLVrG1jDK#TkE|3=Z7CaBIo~XI&vg6JX~xyk@-VY?Q#@S62^V4Y%=` zPY+3n3T<;ic1UE{Y0Y|db}vbEkbc$R%O-Iy z+#02IEmlT{X9{X98w7rCB{zMR!a5*+8GSk#Z^u!I3c*aq@BUMvyeyXekUs7+E8@zSIOu8>A0 zF~*kUNG6CBO3fHA_0hB5ykiOM8#I~@4t&{2NOsLupdhCd? zd@66`gO_ffsw~B&H!Gi7c1hqGzbX}j)r-$emESVHeRX>2-&p(d=Vh?t>XQzBt8Mkv zImh6qu!`?5zpLhI@69>4JLZ3`=00BBRhZ0VuQPAGH7B5Zi~iVDd1)atd763D$AXOa zQmMFV!kIbRJ?E0*_TBzX#T!c{0FT{mT?4$e+*J9P@ha}`C-As`Nj?N7;@a2?t}}Ua zpe@b)$|>c1b9DlYq~BmaqKSKj56V+qd=ew(dGQ zb=2%7Zw+v@H&AZeH5&4tqBe+_=Oh|9yCn&RY!f3US>-thICAB*=#N!_n8tJ#tt z&8@|GXaIBu=9r`{MXjB!OeHlDpx&ZCdAu?sh*hecv6M$W*(IaqE5zYn0X0=lv zPDI<`(SZadZ!QgpATAauaiUzMG#OJcXRTBj^f62Fu4OP*0CxFkP#)r@c+ZnffDWD5mE&}5u)qUIJ3pg#4R?+Nur(8$<=YQu*zQPNP}3oub2jc+K- z6X~~cjrK4dOdo%k`0L+kYYl@Y_8ORKO7^gWRS)h8!DSj(oq5h+(Y9e5;#`d_Uyf6z zIjJif586l%D0uarBV;GQEbw7TRNd$~#B}g1;s`Th$}%u`ZBO55H(v9`4HLf_ z{m}I8pI^}SL(?zr-~XAj0;z?=dPJ>9DjJEX(TfZ!Lz>R9GGvN|&R8%v^f~Mpy3Nsc zqMtRAqwo3&FD_!98Xu7(#&9qX@)3SSddX>q2s(Uwpl!9qsSOCQuEzfhQ)VSu;e#L% zVmqTbmdufaN$OyB5*OHrT_}o@)rq2)$_Mn)4d0Yxh=X)dRtO%-Lk>B^5xIy5wc8+y zl{aVthiI=Q9)ZT$Ys4O;%q=b?))IFxfmodSbJK537}bdPGC%~mIw(1$Q0h*}OO|;A z*0^&AewPy=rAo}&MAbxSMPM!OgQek}yh^Z#B&aa{DF=dZurFCE9a$8B_#sH5V_3`+ zxyEPzQh60_!X=Ycn&ggh;O!^;{k=b$_;&&{7jH}6o>J7BpzX{ckYzczNR7uG^^=EHs?i*0agMD&|DKl7k}ohKL?Jtv1|3sK@Q0A8g25kUPC!!6ViSx4r$9GJi)W ztmU+L>G+nPr5*l~{@DJjZ^4g#gpMBsj3#Z2uR{Y-yiu~e4Kc)$UvROpWI(C_k>&xz zWuZyN$g#BZ<@JmG@T{}~OFk31-6%dB-9|xq6EFYf>~GJjg}?m68-BATgOVkTij2V~ zM2?57;RI)^Q9<%XI3oZU)VgwY=PPlrFA=f{uAX>oqEx`s=z*ZsI9=@0@55?l*V zj*-eWey1FH<99Xog{2#Y-}acyrNj{^Ty=q1B4!@m@TYN{ z_)>yIp8K$2Ch1yPPo{MD&*eOVbx@2+-VcHC2;~B-Ei~IkM0AkvXWu#85`Hz?=+ZwuI{o^E8MJ$uL6&P0*At(Y;~_(- zNTQ>^IY(AQlQakBmv7zYK6T{3t<}(hiI+qqqW=2JgBvF#kw^Xo=&>SFLwUb8J{b#r z*!h*R1{Z!W`)D!r*(%4sjh4$TXDwQwYw7==cQd@>G&tpV4x zqZl&MVJ2Fi2osfew%TvlGz|zDJI|Eg$BDxsLc8@7YsjcLwjyHa(9?KJ8H9BHbW&%B zjpYd!F*%lL`OvCpMM^=(ez^&){*k4)5ujxiOgJisT|~G&J;aVJ=Xyx97`_v#OzO>0 z3YUDMw%^_oW@vdaT`9dKxpAOT}j6ZLzSM!cz{poVvgGE{t$O%>DkDFjgPPdwkc zlT~;J38;+3=I=tQr!@l##MSDnuaMslQc2xvM?&i@I9&c3mf3+9FVloG^hf?YL8F;4 zZ(kByr%d(THz%T-1#F}{3Z8)N;|;47s^Rl{!n4!Naqwz_ZQDtQEt*?@%F%t;IlN>T zCo?Ss4-ehk+zWWs^jzWti5U$Sd#MrK(y*5h7h=3y>(op4lKWuNvh|~|bE(5;;9!vS zfX&;f#=o9CJp3drvm~RF%{@wC-bq8B% z84DRpQXkYFdi<1XQHEl}lOI=V^UCPUr%pMm@KAY*S4N-XsEebweCnQwtt*!O-tT;R zYax8z@sVq`@fh?8=Z8<+O}*xPbu0C&{ch^-AD~>{_bJg|Z^J#?FJE&_zoF|q8(|l) z=IJC`X9ag#;4n;$%uU2slWTY|E|eRKHus6yHJZBN_eiUa&^$Pt- zn=qLWVu3-FgqV{*1e5Xf&WU>gu8>z|m1U}N36;TY|eIQKgG>0Wi8bcf5H^*c!AkU36gH6626Bv@Z}O*2~gns#1fF}6drgu9KFg=auE zW;e$06oG@Vq$qPmNLpj^p^Eb-JStnXzFYt0p0*Pm5qZgCB{FvLdZnZZz&*HjGNOXu zZ`|1-Z?lJPq1XYkdsK+S-v6_U``^Cn>D>|aOKE)Ps805s-!I?1)9cpLE#&|;_H}d3 z!(^6raXLdX|$J=ve5GMIQFOG@8Li!RTIuXv_YX5QzFHvsJzWFS*lMWS1#xq{Da`@9 zY(sE)*<7J(t`;JWBV0$*p7-B?gytlC>%iaBlV)r;G~K3_?U7vTJIaDC`(}gs}04e;j%F-k!n3#GjF>4Qg~#zqty^ zL>#+z^~MREJ*`4CRTM!tuD>Sos&`lX-77;Yg0_&iwr%%lR|0Jr=NtU;LGLpa8NaeIU2`_eDVafs*J(%isD|SEScUYi`~ps?mm-@!@sXL)-8N`-LZ3SHGk3p3*q#pk`lq^Y6No{9fDNH+SDG2YT%vRAyNPwfwTjYkMp7m5$FX z|NR<$l_6&Q0Ds|Sp%=h*p3OoSo_h^U%~jA--MaG!*-LgDckWTUayj-$YpRc%-^$;; zcdLU2i+*X7f6=*LG_TLUCD>j{!r%7CKKd?na1Z8gKi+?L_T4*Hp@Ty=_dv<|Kki+9 zjr*}O`atw{mH+0xwfvQDU9@HOafRiU`^u9@2X3%4pv+2!HD^4uU>jvo9jgxO?}9kAXmDmGM+0r7Y5^%^6lm7TVmMVL8-9X`j|hP zJ+7AXkHv3{RIIXj?q0KO=Fi;+bswGoWck9{F_o&b48KR>`ZxQzcUZ3sYUjFrV578M z@qD-6@(UA#Zvfcy2T_?W5boN_HQ}LrWeNKp(x03ZS=wUK_?)Zy66Ob@UDB zqrOoW)JH+1E~vMHMqN;E{cyd#55(amj|!lV{ze7RM?s@+KpzE-z5%@zH0pwS>u2;0 z=%XKP)CKj?(5MUQt)NjC)LTEJE~t-wMqN-p1&z9(-WnQpLA~`e>Vo>{XVeAtQ_!dj z>aC$s7t~unqb{hAenwqTKLw4tpxzo9bwR!LGwOo+=x5Xg^;6KO3+k<*Q5V!(Kcg%`sioW1@%+Vs0-??p-~sqTR)>NsE>X|T~I#-jk=)T z8X9#$z4bHdg8JxZ)CKiZ(5MUQt)WpD)LTEJE~t-wMqN-p1&z9(-WnQpLA~`e>Vo>{ zXVeAtQ_!dj>aC$s7t~unqb{hAenwqTKLw4tpxzo9bwR!LGwOo+=x5Xg^;6KO3+k<* zQ5V!(Kcg%`sioW1@%+Vs0-??p-~sqTR)>NsE>X| zT~I#-jk=)T8X9#$z4bHdg8JxZ)CKiZ(5MUQt)WpD)LTEJE~t-wMqN-p1&z9(-WnQp zLA~|!8tj6~BC*L|b93qC@5y`obsqk3oxJdfe(Mdt9%raDNwL%X={<2?211vhlU!ni1klViHMGO(~kck*IzIFP5a4 zki_PPflX^C;e$$SXr}4ZFLhc-!H+U3;eltS*n|M{tyxr(m$K7J!=i6Zr~`?qz4yDX zS0EATCazvSu-4Jdjju0#aVLN1tDh_N1L&Im=vzYc2PcC3PGSe79Ynb1;*f%Ys^_JW z1s)>s2%yT;?0~a9YA#IUxA#VwtK8?zM0q^-vqjN8imNAD&}tA0BpKe*S0p z-QR)y0z9kyJbzanKNhbo`0rjeED&A5UpyU4mGPs^_0Qh((Da4}?_RNL<73lzuPAN& z;q~`Slq!6#*>v0KH%x!`!XN+iYu9fJpZkBh>cbOft$66iPn{Az3lHso>f@iCxZ(Z- z>bd1p_e^YEvF!JL=hIsY;q#73GUjI-U}3`41B} zE?vL>bMF~?^O4r$mDxpibkaSTaZ)a_pT^P}{?i#LSW2`(1=Q8-G|hk(cfy@5!M=em zeNs5!ZE_PMT5-my@K@q+A5X#t424i8GDHyMa}jxFT6^LzLt9X=TODZ_QeYvYY7~_d z!zZF6xs>%{_&P#vWDJBRhj+%0Ld?mYtwm<)yRVB(jaERLcFhOAN-h}g=fHvfLg|Yot-;!w+X^8vyx$yb$J0szz03M_kFzu7-*DVF{u=YafnMgP5~ij4ZSGQ` zR?Oj3q*W{##oOgtUWVbeUoDtLREi;FdHD2C4@blhYxq-j6l*x{s#E`BsFNt8F1K&F zsV-NhN;Fhj8S$YqGaqU!Uuyb9Lq$d{W(DW-FhOs;=8X$(?vCyM)V1h42g3jSwPL^U z)3;o(?veewKXk#m8~5+ta=|BVoZeHB=ffBL*4Yy~F3tYru21aP96tZ+UB6X3^~Dt{ z{^y&bXJN%(zUe>ya$@2yk5tbO{^RtX2bW#=^G|=R7CoQ6^7_pr@pB=BCkQe10QWQXa3UlIzb*@5n@H9pCNvI|B8HyzgyqK&l!~V_*$eOF)YViE!*ypYpPV zJJ>jmhGn$oAbri+7vr3;{g^=#RenuuR?`SG%$2BHOkR-Mv4&5);z-2Ig;roqCC9uR ztmiWmPRnN{R#p4RrYX7+b4Ox^9%t!HJJ9mk4Mo}`u^4SRl+dlYt=3?lP*tmMU5Re8 z-I3LpY8PV)dE8_^ubWo$cfBtO7y4TnZPiT&@Lo~%e|@?|wY^{uDXr^}UW71v;Y1ye zotN&aqio^Dp<13pHmmHE_VR}7VnK)DS%BX(4l=`FJi^)d&RvmrDDPLhVax4>(xvvD zr8!jf<+C?FlbrTER6O1DK?EiaO#)3VIoG;#aF{9eyRTg`jMd9SSR+*np6#F0!;~+P zz5ah*%Q3?6T-UG0fJlawZ9v%Ops`cGzHO)-;?VaKXMcUm!kd8FLa%scVMFJ&#&7-% zC0LfR$h;S44`Lm+BWT^a_Wv2Jc*^SSE4bn*EjRikRHJrmC3of-(I_+zV=RJ5zHQ7m zN@GVhTbZkp4EyYJn$8^3J{`$s#<2!-G>y18KJ++v z2W^orpLI=3jM6Nl`VS05z?R%9z%?!9um-4U_dVWbwfSKxUu`(Z;z7ImJu9O+qw-c` zMIHJlIW|~Dn#Qh2;&Pn_e@b^OSH+3NJfP-MNY-*v+-+DhVb8 zB%iFcSLPM+3&?A)byPYc;3vWiUctS7*^bK>dd^Ov?&9M}Kf-RUc zQlK33E*NM_&UFBUOUNOH?V~5Z1B=oIcS0B`1KJ}aUZ=f`O3D_zz!387GTz7-$UIh} zwFZvl97+?u@%>){yd!JOi=5%h)>R2gMDIs}C$Zzced<+*^??NG9u77m2KK8z*8@Z) zQ5c{ysE9ePG$$qzVY84{m@O7{pwjbFFB7ocytQr#D&E6Xb7R^6+Y<<-*L|r~a{4{< zW5dY0ZWf$~*d@0|{X6*UBLlO4;PE#B%8PXzsi|ufW2X7L2Lbx^Q|9i5osS*$Uc74( zY%WSlT>Vd8Xc{o>hLbIW;tm>bqU-N#oIu8sWXtjPY33H|TF@A@>0qfe`Im4sZx9Be z%%NJAAyX9}lrP-RBcGwbB1n>qt+sJ?&_{%|%R6L7v7c|8wljkB6tf6=DGI2mS_n_G zgql7AG@aY@w)koc)xVUJcT38A?7Y?t-9y={t8+Bm9op=k)Gej{^^0S>ytxW5zGgLO z>Za*yMd-E$x*Qu1lM8!mQ)j(giy8*{YFOJTWk7KYGL1OI^PL^fN8;um%rh*s{r96&Z$p(B-cinrXAgJ!C?Iv&&3e!Ioc8qE%?LhnNJK3g+TG& z6IsZ8bY`_DtXBWY6>a-M>T|6oHL6eOD>U5_woj5uHnI97@mF6Lf0m<@w_YZJE}2>U z2VsST=I__fz7;E+u~9}W%A9S{-W)=4MCY~PIGO0OFFg2@C}J$Fv%vHzDv!A?1wAH*HU^0Dd|IdbXt!-GX^LPEX zB*DS>J*=y%nrNrA&z$zH!C;NCwAI%8whXWqvEH|~cu%ii|MbAm0fsx#LzzBz;i6c& z!}H8(=AKS<)qils0F@N8XmZ9(k!+OyQye`I4=}g5O(zfZdRs$pR~0(d?f;{N}5LvL0+SB+*EOwS|JHx5j7$>pvLSWCi&~ z!L+$?y-}32&Ta9B5)_^dmd)J%!1v6aZo8Tef!H`yW{&FyUI{N^X7Hz>kQ&cm$K3GN z3Q3clpLnaz}>d$d_msSv`f#*k5*09HNHb# zHATyk-beL8$tQb_`rkbkHSVTCDh@&R2=sQ!_{hO*#XY z8j0tRUW&~$2`3ZFVb`!p{qto^#sCVK=C07zq-Mr?U1X5`%`y%2)cENuYp`>LFCI}`F&ET18_|(dOD?jGU}(BTL$5 zCMSf?Kl)PyS^x4%6x_Lmyk@f4WRMlYyG=SkQErAh`n)vMktVVFzdHx#iKU)L3($}u z>6Bn9CP5_$(%DZU2L80M7rwVS1QCUG2Jamk^h~Z_e7`z_EjlEq$I)&jnW;@INouO9 zH-611p){UYjZ5g28!KcY?*QL-ocdh68~u+!o+xyF=aK zO9meaoL*6<%$^i9Jo2Si=~fH|pD0Gsp(xi&yJ4b>KGz7SFjsr|j8E27|Lzr2RZxNf zfdPU^x^TuK`K(N+LMTx0MhcLG5j%+qVta&as#s>l_Znn1S5;|)2aif={ujbapZV1y zjM1IK8Vpi)XOBv`N3XRU3xj#fEEV=0XRh7Gu1$`wPOQ}mN6FFBV9!dRUB$b4cNcOP z{M&(la^5T~FQzOrA8cKkvw~Suf!uDc^@&g6uuRW%%C*yqRUx9Oi-5toHNHiNxRa%{ ziyx=q0oJWkyhiogPU+a%-iqUuDgi8NZ*S%N7pF1jWnKryX0ppu+6k5zKrn@N!}4J? zb$;d|4QblUIvFPB06K$MV>YWT-<_oi%m1*Q5R3oxv4+zdCsBWrR$)qIGCfn#pv)_w zL=}=43B2?%{yso}N)9#RK$QuD7P8WKHW>@&tz3j6)3k{*#X8iyViju93OVK=sDh?3 zrLs#VmV`u6hr~i%@raaY3fyQ=lLoCg^2Zda=s=F2j#x#?C=^jc4N)`D3G$!0M>G&B z2uh<;1r)-E$_Q;rrH&5z0h-CZ6>XB0YL0rI%->sn>BIkkq~W>I8ng5i$}q6!do%Gu z|GJDUXTM^*sd-26m5Wb(v9MxtF>eQ+OuyRi2tK4+k4?Gz*pyq3Kg9cv*PMQhh0X%s z8YAV&!Pex*9-k8aG^l)P40Y@kAH)a((fIP{Y}n#Of^z7!Tp36?VT62u7%(cS&p#7J zYC-t@1Z)>)q<}Jr5?fbmablD`j?fl6F9PFm&p!Q6ISm^*PtU0k(7~A@VcKZ?xT*o7 z&yd({{1_-_8JW;$YL269GA~RNwq4B~dtv=sLm*kyRcHjMmlhHVDF{J_qNMQC9_5mE zC=Gx_rv#PpsYef-k#g$aeC$mzYZ7G&86{P#`PnKIAq>4tJj7b#%jd(uM}w5BEmAqK zq~Ebi$Ws>p7lYWY;5?TAQZ2TAQfyZVLK~b4QX-ZZ%|y5e!<8{0GG&3fDm6ifM~Mlx z{_Ls$ou1H1W`jQgO9NJl$7PwBOb5QWrZLCVw9tBju$wn4$oYYr%mnTzZ!vX?b&sc)w1CDb?*vYDD+1aCVX-5m>@V3t!wCPux- zRu-9c7ue89)2oqjJgwEMTHt7oW>*mg#X?L7)jKn}1PQ6$vohrot$QhhL2{$2_kk3(Y#9E~E zAt;%Iv%`$pstT*8tKIiSZpkowT|24>5Z;jH*r}|5&*U-SMD5XmV`EBljFjdr(kg&2 z%dK#mbSX3w#$r*c*jr66?ddW$Hv`Rfvbwo5U1UASfL*J2C9t2tfpnsHi8Gfw=RX^5rG6i_{1aHGm@@>i3Ai$6)n#a>m8cDl6I*c%BA6J<7EL==lJPN^q2!%BZ<;zH$3dmIjQ>gXVxLi7m)N-iFN z^eD|`?pWHC%&3}d`>}K=%D{#tr4sqHQ_`&#q0YiO>A@t8L_Hl3p)OG^4@8e`aay7z zU`I{b&V;GWI->f|#%;^zW@AhlqJbYBENj{YWSXTHISET3ga{LibXYwNJpz^YOV@G& z4`qSMO+oBDT3{!akE&&}83D{FT;5uIsAgH%ekDh6phFPn$UN?S&#J~1w^3+$j^3m$ zLaZEWS}iURg@#WunQ#t^PSra@RX#gY|Hb`BK_KOt@`eIL6*++<;kBFSD`lud1)E3! z3Ir~5T>X;H!#~=xl*vgk)Sti(c2UjMb9A=r%Ceg)U}{^sd_neDK~y>^2XNyO{8|Cj zSTi=CNp`;Gl$tUAv@kzEc`YowsX?ht3jNJ&_d>IU89K z>Oa^ssJ$bUY_7w`-%WS_kKv~}jP)D4vn&StMmS*tGG zzP)sbxjcJeZQQvzYwtQUmp%6-%UYJrTz8vk-&z9fzLZPdspSN z?#A14%O|Sm?ln(MO;&d0mTz^>GcQ`2n*_EW7u;J*m}vp#Gj{{r%rCqB!Hu`AU2biz zj9-+!ZeOJ`q2TF1R^LJJi>u`1%)6_AaS+&2TD_<06aR&^$;xdx%r@jMsu6waf%86O zdL^*6V{_$7JpgF22FO)KVAE>b!1V4ux0shwBK>Asc^&f8+5YgHw^TF=guFghrrHs}0v^?Xo9X_ri- zdRgmM3}-E)ih44p@3^D{cEcjStg;$30{`EC-DXuoLqgq<=7bu;?IwsFOY>_}LH$GJ zNBzUtE@k^NWcA#DEGqk4KFTI*smHY_8`O}&W_2uM#K*jjV1UPC9UXhH_VgPBF~Cw( zhmb2F2~|;)!O_oHIuD$1XcS~ZUF_onCpiQ#-@0by`83&!l!XJos%N#zjv z-Y>jSup$DxRiReW3W%v}^2<{mOF1YB{3Imf#!P;BLEJ!yZ7KrBTi5WF#S@XBC4At9 zpAz|k&d|v6ia%js(;1H=YIrVrM2J#>l01xvhln&Qou_P$CX^(aQ67dwanPv4UL+gK^PH51W`wF|atv!j-D6f>M~%Y$S;W**`HXbX_}i;~JuvtG1COo6 AZvX%Q literal 428953 zcmeFaeY_l3dFNSGw>q^PJ~A=Q+=L>QwvdU;pNC;{O8v_HQ5i>SJI1 z>SK?7KE_}MWPghUnf^XK()0I74dyC*(z%gHMK0c4%0Pz+m zu6pz3u53PZbrcHb%UoRfPuI_Ux#F(veE8q9j%appAw3t;;nJ$_=yiDtT|Ww4{*%>n zWiI?y>ZtB~zpkwd)yF*k3+p}|4p)D^p5m7Q4u|Vs`A=5g)l=x|&ev1E+6ok(2i1GB z{P{Zb@g>0Fn9o<- zbA2a(%bO2fKTj39x(k4C`+)L9ql>#b3YF(zKHtS1{Vx42Stm+Gf&q%eph~Bcp*(HSDx08LiIOKoATyq_-4FU zJ@u!)=KFCt)RzP0IdFON;Xz|M*!tHaCyoXD19MZNXO+s z4RExHmdYFqfVpJldY}oo{Oy3tQ@;8Wh)&Vq=vA4cQ=!Xuc^C3PI2|ntj{);_gkR|% zpiuP{S13@PKzNj&0!nKh6^bvCKb0vhIu!2S0+lH(D0?iQ8tZ)e20&@Y5Ao8)CxFTn z3XcQVr*I07LN~sT0y7}n0+p%0$Wq-?fQrrlTU9cZ3#UTiP`+@gjzZC(GJ(p6z#~8l zaAjjaxGscZ3&bx)Uj?Y$CZM|FukwExP`>aj0udlOUIs|EE~G=WYyhgKxXKm&JfLwZ zE>N8|pgafS&qn~IUjrz7E1-HR6TBEuxC;DR;C}+tpX#_V?*tToFCZFKF4{E?(f%wz zd5SAvq0)*AT)va34+F|`?Zj)!(>IsxCV`W@v@y_Lm zPr@VG9ey{b#{uCKI9ldIwNYB3t79u~)fX;-qeEp%&yP=O;T5=g%A1cXEqDf?P_m=) zHNf#-`pMCzIs(;`%qkR(g0iH1y0vf%pd9g1pnl34B~LZa^SoUKv?sZ7C{(%uNZ+ml zN;`NP@CM+sfXZYys7&<*djX{#4(S5Xp?%DaPi2lS;nVmXI9k<*z@-%`EgTA;2RPbA z#|r@AR=(hsfXbEUKQ|bMHXc9aCD6dSF&o=|ochWU3UwZ2cfWnsp z8ms8kI#ZtTE6;(}x1-6`mmG_Z>i~s20ENOY+8zWPFC+)zsRQMo1^R$!(tfTzN%>Ar z)Q|d8oUMKx^p)AU-)-9DOC0Z?u=iGnF~I zt^m|_UazT7$%OJnhvL$?nq!5^SDph`Z=O!+V@HERmCdK6kEK6cp6F6~51{b(0EO=X z6#gqf`+#ittAHN_)K+C`b2XrH!T$;qK|r{b79FBfd4f*>8mD;ZK=>~N@pL{<{ir`jhv-(?!8O3Q0WK~4N-O^*z_$b6 z4=7J*!E1rn&w7~0_k5nx((jg# zt%q<4gje`4r0YL!fy$o=NVe4H9f0WgX<#0=*7!Wm3*-N33)GK5dQGPT_)UP)!YTOAfM`)Dx*UGD-#9uXhoV8{3N?Ps zjbu|Gx`g*`K=}^-0#N)H0fk!ksw2Isv_Nr{E8pRh{t_;yC#08zS9lbvOrZm(7o_90 zFRH%QtoBOTpOQ<3zW``Id?TR!N9UbgfXWotx)R(4DE}xRo$2t&4s|#cx_q~{I6dL` z<>(N7DwEtP)Hz4t4*?ppWb|4AFGXIRJvDsRWh!8=>qXbq4x7GAbbu~rnuIu zLbVf!*Xmm!oYF4}MU%plTOfH*TKUTQIp8;ep9TI6a5JEM@kaVgbj#NI6+ofz3RI@F zXb^~Yf#RZ3I$mj=WyA~NQz%*$O2>;1*=^eZ#}Co{Za{OSe5rWRAeAQh5WT`L+=3NA zq4FFkEjk3^z37o$skHDbEt%2$YMqES#RW<${5+ujQDttuNQVd{)7r<&)p=fzX%5_) zR+-WQ#lH(sJFO?pk?0T}=`qpsRCKsC>}V9Tnm(L6d@gvZhFWTE4WE0c~FUtS2POuFnw;Lic&{{Zl}fbs-C4k#>N zq<-ydu1qw{gLoyLI1s-aPKC;MY0)mc!tdl%x=d-UM}?w8anYpoD*(maJi2mD<;ZS8)eQJG?&w+zO~n`8wBs3Q)f2Q{Td) zxl+jOg0Hx^(xOYD_~t-q(IId)w8rP;KsKUug+kfU0?{tetZA;E1N<+5_^nX7RdIz% z|1qHTs8D2t>m*#q-5sE*RwqlMSWp`$@_Ado#Izny52%_N&kXDsDN3LPE7BN~+FXi+FS1SM6*Xdac8k4@)z*`f+%m&&K} zFrYm7f|UMM;BNq(y9KVE)`LL$RXlKKNoQ}kd`F9L3LL*29a@X>ze%^KT%po`2}nOZ z2IwrSdVdN?cSweQ2~fWF8V8a)2f`z8bjTJ_TCyh4oJc1*I)r;38v}n5@ z_`nt@Paydb9kS6Rd!oaIimOa%(I6i3V znnQ&;-wCw0NhdfRrSS_iX9}f11oG?aTv<|8(j{6h1r*9QQ>b|nue84_E_+=zg6u-g zvC1Ti%9jlxI!n+dl-=?lT>G?%aU8S3AG)}!LWA-Y`LmAgFQ zR~^|z>eI=Wd7Kk?0adPTxYRSK3&7EiE6LE3L;)S+|JO4O2Rj6}??0fYuJX%YV z9i;^>Px%g{ACxb9U3sEId~>1ByNZjp!+?`l)sbuquj7mAN#>L%5N`28`;+noN;?o< zfzFr$<%k($NM0Co(tbEa-P_!zpGNm<_;)~X>;9mnuJ5XQ3C)rY{e1+nbWJNM95bXk&C*7d) zlYIN~<4Lb8t#OJU^83oKr*~W`SG{)tn%j9Fn&wcTeP6gG|BePnhe8J>6_twQLh_?| zl<(=;fWto@YF`#jvTv2Idj*PX4GUDJ{Q13CxEu}6UUc|f=;X_##V@TNtvP|pWg06_ zHi!fHsst{ru*@l+vd`v0?^867qDLTJDU@xbQ1+KVwv=d*{UM%cJ{3xzE0nK5wwumQ z8nf(0*=Eukdao%PK(eJhQ}&|Plt5<_&AIkgg#yWpXjI&dUo;4hXc9O&bmmh2g&;bF zU$&F%Ezv8QM2~cw@&sD1M*xMAL&=@igmkOY4wNU*I#oMIm+XGw)_x%xg+pnLQK93L z;sT{bmuL`_xml*MI$R3XpJ)(Hm#;OaJb`3hpggSySKqBiz1J0=M3X}0D=yxOcIh#N zS`Us!r7r}P&F48;as6n$=&UOo0-a&yXO&zjU+;~ScJF9puPQDdsPdIo=zNOO|FWSa z@AKgHmU&vlGY66_$(ZO;p3bR~efhDKFZf+Rc>=8lrL}&vz7GKETWRgn3jYtl#g&%4 z&eNpv&eL;YS~#^%RY!c%IY4qLe}(uekgq~KQ@#V`3H}6dc?w-Uoo}>G9W9czc{(nP zYpw+@Uv^oUQ$B@9{$0`K^5^68dCC_k&-M4u;XiZWpE>Z)9Qgk;2c+XA5zj^ zqJ$P+>h&f;hP)VW*yLG_2V-9iN|NHhgFEmk8%{vx4O-sN^J29)@E5Otrh3={>ZlX? zt7x&aD{&n!BrclSb1GYLuPUTWjB}*ID&kx6^$5bo~mkCsOOJ#dZLt$(`@1T{#QA z(dW$65@4eGuIo&(@w!?&*m39ZyQuo1h*k$T4xGrhW;d=@o^p13kc+j%>N`2*o>JsQCB)u)U3bLH0NHd_jdLB)?%sC@r>T zB@52%dhAK&`8;#x<-6?mNk_3|m8nM0jUwHmGYdZb+u!E)r?QW^RPl`%w(7W`+=N`p zvzyX}-J{3S5RqLk@nY=1>gB(8zSa|C*`imfOR}l2q_d4w@rhaE5`_xr-X>ziT7Og| z=b9bQR)W_ldI)+-+L5>7z~;EekjOvApt#LkIQ6COb$!_(I3(voS8Q|6)yV>9I-RS@ zwi0+h`G=?~I|w@f721K-gV1Q8PYJfxHwR@T1%&&j!!oh4+Dj66ro@-M^ zh6O3*|$bpY-Wwf>=FamumJJwfrKlDt?3q_Fa){d;~kZi9A8MZbzhDA}P z$&3i#P)8%R#Yr>wvx*mG{<5-6s0N|;?*H=xC%Y3GcwU_Jk^R19C{2}<=RriJEr`eD zTA8&)zAY(h(ygiqt12UP6-&vmybEOsj`MLEEoOdgsnuVpzT~*Q4MI=-3X<2?;93l` z9CF>?XA<8wQ-4`Nzof?M9$a2sHlt@$A@k#eTw6gjFs`8}Bdq6s8bXj5ty;Tb8FESdHRS|U2buJVdBOO7?UPO!A$N0Un*qA;{JM0jqnQHz`$ ztVLD0^(WBSAjT#;URWrtHt>9m2@JcS0x+JIhUYPO8c9MyJmdk#!jP%{(rf;X%nN}w z^f(+?o4YKeCyh*jKwVj^Hl;_Z$B}AwP6dl?u}HLZ5`O&?&-{=o74(Z>+iay}YXZHd zB{}np#HMACm_GB$ga?)*LMkxl$nan~Fw`Tq%~g7tXgQMq(B;3yJ~Tjz?RFFq8Y?gq zrgZ7)&Oivy__M?yJ5eheClDR6BzW3?NItUfnQoZnL99&8L&*3vJFryrlX@pJ5b1No zaxQAQmpwZByB_OEz&!f>%WCBmg7fO!$GS|mh_+RtIIt?0-b<+4NIZqk*yRz)G`r+M zfC{q8s5u=J`-|&hO>+iqAAHph(?h@Cs|3}48--eywvOeYI=sK`?sWMg%fNu2=}HCGGJJ-!53*j;YOY%y_JUa|5f zs?y%#q&3O<8-e${H7jNV+l3vB`lJ0mR6?>~h+3T~&f77QwtCVsCxj`nUsS!e@?wdZ zhz4VpYf>#lae3Z|$w+f8=a#qgRewcUuVnbu{s2yuBPrKtCRNG9E2j_fWU~EMwqRE< zBUBP1?YPyiKnchcr5PPt0wH$j)iQt5TlBvFC#<>_(mDska`YiCP}GKA3TnEd5;v0F}}cT`-ws+5jUv5@U*|4G*==TIsaBj+hdf%uMah40hCh zyzbWxvefwWW_-=EHt4+y$B-+ z?Y8P>eId&7#AmA%3$*!l!;S_RcH;!IA*ahoo06EVDo7Sw91gQ-pC#GM^Ib_Z-?mnN zV8~srTaMJ91*riy{$Kxx%|+!BqT zwQ9HZ+1`!{j8~r;Q>?58DlhhWt<^?AP^^U6v?|$E>tw;UUS06&4Xvc|Us;w1N-cX) zJ#SV}6o=J444qa-*Vi6l=x3ta8T1{uulsvmcGD8u{~jr!DfACXs|?)6q1RsV`4udJSKfHetE;TdTM-s)-7f3WWtd)q-g4Xa0L_4P`D zOe9C>0=lLh?N05P@d{IY@RDg&Hbz*l25OJ^RNG;5-$WYmqy5VFRik0NS@rl9;>ey+ zzE}((`}TZl`s?W=cun`@#lMkMu8!!?8}xg3)L?Ape|oS|3ww854vWMnin{eA8BeR7 z%+6w%olZI!IBYywBgjr0(?UiFvRMOJ#ps0fOnMA&;Oc^GvbLe_LZRO1Gh^u}MAkx< zov>tg!JHb7rV2t4W}Acb`4D<qf)X=kz2B17rcqWB`{ngRiTtBCLX_ z?rYO)8|E?eRt>X9Ic$QHu!{`=msX<-3qjQ(Eube>o3}b6kA0p7(jr<_TIA)j6Pnb^ zCKdic;K?tuS|_>JTl~QlOA!x-AZ3Y&U;Vzz>6r-Jg8}rzkYR+;!xOg<97C*uy58az zwW$t-2r0@NIfWyTBKqyXY;lw|Cz_DdTMJX>G;3BS?}j^7vFPB&nJbsK?Z1wx)M_d4 z`(4w|eVc(&wYQ0?}$T z;9e*@3Q9Bua7heW$WAwym{4`er4T`)sVUVnY_pt`3=!+D`Zm@z*(C9YGFrL6eq(fJ z%kb<*XSz49=X+jZuSE95CGi-yLuRqkEjv@oTa{w)Kl0~#sj|NrFk?};;S4o@ZbmQwI%8Nm4-p%Rn9zNQACT z%EJ$CWI%oa7cSo+~@*1$C;k&IesnNPK$O1VAs?;F+7= z9Vm#rdd*>4F*Ibi@8RoTnn>F&8Osh04kj3{8l_%`W=UtPm1Q(GBK)haCYFjM9E)8l z;0gCC6vkT}!3@hLn;%oZWOpva-kEo;T>k3XRY#9q{)&5R%`1NT<*Uu>Z~XDo_useU zr(V8#eCH)U_4b>NM_bQ3Zg}gd&+fao_To3M(Bz?q`yW`o>g*SORJHBn`X4{N{-3Tr z`UCged}{02|AF0a>Z57A72gM_Sr`U8XP$H*jBNOau8C5t=_i)QV?S zTNc?V@>uWnZKG|Y3jb|Z(@0Hpf~`IFFKmOd9~=D#JX%|Ik-Tq+xty;bEiqUJWZ(0! zu{<3wICWDcY_Z5q9Fzl*{it=#VAujpGDbmi&ePa*z+u>>M#vboT9-N2Kn*n-_LqEY z&x2S`-i~ORoWyYQ;7-`{F6=NkHmx_-jkou!?Nc zuq8XKJcn#Gsp+6;+be^{=u%9&o}c5%iNYQJ|MQqv_TN_*Xcx*mY>0*Gm+m1=Gc;Ll zDvEIz@<+@TnB3&P`i+%U4xG5fL<%|3bZYbr-#16{8cf@<=$$e5GFAa6It>;D7;qsUomB^-0d7VeWC-QxMLR4N9J;8G#(B~VWQ=l_)r<^B z(3c6+p?Hv{IRW9Gi2LALQWAD$Si3cjg*b> zmpq9@vOEkj8R()+r(SJ|9tVq&_obKp>_E8#+6H}=6{1H_jycN_2#J|aMD4RRq7X1m z>;!Z}d3OR10y+W0`uH=q= zP_`GCmeA{F72a)%I1gKUgYGaAO|_DSy=2-C*EBVT?V~_8IbLdlFsMTB)9-9wOsg%K zu%d1|;bCVcR-d9IgVl=qE$ktAdl)j%s+S_FxB~_QpE5fovBZOJ^~^nQa{EKi_O7-i z9EXish;OFVtHeQ(cvLsLpK{_Lm{=1-&SQ)O$>S=8Fmp^zgr1ro#99}=f( zE3C;;FY0kS9;t@pmrEzZ_`nVtQX5p&pZ=vDgu#0}9ZQuR7z^@Fuu{hh{Io&9T&p{$ zK_U*p>JwEigDbP5rE>nGLotHs&SVyNY8NL^tr6j<>@zg#Fd&}#TUv~kKKc0ios+R= znI4Aytyex)F|eTRsMRT2l8$tS54>*7H+|}relu{Qd06l*ugiABtXPDKip~g+A*?{c{UB zlNg}PI2qc;k_jUE%W|7jwr(-w)U~@MIidl+D*$B9=jc;S3Ry@O?487Z?%n<#tAD{) z`tK+~Y1zp`Fp+LMB(b&~STCgHT+>NK^f*tuHNaq6WwtN!&H-{?LCpy(ZVo!T!^^9= z_r0%to3;$?IqJ&xNOzh?F{)Kcg-ux@(m3hg;^XkFT3tN=WxJ=w<%N3hkwFdv-5UPV z;v285WMRehbVdQ_*%mP@2@WX^m*i`l@t901upnh^z(m#3LPFwvmXwBnA<&qza|O5g z@xYHh(fQrMzQukPPSQbT5bmg4R=auytsO?<9PXcNJPx`AM>keWlr3PlD5n*5*+z^l zWZ#CcsFUSMo-g2{LaV?=**{u4(=ZAp)*=}pXKHW^h&B$mFg}f^{diZ>nDSOe!^3Mk znVhUHvR%C7lh2TcZD0o8mH8hG&ergc@5|{=eki`tWFIY(B7q}Q?LSG9BY~{pSyD7& zGwWmvdW+S?Qx-@EvTo|MTj*e@;h{pU$NBn{{T9byZdZog(zZAh>1bC}M@>K8?5hIf z@?yr-TdW4Ssv%!z*m_li8;qt%<05uPTR z*LV3|n)%-3Xpq?f3Q*gROQhakQUSiB-{kg4a)fM0azqDsSQ175<6qKa!UyC6TTu$ zrKW;s?2A*w)}@x`7$q~%QiS6{go!gMR41c~oN+hj>wbLg#({nepZ?<;p5^rs0lE4< zJxHu4rev8NvQ`6DD{i8e>98QOBtg+yKKVF{7&rC`n+P&$8D&aM;$?`YIKv1Ph|d<_ ziWG8d)dXvY!B+0NXW-H#55M;{FOe?|7p|?#+7_P}cvuRB)NX;Xi?nGR*yT2-A$5*B zjVW2h=gH}Zq3WQ3;OK-nMTCqJFd|SCPzo7Rtb_gdmoIrZW)#h?7wchq}~cVG5yu6=a#9@^iePAByDmLhR8xT4$j9*dqFdYe{t-%q@u<0Jj94pi3v z6H7*V)&o@fi#*fwhcsyiz43HL#Cx!xYmVxEE!$QYm|6Fmkz7eP=fZS*zJR3t^x` z-@ftE$7;2QpS|_`)Bf~J2j8)J`gh95+gDG2@{((Bxapn^_q_hZ&1>rXuPh3lm)1V@ zZ1sNIwQ3d#$A7L~*yMZWnuISEl2C2-*ft0M0a%{(v;*1oH#>Je{OnzS6Yc!ev)}l4 z-R`G;r~cXP<9-I$`I9*FufsyXQ4*t1^$!c&7R2nqV-B^}l-;V!YSw!Vnzsx}hk2AY zNIRKqvU9+EY(YwPRTC~2s@l1nSA}4?>jDE$Trw=2Hcjj_v=AVe+bXAsFbGc}0 zBxEm0PYY_SPc#PoXNx2`f#c!eY-&lQ4-6StvUC=G>Ul4I!N>ju>d<}Q>A`{{}25qHn7@{NVuVI_x z(HeGghFDT@2pww~BxFIVAw)Ik$tsy2jvl)1Wxuj9-k=h_7YVF_da7W>YE;64Zj5J% z*X1n=BSQDZDE6`f0z{oyT!$0@j}m2uN+dG7V`2%9@?DifTvy`AgY?JJX!L|v;k~@; zu2p~b;ft>_1$@Y!(JD-IS#yxh668wm39ITT5u2E8`fcMGLrC$H!dIL}Ac|nN(OYg9 zki&`)@}|&`&8i$1_$1al&TgPSu)?Rcv>2c-CzUmcNh{XWggbKvKD@0Gtz~Cl`+YOA}5;%)J(m zvaI@K-%(#5RX!O2QiYJ5nN0ZIR_2E2}VFb_p?hTRHZ#?cRt=-jJ$Ty2zFK z0sYb!4_v%qTk&89c}mnZ-MnZ)LJcz^S+yPU6mXc6+fr!6vNDrwA{!+kvG19bA;x}G za3E4=bdjAM63Hr;RX_34!@P56pCla)ynX!&`WlKP)kbyWg%YKte9MO*2~j6nmWGI= zHD+Gxl6uqte;O1_M@p!N7~uFEBS##gm|aH2Wfp&!FAW#HZ@=!_pnr@uV9TZeErRTE zIx`7U!+F6s94Y9p9Ki<$LU z9#ygDxe36~Xr)mgUnWiK;|dfMgEemzeoFg$Ys2>r^Ys-xL7&+B=Z57VgA4l)Q*HwP z>4NbC5rb<<*8sLdMVRnRTc4Ft<~`376G%$I8k;d^A8mDsZ~v$-Vlsx;-qb}a`r&ww zGbyiaR2L6K-XnWHEG{!|fwwQ{Tdr$dTIR~Ol2lFvHI$)z6+r?D1xXYw^7Cd=&MHMr zMJ`y|6)&DJCNJK-D=7LPrjai290GGRJo9Jk7kjOKuhwJ;i9cv3LAG)^eQ4tB%W_&u zR%Gp<>SLpX1&cs(U_q6@46B$KRcF+20c6aL7oXf}md5=>Hl$(CuRs2op*M&Zy#a&h zb1Y#SRwK)3g{X6gvHimyfbIb?FXUb(y>iPHwzIB;S?mbKmfij8{J*yc^lUt6vGDA!TQUegsT;Vs(}lYJj3r zt2mI9Y^i^TPb!Xkdg#qkWSjD!A^b5?u(S3DyZMx+?a4)%LhzomZ##CgDd+d%TG2uV@p>;V-SCR+Y<9uUE_t%YTl>^s}wij4-7`zZ+mpuAARoEF1~tL8%%;DDW0qB=TS9RmygT2)zxmS z`&^9auHu56AwFobb_35AMFu0wAm!q-eU6bNHc?~ncN#AAbh2rugX>di&KhRCo+M*B zk=tg~;2>mmP&34boK3GCRl4=N2HEt+W7+iRs5#jkq}}!lwhwhzY}7mn<5TWIy{msF z;%uI;T=}K$%e`yT8A5Py;C-}7q$qC$N@%p)S#!EsAhEzj6Mu5@&f%Hp(&%t=Qr*}bG%5$JMe?IfBHzjG zc-3-*d)oK#E07rDi?HFl8fx3sw;zmclBhLs^|@X3wp1ui{T5Fk0$GQ>`o@ z)_Gh($|jYQYa7GcSDxwhz5UGq*J0m#Z*^ZX7;LI>ur#ZV)k3Bdr_aQ%n$)JP|6T4b zQrtc3rq=N0F4_6ok#(ox3GtJ7BT0N++gquv1_))K+h?ko#JIP}SlMa3liw5FcFDy@ zQcmk;gOwH@tYO&=C@;d^EX3N^uqll3J>c3h$K@69nnkXjunu$Q5Gbkm6(&eU^wGj7)M8fMvZbbsCE{*a$!$l3t01PuUw_(uI6p)$+2xh?vq&;5O zK_=kT!V4_j)Ym~H;=rfSx?m8(7zM&1Nv{gI3d|jRR&EN{;>skw#gDn^{J=}zaIt(f za6M*a_G^RdQ}I|T35nL#4VYxO#}}{>QN=7$Mkam{SV>drvXP@)eW-yljX!Sas0D8_ zDHU>bBIv}yFzn@dC7wXWA?kCBb-lLX_xyO*Yvu9|eG^!QpbAwdM8f6m*t7SFl z=sCgPCQ28xAWoqUn*=#99EXBc-^3jH!%n<_=Ep4b3p#9N>yWZ17d>#7Dnr@)8YG;^ z@TW8?vCna==byQGTn{d5f(155StHq3kq-@#(m|d|ngXccQlqXkwfzw<6FBBf6M7p- zjnI;(J&iHlnQ-#j9Ca*|@iuV@Ym_1Fn)tjCk2iOXnCY&m&(LJd5?DLk$h$?m*&};? zmybQhbdd#E_F}$RuB6>wGguR0{skQvT%{y4IoOGCKZ-pJMCyOb%yGrfI2ujv4ewP=qTviIal-pX8hrsK+Q@6@=VDs=oj}1feYDkvpAd-p4 ze!=v#LP(F=%_L}5<7QAG3;OUT+coCGz$VT-?jfWtd;<`%?eNbJPuJFZQ7g~;$zS}J zTa7;`Qgk=7Z(3;NC61S2Crc|?GF!&j3o`t1roBGaS&hY}3#`l$bB(aTSzZO)npDgo zNPs&W>5`EjwuW&s+hI)2)VCkvew!Ja1h+NHso3Ei+Tj1Rl2x8-{Or`WaCy(>AU4g) z5Y|q{$+(j3G9-0o8%_|UF#et4Lt3>gtKAb5^eR0B48&}3a#gXgVgo(gM!+!E{VGZk z8!9kQ2$(cPoace0_qdpjXRb)&NhNG^xejd-ZwtNW)|f+D`YD*0kSvh4L%zJCk2nOe z?^SPq!Oel!r<|EiJieeo7V7?yRGJnRVV|+7nfjQi3gD(h0Yw92@s%YRt7RL=MK1;y zR=igYM_L&giya2&W$dxSp*n7|B}PMf%ji7Kwq=#$6R60VXK(0GlO;$yhDLXENG+)h z4#j8}-NYqDx&$c{s}W|Q+>%CPR0(saAcLQaI2bV3qxQDT@_2$vjjM+|s6$_=t(A-y zf8$TLWq#4>o6cBLm*sr;CbpsXGPIl8aMs5wL>U@IN8pM&~WPMA`7;*{P>lB#B0`CAvW-a1J)5(v4R2o z|1jYNeK7SU(4G4AC?g6-%R8EeTCftnAc`5wxs}G~A8yD--Wsw8gC>(EE`(Mp#|Q2Y zQ%9PukIb~U)hpqimmL^`iG^$D2O^kHoDUfTW_uJ?MuNj4PB;K46#ICxs1pc;WZsaV zUB5qR%dLG*2-~SK~xYTRDd@;p5cnk zgH}rA(dlO950BGIy1ZlBvnK5I_U!%JF_@VoX3WmLCIZz7py9|~56CX*VRfRiW}z9P zIa!f9_!+B$C7Dc~Rx1b%kID!}Lb;Go7)#QS6JPv^jb473wIN7aXq?@T|8OH_#C@uX z0ld0^ha#0+%?*K81yre8DJ51LQHgw)9fOk1=S-g~ZmAHBK#iw7i z5FY8{(L>zEd^N;0XFmHDf`qx2Gmn@a9k$Gbd;4P_gdIq=_uSUm+DL1Yn8tTvUQeZ*zH12v5)j|uGmE#lkUzJSbNA)^R8xyfuk$>N>2N&HLrfRayFB+ zzP(;qZEhZFwe#67c+$?%Rjuc*)jm3$9csO~I{KUU{BcnovznkTnXa(iw%0MU*4M|l zIkXq&Qw+L1Sd}=pb3TU6#a$*8@nSK?e7H7jPn!1~e*LppL0ebx+NZfH*5E5n$eiwtr-oa5_;{;$%8C$+eA?h% z8kf-;3t7UYGfWLO&}qV7lHClt!8(qs^JdX8zj1i`GVOdO_{Ece3Zo>38Fa>j&NFDyp>a`OdV}zP{!?*95~8xcyGN zshRz<*}Z!s4~|sZHw>anzvq8zR#xvB9H{Mk7H+xtz8VbXy(9aLyz8qAxcNd(RRzf9 zwCbRdGyyYq>(l(PXJSkg$Pa>4pAB%+w={DF`?_a_856~)!|K8Myu1^Y&f$}|hjB=4 zd+<36xcp9R&+dB1k5qkT81GHBefIz{y}mFvy@1){@YkuY87!{gm5Hl?MMv#>kC?P@jci;saPH+V1 zT5E?+kq$VFInBCaP#iY23vp8};1Gjd17Th61s3Ts7!?jvxtBSeUGSjX1C!-n>El%yM?(fXUrf@G{}?=ibAlXj>A0uyV(wg@I)*+5SpoFY3t?hmp;d|jbaL0Owwrn;_N#&`&| zy5j(|4WhU$x4H zDgj^YKi5Adc7 zuz}-uG#(0?gL-iV{V8YGyw5vyEDL&T9B_x#1An-Fkryvs8DuR^(0;AUK|O#0_Eun# z7@VY*A^CdA7;YERwYH0^r-}G(#4{KeFX-1b$s=cCD%^+D$3*HZ!aKDAm+HDvK}~#8 zHh<_f*I+XS#+ll2&wJ#5e`};GgD38;>N?-`y3RLhp1;obe0#z0kIRdI ifXZVq- zy=eHJYjtn%Bh~X42kj-m=geJBd}sAq?i$Wt96W#5(B1;P?b`GA23^B*c;@dj+N*|7 zd2#R;kABk&jdhFV+slEJqx$kn0-ifx-Ox42$lf=6@r_0o4nMMDZybK<^_><@8AMas zgaZYe_SmeOabwK854}odEn)6qgzMcel`=opL4_34FS+7eOOtUUuvM83v@`Z5roW!s zhvs-Mu{|9-uCsl6vuSWN4MzzE8;0?a4mr7CnjT;J;smucjK2ONE((CQ1g@&g!Eg)9 zTvi#iCaR)3F(ae&*t8|B9t+OKp5O?Lyib4f)xWi&gC}by4z%e=nQ+<7#EeDf3@HU& z!Ah`4Ay==Bjm~252{mCq#nM5KSBa<_o5z@q?BppY@$fkN3>v1HubXB*p5aTakMnUd z-BI+jX&7(kdQQN-t)$Y5hPhWRbcq&W?j$CdA>vk)+DI0%}hW)d(vcwIoD zTQTUc*swRm39nRHsJ#A*7c!rLNDon|sAV~77jmheEHaoFmxak0M=*p<$#!o?;2nZj zmAYZ2@%dd&U3F2f$O9Ur+?rdTRN`x^SDbzQV{b~m7R#AFxLHQUSf_OF)}RY-VHDp0 z$Xgaq(IXH5S{VZ<9b9rgV5cF{8~;wEupr;|)W_n~|{@*s*Xvz-l&$ z4;#4#2cvKz(xpE4Ndj$EB2n8XT2M|!yc9uX0?B(8y6`0jBbgy9OqPQSr$I z-I~%_AM*WhwUSNvjfU|;_-6KmG#sw}=BfKp=k1Bzg_^ zz}UWs$k|-(N7Rw@^R*!48E8^mwBT8)w40NCxt~G~8jeF34xyAihz?`Fm1?T}c(Ybp z$}6?qN&kJx?YEs;kY}Mt#+>FjQsN*GdJPmNK@^5gm7`6yNDyi44qx17{1UBop_$@z zr+cYb6VDnq!k|7`86FOzA9-(*W0W)8KqfpUob#}hH?uZjT4_&x zu0KfX6lFD3G8!z4#Q3g$Hi53J$w$E1gr$lnosG3<;yRYaU3=t;-z*Hx?D_!>Jp&*D zs?0Z937@x56|E9!fMGUntVO#s0WbADbHcN_D7S_q^&aPuIuT?!dMF6H8XqRPI%Dfbxj|K% z7YE}~*)S-;J|z(X>vQAX1m^?_;h-gMgfeDl*S3w@2Hy2ikmFOh8?(uFul2}J{eYcy z2$8OVZV853(l>`L_7yCK^7NqX1K>QxF3Wrw%P=S;lSa}fC_FEb^#3EQUhkHrau z7}*xc6+|HhI8_iHRE4EX2WHX2WC#hh>4I))#U4p$h;~vH#t0;m$QFQ1qQlli&#no) zY_)nvcO3ZZ*FQUMm==c^CZ7qXg+XfRRRbi6N)l}_P-DuHT=~*Q4u~lsjZy4k9DK89 zi`eAVT z^{$>3=qK{nu7Z)z9xAY6fhdHSNUWMZ_Qntijc2LxjxA01)rL!bzZM@o+qk{8$hhfg z9B6<-z(0Bc4HGTW$q_&j3rAvRv!vRQ7VB{IqGKaALVDS3A`iTYW+sAE6K%IyI}Hpw z_t6b8QkY$y$bZF`P1Qg8E&6OvO{QC-aU;P+9%Ryq7zA`>GPbTM?#Tef>}AsqOkp4m zPS*Gq`Jb~&Jw)yG+(%kq4okm4cJL~i#GqSIsyG$A6p3G5AU~~}lNB-`aRl?2kr?+` z+Ttf^n$v!LJTcpfX1ErOlG(NGeA65c)0<|4)l>O+b6-8#d}-QVxb#<-ao)7LxDt6U zmY7bA8U?RGndkWeZyV5YT`pt=9X1=be!rcZPP+Vz-nfDMNSE;zBjJ>v@Edw!5z+%M z^%9j$3_Uf&{i?yO-;Dp(M>CC^zRQT?4#RT)e!ND^_<^yI8iS}XYS`ikk^ z(F1R8Jj-kK>qq;ZJf&te^bhbtpnckUo011xy(kS|orIygrb_#`tdE0GHL<6L*?;lMs=eP2TzX6AUyOuTm@@Ad@8L zG24VZvYLyw8IPNoxn38UxElk`)@8nrpV)m7)_qXF=S|1F!_DouUAcNbxvPVPchl~L zwZpsee0THaX)-d~rC>nvv6Vmjvku?6T2lhP=(pmekC=U_0}OGkW$Onw%3x#05N5mL zM+_+{_EPmUu8-6BV)@h5z*IOKGXaVg4StJl%1_HyR-0WbZy`Re>uc3o4<*$q2Cw-#bd1p`IYQdti($jROm@na;*wV4eFjNL70tLM zRo?CJJBNEkC+jG)T8%mwyCx`-7-woWP4K6p2F)bTvGvr54W33RItf?p?jYNiO_(uW znbL7u>#qLU=l1@3yoj(%22q?+;HwBK_AZ?l5C(Jnn3V3*${mO|w82sqbI^JaNtu>q z4!i9SgrcAVPpxJBz&hr86_ppR`o%!^=nkJme<6f7orW`~_}<#Upm%tOPk*;J8k4-d zy}f9p>@Ql}uYDzs)fnRGQ1?c4AGeFo8D44F0TXVa=EDS&+iMyDov@@SX%$KaY8s7>huk za|VH2hQRquCQ;|D@Q`GLn}a40^F1TkSsGT|tRnlU|lArnURCcA@GMi~#L$y!<@9H8q#vpu~p zPS}0B$By(ztkYe?Y?k7Y+FdcJSKr*x8&94Qb5L#dCc6S&N>{H5;i}kG2=UUCYDfnx zXe`FCko%`FfQzO$O(4m#hJBoG%4~st2+;bzw|*8;9IorWFf7j098SV%Wy8>%k5bjk z!qTii)(14B%F?Ec+&$edzv|2D?x+1Wcj$WM$o?AM4;{VmSNBd`d;XXD>~+cVxBVV2 ze>~6qg5K73$>Y)1@AaK)^Kk!yU+S~BGUu*7e&bIFmcQ*c_j`cf;`PcWyZGsTK=8)I z{<7b5QunKa4yRGbG}R;22vHO(;CXH~o|QJWv`V-YT7P196U)&svZ&Qx*S#mF92(qh0Q zVfibxNj&lPB=Gkyf6-V3IJmWP?yJBj)Cpd(38qU&q>tT=GHx@VdLe=#(QO=Epzu z)*Nk!s(~+h7{g@_`~_3Yc3hwMh+t1UIELT7gXvUn<`bMu&18BAeLj*Ymzd_XHaa=R zO9Jh~ke|YjbFx;(x?ahP5ELRG`{Ut6AqTf=Gl5$gi6KPy<~|FHMS#l7IH`_v>;p}i zbX`k5oYDV)03E=KL-KIjQHD|JnRJv?hR5Pb5}0-+8?Ogk2grjN?vR)*gO(3o5`6wU zw6(FiOmQp@_KkfVwsiLz>|<-iYoHNVfz)xG-vQE`!>VOi6=R|50}qhOip_G)5LhSh zXo_$VM{IJ#@~%y;qZkk9Jr?(TiMz*7f_M;teXUBVYWE-Y0u?e5(gVb-oocI z-p$cK2Z3S6OjS~GiKT-xwvwGI`^^-_7sGu%k2~R z1?w>>v4z486UiM6rjGBn*vLV_hQDrjW5EW`t`V~Z+VcqC>maYlf0p|l2E;22oo?{w z;X66i3_8a+lGVqvB$WlrStW)4joo<#qAEjPR77d2`8EkYYHmyf_!G@C{(3Xq;kVYe zwI6@Q`?6#M$1h$#<7Y1%C&up>j;?yGY`8ySijL=XFba3(-1vvXoSjTEO{Bogbmchq zr4}>4O4Q&dYM6G+A$GomDdZlh;jqXVd)TRS1wTXJ(N8jvQUvq;3DeGSC%SyqN^!B_ zc^!0y?O;@iFY%9=)@VC!!^GS9d@fNMO_~0(08v76WayUvU_Y-15Gs3Ghtpb?d7k8K zTA9ye%ZVuKgeIC;Vh&>cs#ofc8nU%eX-9>YXuqJVYa-tJo-$QBJDYjYr(-P z5=>CW`($nRf&5Z24m}}ZYP~uyUzy?YWI;9=Q976P+2r-hP|GaSVW2fM>Yjc%KS(>! zI%Q1IQ(Wh9ZIywy6o%g^6|A;$9TG zY~h|@X=Dvf2#6!Hgl^ROi)Fo0j&`DVyBlj}TG^=zTt}`MA&{ab8zc{5LsO9#T>)zX zzg1S_|3I_Szx{K*{B3l>anQqCz;L^?%NR1akdjrbwki?WfWnbJjxEdNNrn@%mGUh& zE0wuv%Ui~nN69JQOHEdZ{UOPWm&q~bVC1H_LP>7~!`j;G-RUP>9eXp}NdOa|=DAf}Ug zjE)sE^wx^zXq=1LzVXr#fiS$K%B2vawzD-uA`TjHNz~Fi z)Qep0mV{yzP?%AW;wU`k@qq~Er!m|4Zl9GygYA;lbjS_)kzt0IXD~7-ePqlGc~`&c zmvv@D8o88TIz){emZHr14J}@aM#9_`ha@_(>}_tmpgGO_PLUv{b|G^&fI3u^bcFUg zVi4!M*w=^RCY{rO_iJWiwj;2^hMbj&q-IN`hjkuC>^)GME_|jx(!gMsA%+mH|itasS@`dB0 z(+R7TdnRi;cjp)FY$kHeU-Ku=TE&IA!Ot&Gm$8O1ox(alkeIDw%CPm$*rBm(Fw?kQ zirq{ZFH^9E^x3FiEaUFbtFzU0Yyh7vJAvJtEL(NRY6B;Z};;q5236-klG2N@kB7i){RBCCy$_ZLRvmHy7@ zAPiS0D=*rR|3No2`DnT5VE3)cDZxMNLYAieD|GvkGF{E&-|2>GF=zNLW~$J(9pFw= zk-g?whH-9-xB94WHnSO4YxkzzmC@-2UZESj6Zb5~d6SoZmFV#1fDd0cug^>aN9F%Z z-1|VuaoqQv-959*k^FC`ce!qk@Sy6N!LmKFA?yI6#%ukVX$-;EiqRw1lDxsQ9biF2 zdwYo_P_l#|KEJA-USO8H5I{zuLrc1v+nYo^Ly7MW@oyqtE+zXtKXk*{p#08zq3e z1;8PHd~L=)U7b62y($493p>5bZ=eBTm-jy$burLNPFeG;ZgBF8%MZuQedvvrG=oP`z1_}-5cIUl!!b0>Hk%Kmv)=kJ-H(Ko9v&b-)bEh0BsvY|R82P5zy9#Vc- z;J{E}@_}y2rs4%gOLp?tN(#BaRK?3;G~8U{r4hxJPot%PMw+8(K+d89uF3*B?i#vx zn-H)ysC~G}$D3sfy`IcJ>Z_q%yvtde1Y@4m#b8wzS$^;3vkQF|r|-(otPvUEnc?x6 zYKFa;L)BvdYSaJrw^&a$E^0k22>`o2josc7c_=}QlC&`l86T88W*?Hfj>Z*S45BNy zkOu{y5uYe9Ng zT4R!bcVTMrvJ^Ayp3sPCWnVn9*7|vQ55t^?s4oNKNRHQMMJ+t%U0E`b5 z*xP|3y<>jA)2Y7vFaH!J2a({(I@~M&AYrk500CG85!)E6$n@)CFG&j#_@WMU%GA`5 z7o}I+Bq1jFXPRcCjc7E*RW|ZhDCk`RC`p@!mS4 zMCa%u&u&w{Xj$p9gF&dok-(6WLnu{z$NJ_GR3WcaNyp>NXJNm@6r{Mh5E9y|ivotlwuMEW-hgmhpzkngRKuaMcgEnNcf>VbsRA0NOD8@DcIxqtV~{@ zi#w-l!{cI9I2}Dor73qVXbgSOW;)wwZjYc7|DWtaSC~)rTu@i0KcShqEeaLsAZOiQ z`<)5G`JFDVooopu*uPANbxSfkg3$`z!Zj*`B=?jaF@2B5v)g^7LZML?!4J~|AaWz6 z+HEJ)BXp3I?>5nuKq0z(kKHD6w&Aszd*3q`zIIi4Z7SwwVwRfs&V6E@R1YTfoK+`O z6{XAjvbl11#W@td>vgAHGY-3aqD7zWuq%wCym6++prDp8t=q8{dQrB~9>|F>1gaJG z2}_dQ5vn`%-B^TBs3pWz(f)$Q+?%df3`lRI+*`%2!}OTJ05S(krc@-5TopAmL%=2% zZ-3#B{(r~{`IjO!|EqZ<{~rT2|B9(9i=fjd#%V48a!$zaeog>;g@vU1*r5834aYeS zjOw;9s7gz1{6Y`|OiY|puHa|us3l>WVqIZhaYZcA7#59_JZ_6MsxHhCw!y*LT7yu( zGUs@Jj$-0AW6qN(TK>pA_nwn4|K%Z#Vf$N1#r(N*3|{@ht}hryg68E&$A4huly*C+ z!tZWzjsoz88dqS-H%D(qD)2L!Dr4Q@$cO2C12YZl4eg`TmAIJf=?E|iLkKh2)>B>Y z!;sjSC>Wk0kS85R<}m5RN0!M1sdx}pcH*BCe+Lt&k+8`J<*S>GhGt=IsWzH52doa$ z?1Bp*%Kq!^qpUl{AZD>Ic<75h*)*ml(Bak@x+iW69*e4$c@R5*#F%$Z3eiL|Ko-O^ zke9bxblOAGVRh0X{NEL)O+X#fBxTYBNQGPnG4?neU<`a}px`R7H@rKvMy;KdC zMzBNZVbCg;5FHGqovtIRhuWX*hT$a{Lz}@Vwog-Bd01QcJGEZXa z33EYEob*g()7Aga0!VhjJJ)`#9`IE}T%^1h#2d0n4|4VkqR=xdvW+7GdQ2*h(2 z3C=v>5pr>(<~`!H2{Rb!)O3fj?6Oy>kRoVD@xspcCIZ^%1)>2QUW+=y4%6VcSqp|JYfk-Kr1j-B7yfu29U8ex)9! zI`?xUl7v5sYS6~D#-#JcbFzmC77>Cl`3s^@w}9G7A=l->MGzx@fziT&tWVPxxDOeD zAi5HQtZ1>Om@HOFn+AHoJc*@LEHMfaW)8_Ip)#voO#^N$dZ`p>-1yDY2P;lWeQ@3= z)D$9)TZTfYBK+&hdJ;zma$50KJVpTa(6%qbCBOL}8ER5^fJu?4VVBb*C{t!-2Cy_i z9i{a$hadhRsH?j9VT`|GBKnd)4@NZ+&Nx97xjvMg7HSa2aXN z6M;85R|s#UnBXKb9E_%vkjG1wPdURVuyzPo&S(^e$wpl%#nOykg_aC$Iffiwu!63W z#z`aei~pviMVafOs8kIb!2C+C8VEI>%Fqcd=H{U^FAv2DlBV)UmK_)n!aMUWUN(#^ zKp$5%!)%ILQu##X@wrOK9Qv^_>e6WH($n8)eTwslFzd=*fpK*-oJMgsW6o8s8+a4$ z6P+Lf!Lq7{0U{_f2$83R0?b>wC0P?_xrA_{F%!NeOjRcaH)2vZP1nEf=L$`6-7Xh~Ay4{@MD))XH)xLBAnVTOe-mXpEB~B7%JoK!W+X8HuK3d-wDZbA}9=LoKR1bOAB^1skR=Z35;e3ThhZCyb4ZVf@zY_Kdn#4RYSF z5aeeA%oq~wC~Sw(%t}6o2wQGmBa&g^s(-GHoEI=NW{XWT8=i^8NaS2ZfL~RN@wZ*- zK8n;D3QuN50P3N-4C|+4veMDA*biBHf zw1Kc1I%}k5Sdo#6SC^8tFrAPUK}E~r#jdUY>5u;N0r+hi)m}3i)V9+iq|B9o&h|n{ zUP%M2QT!Vx)&!K3Xiq%@Dl*QzDd{tD&;hop*ujF@)rs?b^&dfCSdQDEBlFG42>5Iz zXOkdrI_{aB!>YVCSI*A>Kg-`aXL)Td8xhMnDVK-!MG|d#aehXd9kNxfO`72uCwLv} ziI$D$NxOewmmtdS%`Pax0@(xGyaTzRzRx+o^SykjTlw;4=>atwM3}G%7QHwIqf!Mfi=_XkO=Cb;*tE1+%V=<{S?@F2_0I|7Ja=u~h z=!~;`9y8?p+=cY&{VRF>1VaT1nP6I6n~R;rIYAmH1aZVuK)(*>r=J_xRCbODqA0@v z$-taK5JaR5bS)Ga6f&F_b46r@M)->OqL2W)+w<}!BVcg4AixS&o1Pgla|BQW?Ch6i zqjboPYj@@E<=@<@#61S&*Yt^MTo@J&J5Ch|IVAV89mMxmhLd-)eY62M6BUH`IPfgCt(g<{q9!v%&rEz%gX8BwIRrA=gr^VF#4&}l7{ z8NIK_ONvO(k$Bg7ypf0;1TmAsO2hLWJwbe}jm|o2Wkv#XoCPpLSoZC|^EaGFg&4Ao zfKephpbQjd5QQQ_9qBqP#b^Mp#fCsx41xSXDvM4V7lJaN8UirF4$c?S7eF8ztvn)( zK3c-CUZIjk*@Tl4uOqT8roP6c8Qs@1bCZk@g9N4hPqzLq1+hqV@PDG#UvxIYc7xhgCzG>C$Pa%D-G*`+5aWh!%ziUer~l7q22iI0!!UEj zrvdaS6;4PorC7OTI0O*_WfS27I~S6yNe)Gfp*#O!iiueYCW4{4Vs^sV?6Ce*3=sx6 zlBlOhV($^*i3cKa0y?G|#fc>f5mqDOcJIyG2P%AnspJG}2F0heOAf^!LIyNn8^wul z{!nb3lf&3?4c~Ol(2fo&VPk59050Bc^kW+P0o5HWZ$wH%3gaSm09Es=N) zR?kCQ(ik@LH>+MWqhTS;In~EYWJv-Z_Yf=TXg*o!mxv<46lQs{xueAo4$Rfo;p$FQQCuX7DZR! zP&-)H!7eeuf;a#;G;AXccXUkfqm$2y%K_Avs9iQQM47+WJ;W}}!yg*Sd9jixP+N&v zwNf~;sBLL1;b<^W2a#%0&4xmnNNNSa4QY8vcpE1{Y8DI%R`5YI+(mC$A=C8mh@Y@; znZd`oYA4HBLnC^f^BP~)Adms#ZgqxtS=v&~J@);d$3-fsnX>8QWJR@S8X=Jn zP(cvJ2$~qdTzMC#$C$C5l>if7VgN7G$h>&31j8snpctqqYfGRZ9wGLpahK7^1WmGo zvv$F4;q|YymRVL`!53x!IXWd+)F{z7S*%+RnnC!8j1Gx5S!UDEg*!`eK68jb6!WK# zJ(8#au`n_qLJ0WS6*q`>D(evht9RxZpb)@wBq8Uxv)&A&4x7(#|pO)|iBRx7l(wB#QOncaDHmAzzC(;REilp@u^DPE{mq*Dk$^l6nrYQ4(xi*H2gjBE_k+5x{!k6Lm$8={w)flt6Qhu^70@JqJawCL4bTzJeaYh zQ#6=gMpKS2h!g3m5r7)bksx(JexQ)?g#YP}2yi}WGRc8SqF_9bE-It%F|t@B7(xLZ znn2F9OfAw(RdtGU=XRELwY4F&M(n)GFyM-qVD!Z>puGqRvA4$kfTyL`%o3&1 z#xfkm!Maz-8X+SuKjo~j?0A$4;$z3CM#HAz1k;upVqza=(6==bv&l^oxXysP9hf6? z|L8y8WulNCA}6^>Q{>>$&`#_>sxL&GJO|8~aYOUcR>o7LL~+QJfe#uf@`BAI| zM8k43vxEV+{1fSV1_LS^W+AEnLW@fs8@o*yKvqKSH{IpHS<*`gt(ZdAt{-UFUw`Ar z(&28+)(5Zw%R-nBNDB$m!~WL-@et>Xhz;u@qeJkeO(6-9loCq}MFA3{e45TmK9v#4 zl?3xhQ?}u_&3=V(<3^3q$pD&CJ`*n|=E8Q5o5P)IL{I^JP~;U|7TC*-PMsqkX}xjv zR30YHVQ=98CIV5in9?=d->C-sMt4`2tNX*`=G_a&%`nuknnZJs{|{Y3oFKG>g9f8sc$EnyS`Q_0t z-LAI?5+v2;v}73!iQ77@C6VS(5)%>@*IWS$CLk27FOYnjU#N!lY}C@Ume?%{78y4I z6}si1TVy@l9w+Y9F?}z!Plmzn8+7Z@A^R`WVfXx*V?pidnMOAJ(0M*i{bV-|UPpxE zlMS$-A3I?F)ymvXaPGWBq@FouKKJg%h3(U8<#zI;T|z@afUe%ZWS;z?_j)f88~W(* z)CuaCUywYW-4)U!ezE(56#ztmjwEijwtblCET|H}wUS&jAe>VTHGU>6DWFuafG9z{ z0LKVGNGXA8=i+2%!JIC|SNQp`Q0vUA|%O~X3NoP;E`A1Jo_=`Iqb{4OlG47g&WIr+Lz47a1 z%wc&t+wB>BO}hlg0Rv;2i%1tyLIzG(Fq}40oeOvmOllK?C(aiNfZ-(dR&?7b}BUND$06xyKjI>zJSMcF_Jap9i z^cL(bN=67X3}LJ>#UzkbrrdU2iy)LhQ2`Z2Zz3%VmWHHwaeQSm)B3O!wV>Bw2%<}< zYk~s8;V?CgyO6{SSDAeWCfHM5Iwygq%cPj0_5&gBk{Z)GJ-|pEKrWNzob(cpa6hq- zi-=!IkDD+-!sq_|QTVQi&>d=kw7R4W6;X~w#80>vG0!4`M~fgI;$K*jlM96-82nv`{C@4)8?Zy`&w_A`7@mFn)nU7O) zM^V;php6m=vqvi{V;+SC@4ai4oDsvb3y@ySgk@jq=Zi47YxD(jgFrG(E$X?S|2Tho zJR3LP@3@$w6l|D^XvR(^8s3q!6m=VUDkO6{JM;8LAl;cUtZoR1Y*wh=dJ^>rx{~8- z&osAV?js1N5j0;b^q1$zl;bTBd`7%VNH<^0(wRsKk83pR1OG%`>yq9>oWP?zs(@@U zKc-U5D5`h5QVs9zsp{B~Dd~iO8~A*h_Zfj~amu+8lAcu2&({=h^Jzw@rGg#7hahPj zSM^$1u}Gz3i9l_m2qF@Ib8|v!4U%h-IA%4Wb^3~4W_d@Yq`fxP2G?))+J9d#nhQIj zvx_;XJC-iW5W141Q)n!awZiP$6WYi?N_3~3L8ZNVk#wNJLfLpud;IcnW}x%nFoY%r ztrfn4go`?;__7cbaYo|PewjE^zK}sw!5k}GiK+OFkA7E?-E*=??kVpA@BPIK!Cy_b zIewA&w@kVDEngu%zHgk@^IN||{I4ta^KMBU`rrD9B3Sfe$MxmwyrsOz=ehL*FAEuq z!!RBM^=S-L`3t=@BZbLHx=KQ_ltlzNV{;M%NjTGZIA-FTVUJ4{29moD5mTG=S(U?SHF8ktp!mXo%1^mm9iJGV zaU@xU%stvF6HsOhrFe{^ILjwpDy9&BGP&oI-w&%wMOvYo3Re{SjH_mZBPKRC=rBPg zxV{{9;y+}HAa^1ulVVa+ke7Vn5nzNOErMv3Y!$x7E^A=uH5xX}^fl0n@|vr*8{+4U zX0T^j^Twv_Chf#OF$$NOAT^kma3qPSvF^P^cOeU(Jc{hG*}bDvw|@(L)O?{qr?DKx zvnd?jLE!<>N)v+bGC+~Df{YHObxew4vNHli>!pi`$<#G$tg6JaNIHrme+j3IPS-{s z#)pnhlto@Qb%ibhA`tA9xsPxiJ&@YW#gKxX3b)#Zn~R6@`q?H9%9WeD2awYFu%(a`tZNMB#~u zo1q(oBZlFGg7~`m{JYtM;d6(juizi)_DO*?Q>8AakS zM{H7L!4fBqCotS9WycI^tSzkaPFNgo5zh>(CbcMFaiQ^SLZ?ZFAdj!ju!226okU>? zn<7kIe_vS}Q8btxxWgcM&3D=#AedX$C_G zqrvrrd+0Apf*ZmhXoKOgRU}!(5gQS?gC$iU8q$63D(q2+3q%fI(#q1~9cvpUN8sNe zHhpi=x=gkq?_G*@0Ac!rdjTj`BhLB6vq9lnV|~J5#qC^-qdKY9QC%94oKSAelDj~8 zPI!Oz&drFu85G*3!wzFImNK*x_OeJu_6gEHxCT#b@6(MA~P4wH(9eHc2>bAlOk;F=DBN+uAM^sJm z0ESsv56#MHrX3X(iz@<*Ftjw9XJE_IS&GZVxnK$FA3IBLDL;FNtmDgNR zH6~v{6y_q6JFRGGG=dfP97f~eAPi)|7pcwdU_y!XCKh_!_>mv{zJYbqai?T50F6LM ziWPk{CAbpYNrI0cITKm)C>kSF;Q&v@kd$u}r+*G8r8G|{#*s+76jzA1$P;O)^T{{i%~65$Psk{e&A_=NuJo2E7BzCY>Fxo_J9L~RKi94kwfl{ zDF*LLqiBuDQf5`kb;HS+f-5QuS@Wj=>W&Gp_trid%7~#N_jAw>en102B?ez6OOANK z7Z*xPBV-)pFUR;EKB=1ji|4?Aurq?0H7f>0gdqXzS$S2Flbj(zUrB17s8?;+Ve%T?6@K z1yQ-&%`dcaMl3S@V{NPfH**hRj|aD>OO7Hsno=B64csJiv^W&}H9m}tFHM6&1~rVd zmGjZ~HonQE5YV?cOvnRyEMp{u5t2B|cN%;9O+U*94O?+?SeFvAj7xsU7X6ZzgL=V= zMI(f@v?w{LgmxI$Abq5HV|q)?ET_rpmRrlXiKZd93iO(~u{ani*z2BBJiULl>!K>9;qsSBC>_mZsv&Axe?b&!nqp>&EOd1&5?z%! znQ$tJ3n2`LA+PX^=ub3PeR=yw#77>5@B(90b9o|vagHUvT2?_N^JWVj&u_HxsLKA+ z7ArT+QW1c_17t2T1Yn0C2=h5Lk$g$!9nK-R9~xYuE~^QxL75W-Y|+c=UUJeHmn=sO z@Npf|+m)+>95&jkI5E zzMjWrWoUA_!v7Q(+(E=MA9wygK&Vb)b_m(2O-QWh>Kd<62g}OOu9frSiw)w?C_$>G z8VkMW@AdMJLbbVa?dqACr>aB3I9VY+9RK#=PozF!mEGE{F7OC-FHG}xb5{Rk<~OIk zPitO8Q6%Fet7o^IFb! zOwbSHb?ZKnt}x)#&NQ!6tqtD;<2~Ii@0W8YKv!N(+DUMo)b$a`+R3}p%lVH3OWgOm z-p%T8-dVi*A)flC@MLrC!fti#$ultY)rY%!|I8v`s~^4nz-Iy0@B{;1=>eDqe2lpA z1hy((a*mmi*G=7J1VK>%YoWdw)q!abkApY~)J%a&Ss}(O1zTij0H?fSpz!Gs#={-5`5{0_5bzV*`@|99$q>o|*Sc7M@-s>!+!yZsP@`+RPI98MX z+DL;!K1^yzhVaR~Hi}~tdJGHDTHVdL9?jYL>MF}> zaURF}-f}_V0MJoHBNK*to9OC-Kx#-2=qyic5*fQtqK6DWnM{dz1)4&z5%o$_p`O7_ zfw1%)Ss*eWRuyOvGFbs7qQ4VkGj+Lfa@yoei|?IHr@aa93B96|$Oc>KVc-L3p2J-&XAKij zi%MG)0=_`qlj_ROiW4r(RTxMoZ#c9d+WVdDU;O_b#5oR^l((I)?z@}(nBO8M zb5^o}Wwkokgt8f$Le~N$7NnpALyE<(EK_I4K+r z`6$k1)TQJa2hEwu#$Uyn4DXXAU$|Q(iq-@AZ&J1-tDS45 zrWZFyM^v~Svh1uf?Y7i`?O7dDVVLrhB!(@P#9lYIN~?m{QNMWK;d?7>p6KRQBo0s` zc_ch14V)I&&=kTx!#sb#M!W-*|SM-;BK6@W^F8jTgg#3C#-lwsBg74U`9 zLU2y#e1=`(Nwf;Jlr0kgNF=PzRmj-oE37=IOY$1XGoayXMXVXJWdj;%6*DMK-wadt3B_W+e z8!$v6y`XTk;a5O~oOa|)Rj7^zh`QIBt{^~VBPJu5dWrEMf4Mdn@*AI3vG2-T^auDC zUTqG7EDMBUJZ^Hd^p?Sq#!GbazAXI4fAQ1r!Beg#J7 zUE<)|;t*SV1Mlu+>KtOz_q^RG-Aw6$KrzJN(rq}3IcOfo;`LOol32KN?Xgu>q2R>L zE)4}Gq8bsBpk}L#vsRUa*1`G0$q?ZlFZt3dZ(DY3i&j}oytGf`B`>6zT21|vRyt3_ zqt;eOnIeH^-<6-r)y;Y0VBKeezcl|y?b#udUy%FQyAw5q%m zH2;3E@+i)z*iIKlVa(1oPonWwd6%{mOYShnC)M;T;k~H^`5LC|OgD6+`t14(t3kR& zOh?0#3gA{s7@)@}2{{=_#75O3#RI2b9ZV=K2Uv4WpR!RK{$ZFlMpYCJ zaW0$P=jd@?a!{mpRu8A`0tn?!m#*Pr?16W7S63ezwCrMeb7|jX{-9oASXqyY^)BeFI(0W;&x>h{h;Tp69te z6T3Qt>-KpsNX?Iy&17uoDNhv2ofN6>Cr*Y1^P9g1|K?1(RJj{Wd&_kQe@H08Th>$0 zU=?2qI=fYesafJi;zndL(D?4a-Ebr81R4Fba4&ai)>Ezo8`a8e)Ue^Z2b~HaVZX6c z-HF2uR^cjY4Th^J{{|ra8|~Ed26$jZybSsu=P7l$_rJP#GQH}AUHn( zRo0NL@Aku(umSv+Cdalak1|{n1Ha2qcm4Qx-Vu6@=K_ zz>jW3Q_BOMq>!W8RAno!PzRZG_n0BBy&~MvsR-e0XTU=^eA$%C?qOtV9ILLdcf-yO z%3BCL5iX+q!NF;H-T-Ms@x;=s;$CO(Q-_R_6?csPrA1=l>$#|4{c-8ylg$pAi?lC^oFxo_ zq(32fX@g9wnSp13rsx)mFCo7dqr@sw${DfM_}}>j`yrKxINcfuDZ?zWxEx)%kpIWL-eLxxq2oojO?KmdKYC;kI2)BtP_Z?Sc}>kIphHncD-g+;D`;@i=yHb$3uprA zQT^CNGbCiN>80nMxE<{<^gRE5nOG@+Pbtf3=|8hBlC!ZqGYFAe0F@tZH# ze#?P5Xr7>*Rus234B#Go3T9pQ?(uP=J`!LlGJ3E91`5 zMr2-jYEV{TTrr_XhAx*0guN_Pek_(9*;KWBW?TfAP$}gZ2z&qpKS+MqAaspVR}6hWpDIKYB#Ebj|W@n z^`IZO+q0F-&z!!lCWCHeDtIC4r(dxi#@!8}=IX^wf3f>QaO{Pk|6=-dvXXAxvd=He zTY`o#*6DP!u|L^5!W)U~#wP+E*&iY1n>$Ojotc)C$7a-^s)o)cQOt2O<1M6dEKrKe z>W4n}eJ`lPyZeIyM8d{6x~uI5oaB5k7_btw1;*JOz-QTh!n`iE4+X)-W3+n-!@fJ9 zeOus5)9qk`j<49}?%Zb zFD)NhjyLaJd?tyJUK2}B+g^%JoK03Qp7?4u?Dh4FSugsHLCEGxEG-S*kRV-IXk-Ar zwQPqy=!-iVvq9F?SW<2t`MJGdv)=R$1~%$E+xBjz&$K=BmDI~@lYjc8-OygP8ET5j zN8kO@V7~2aeJH4atz?_?e&@@BdTI6v5^e1dE?ezwJso&o8ay403uYJ5C{8Ao2tOfl zq^46bA4D&1eKu;8xnZZrtM!ugC(b?6d3N>52Ob)1o{+I6*Kh>>|QT*eP-g-G`Z`S9s)Ane1gHwm2y=d!f&>j4<>U^8skI zfS}*%z z8uYapXLYfxc6w;Pyng%FdwapwGm(zZ1wkcKt_*3vB(SJPo@N^(0*E)Pz5#<0FM$X# zx9oHUel%(55=`2C0-zI9m4$zRX}*^-B20y+v9yqNm)e`tf*=Y~4YZ0zD+T+Lewb~a zW^Zs3$83ylZ`2?7^5D(UO126M16iJ01lB$-fUC=%Zl*#4Z?lrYL`!5x@s#DG^}YL8 zr*n{|3#Eq!Xj9+0Y(r?d;F;9BY_AhDydHthzKlIx`*oBZmM%*~Q@adCRWp82SKiqL z>RN24uO1X<5wL0f*(eowj#UMw$B-eYW004dkOqPhcv*EUIN_HDSHHV6C_gl~gpPa< z8{q}F;wXf?c2;8{w4B(hR{!01Z5>5)`O_D)q*zb&j!qJ z1|tnack2`-zGCOm&3?ucGPL~_=)7eq;4wzbp$M>Fm!=}ugxwdIkGK`^8amG~U>i?9 z5C>bITW&nx-qd~X$};i6jLgPpp83g-`v*vevt&*&LuOIxCUH=^dOtQ2w3QE5X(U@L zulk_#2zV6qbEmew;9{yprNW#*rMl&;M<9^sv-NYqt~SR9z|C;3J&O$cI+SO#{6m9- zZD)OXz!;7YP-D7#d3CmuSD79U4X7;_Mf_AW(k%Tje@H&?rNQw55BY55O}&C8TXP>8 zENuJ2;!=4174)58+hk-ZqUD9rIiJJk7#M|GUo4|8gPWJ`3NpJFT(lO`Wy=?$!B_Hs z2@}_Xp>pyL$@?XY77SMGG`mqhx;(%{FX-KZ(U3kGBiQLY@l8w}vV?|U?zDq~^axDs zi9r}IvlK|tbK^n6IWm^t9H<8PPHOVxr>Wm~-Sl^i-z|@^)FGsP0zKj>T!HYTPj!uOq}L9-%)$#^%ruz6m+NEbRJNnPKahx3P33I3$E z&||vvE=7)XV|`Gb$&!Z#xsSQ;5IFkK;3D(^!xhxs5#&g$AE%S{Uu$S+C&T9Xp#Cm) z#3swxrgk1hKIkcT#UUmraE5-0kLlvjnNy}&xZTt(l&V|Q1o{bA3EnLPd#)-1o>OyYV4@1Rx%5?QX|vn$kZJT>~U zl;Kt7D?RNj>vz4eK7o^TGkJ{n(c&RFGh47MVFT(;Sa4@I)SXWdWE(kKguZT#j&`0X z+|?bThPN0&?6C*AIp~)dh3=qyN3D*7it%+iTOO=Xrm^wNQ$hI(>U1yx_?@A*!$}pE zd$q~JEELK`jdK({_r8Wk5BIV+C#++cRoMzw)UgH zU8Ve!170{Uf9^j1wY7_XvnXFX{!O>}Jg)sq-#-52Y1=QzW2F46cm0FGoBnv;zCZZR zS3iS&zx18|)tiW!9XIX&rGZ7a9$l8s@ky`lJm@dySbf9CzdK6tO&zOZzT6;a_Hq0d z%hbaaE)HfNvWG=3`8D?Z?)(^EmvXVAvWGK^PeCLH0^M0X7DS&|%@`D*D7jAFRl`2B zn7wBp)rfY`Y0mTS_8z(hWc&sRi9!RG+E#eJusN%v@R(6o%rg2@tsV~seUIqR++6NtR&gB>%miz>zEbl zj5qYhlO$Q?oupv{s3=Cm5Xd!*mqbQsoc6}3;l#X^2}`}C! zQsk_%z=mwfAPT{O;0XI;E771@N@{lzcagx(2{PMiKu?c^5uw39%DX1qxm$TZ!4eSl zMo!?IWl?EcU5LyIXaV zBk;^D%9gUDEmkO%zuO3+Pu-=wJ>0uY4ZDwK*V4p?SHQyB3OmRmj*1n88G+W+gz;jK z1Q0~;7k;%8A-n-_?DaPAQU-9KuV-_S4wnX|gsCSefh8fyA%}n(}^z;Dfbxuv|2CsGuNSZZPwRaQ?6;7;XsaN@=yYI@VyC#k=D>C zO(0mvDy8xQGDm#v`+t7_AQfS)oh>9X5DJDGu~)5#iRa_A;9y4)?2gZbxCWgD`Vq}V zK#dk;!O0&NAQCZei7OVM^9oD2nJI1c%RrY1WR@QWPSPhVMutx(?0e#y)FmqyC@r>z z6X^}#umM{8j0p;~HB?L1^UVq}xf0P^*R)hh`jvwvA1W0_z=9dP>x8n%+% z%=)bJFs+*xTn3RqFjOWrU^lZI#u6t+yqVO>UTsD9xTrVV2%rD8zWwmix;&Lv zw)cjk7{Hw%8T2C8$NR-7U>;knGR7!%)pW&pOb)A#0u~{*)N;VMT!jSL(8zjPA#`bCb2%LZtK|o>IT6b36)Zz19W&om zjg%*5XdBjU8s5}u_Ba$~z}UUPDO!h!K>{qgBPS-D2A>N72_!k^a(^jyqWH$w?)&+v zif{99BaYnJprVFhhOr3&rxJFBBj_LjlAljNo63eOJ9K1LJMbW_HBg0*;!z=hpX1LC zT2TyX6DQ7U4L8;?7^p0XGHfh=QdaOR2q*1hU=i5Hl{hnK?*GC+MA|UxnXxGgeic8X zDJ=ohr-F!JG1qVPvEgN`QBjVmR#bgnSSg|$x{NCMTR2J3!OgHi8<~zp`jPx(3d|zg zayymaq-||6hbc^WysTII{s}BY$R)!d)tCnBPR_x19{!#Xxfyy)_7oJ(i3Am)^9-{) zJMb&W_sp?}pcy6{cPc+fD!~1;4r&NrGaF%Rz7%UUWaO=qJ=1+JNG@o!dnJi&pXk6- z8FqmcF(+)tC;iv4L#Q*2!7xT$U37)>-QWHF*T53i(V2nVkZZ1j7J!hVP{3{_7LzMl zx32_ybVcD6%M5CkkcH+tLYKDCZ-J>1Uox|L1N>faOS;cXHC#1`T&CGEjiATIy0l3E zaT#_O;Z2j2w?cN~!4H0Mz%0e3uZ{eEH>l*SRg1Fi1OrHkNOxH4;CZs@2UCF^1ORKy z<)&cIg_K4E%bp$JdB%{6;S^@uC=8rsZZQp}#3H~yVbEn8(@P-;=O>ZzXbP2yZL`qu z)FczokD@mpVU|xt;p2!xCObuGAnwwkV7+4DhbkcQ2yFr3q{|Mg6R@CEYTR5nNkr86 zU~1!k1o=_B%!$P5XY+~Ea?m00But}-8ImAjIH(~Mp?GmfXFBb-QD;H_I;Tx{fM!gQ zD!MaZXyrNZZ|Fs=gJcRY7wX6&5vOU5qJ%E8Qd1K4ryJHmI;oKPfl>G3I@CL95obb1 zDFYL09>a8`^_YCqB{KmZ{HK5Y6C%hmjZuW*>sM$akAroD&8c=OipBD%Zn4wB;ui*n z--s(D7#|FMpo`QN)S6GzW7=h0#=&tq114@f=G9^Eir8LcQffg6z1^a7K+`k`%ToG8 za(Zrw$$9(^tO9Q0(ac^<0*p5?FQ7EOudI^^s4map;4F|aM=>HA0*tS4nZfNch0tkq z!#teY&2B5hoUu;7ECeVPyIGlX73P4E>iFs|L8HI*&hO~2SRXtLOX5_TE!=>O(Awmj z2ki1NEHmx*`+Rqe7TK-LjhC4!F#y%mtJ&zsu*b=Qv+-El zl5aucsdJXgThz%iQ1bu_ikdX;glp*fNM1?9Ui6u`R`ze6zVGXO%`y>7ew$&7rLoTj zH$;YP=))0flpD8FOAKyY>4R8j;6CJHKgfjKaJQ;2rQzZB>N2rUAEX= zm-}_^HW935dm3b6q14%(2L;;Hf?5%o3i_r62%?5RZqvfc#7*XhTU^|%f^sgDf=gh7 z_Lkk&08tA>6Nh2?m44l&DhU>r?688X5ig-TuM`o3)JEFZ%XYhW=LDg}?;f1EcXIvN zbN5cHKf5|-!JOA71quXOC3v{lMokLYY&c)U$RZ1B!_x+aD$>lx`F!##Z~x^F2Frt~ z4+qOHII{52d?u(?U%LBxkic>@#=IPdUe%laPIb1N*Dv>rh-3=h;0=f*kEI2K8hey02~?cz^t!XJ zB*bX~?=Di)@I9~V+1Xn%=}%1FKG!}m>s>y$(;N7gFFv`VtlM3|fsO#hkf%KSAL3*kJhMt!(QH=jQD7WV5%lcW(22D~OE%h8}4VkaHf3 z=^oO2QMfG!P&!8(QFAQ8nEQ4%Fu3D5FwMn97jgVdR;nEAGaka+8=MA`j01&*=U3R*xhj5F0RPckF0MCYugA>BwRpbB>pR zX%FvKd7WFtCSmLwx070?jI&|O&$A>tdkz6t9rUiV!a0)+%2T^D9X;A6O4Ec^uylAS z*O5TIbdD9RhIuX4BstrAiFTs+pL}uxU*ga{Ew~kH6xGe?SOSQ$8Uf#R$@?#|;(NUh zwkz1DQ}fUkDKzgKh#*o#`@32~I3sSHj~QX;3HfB$oxefuOf17aLdY$39&zk>Z|Y~l zRF=Bw@jF6Uz+g%~9cW|__ex+mlt_q%ZW2Vl_x}3x{kOmH^uBAeN8TFza`#N~n-@RZ zemMK^|Ipri?w3xT`n#WP6RG@s4ic>NE9 zS-JCj|MuD9&hAgWd9(kk)$hLb`%hlH92}QF7lXS$`Tj?KN^U*){^HI*I5jV~{y|sn z{MmiQGjBZntseQvh2pk%-TCZ4z3+S9RXpy&@uxiP7mKG{xbu1a_vhZ%zSBG!dFN4I z89$?F>d)?zN4>P~Z1vB(_fl{+q>aVHKD~eTTV$^Fe~%G%h-Jiv7tEssF*(*5Cu}xG zq*fw60W1X5Fylo4OmTu8u@W&x=;8>G)UiIn@(rM81v--46Z_$IeA7DQ(f9P{^37-XfR+cT4(764;0k zNeoi@N=#_&HwGlO^0YHIh(U{y4O^&8rAJ+Yk=uJHiG{M#UcaR9zL|>rAFNG~ybmF= zIO#0Y)Wr+7uRj+&5Y6GLK9;CDB=yO8P;+40OI(`PCpXYqOvBUHMI;Y}PkjrCrR2jG~An|g2t5syTK4_hq&PBfxgv2BS_#gh%04IBON zXcXEKw>W$7BuWBt0}a{AZV3LR650?TfP~}FYUomGV-dpDs0#R9r3ZGxWn;^?-#x8L zxsTghtr&zd22wc0x`Wj~J-MBjHhO{+`H)qibuFXDeCt$z?+r>>VNRhx#npCNH}O6s zOk6u{X+RN+CsoP{_jsMr030g7X!er`I}uSvyNtGzOc;RWhWv?kw-$cAImAT)UpGEs zfw<(M3us~q%We@@rs>}m8Aw#)vkmuT)katnI+)uHdFoyONCT`56Dw_Pi_rmO$5?=L zXi-mR4qIqilnhwBF|-+vERSh2oMeVw7`V-iWo30pwi4w+Lt^=f$P*Hd;uTQT(CYvE z?lTDp&e4H&`)LD7!`KBIAE&EBz0r-_Ow~QV|4dL;-j=m)cGBKVT~*iNw%)9EF^umU z#DkJGTKW&1a$WKyFk*ec%%Dx+rE{q5#~AHqc#MGhzI`SMJ?PWYmTd|#%P5ex6s$CN zuw#m00%^oNODlTwUZ#rEy#Bv@Jbf($6O2V@%pK+^%T0oY>a6IXe~6d{ z2WtgwE`l?(f~NCMcvgQXB{xaA2+Tvc^%3Ua3j60hxF#Oh5yXSj@Cw|75oPN0FI6af zxRL7E!!c=@Lyn4G{)x}P$a58uj9OW*6A|w~{gLwU>sjF@v<3Tr-~xRdjC#i1a$zv4 zD@H=O6k(zu9jVMkj!{2ovQ?a*HcVy}hQKk%BI%~&s4AW$KUA5*%q*V#bKie9f%&?F zYGpa&x3dve0S$3v9RNQoRO4o548H|#K&FUMpgb&US~CIHqa?bDIV>QQ)p@rtgTQCF z&Me~~uP-;!Vmit{(zn zA~3D-==VHmA-@?T=8-%FJ6j9)k)H&?B7G~SsJKvb4F-ZKs$66)?SKMq;ju`gRiZsL1L^$i34}hDP=b1x}g9~cP)GGr53*$60RNTWy3t^71nADy5>g)ek zW=>&g3(mvCrT4PeN!XCGax>H-a6UxP0!Uh2jjFp<1)+%FrGtU(Byc!7(e6dLWc*d0 zk=Tay7kznf8d9V$2*f3K7ygA;Z~H4L3qdG7c~T&qPM^LzcbhmtfBv zQQ|ls_yGLPDx;<(C&jRLxIzBX^CsB)R>=XD2mGc0#r9|)6}Cd{ zY9<8p-1K%E38BgZ$q6Lq3k@c^L8PE9(E^}L!L((Ry+rT*gSu!!^b1s{1?UC(_G){! zL!qbyfTIfdiis|j(nIB{`GA3-!GL^b7{Wp=bO5p}lRwD$2HlWsHAYsk9C&~VAOgx# zhC_M*bk3t#79Gyi{_WrVnDC;3T*aUu)|$UD5b`ibnMuW8t}vy#3C&@8B2^!!DKdDp z4t?E+pq>Njl?&XDwiDujsG5>6BL9mz=U}$V;K)C|8kf1q*9YJJ#XRJsr5!X_+N&ud zPqsSi6!MX|i8~VbHdAf}1MnP1!9pWTR5UBE#P)*OitMG?X535a7BbSeK;-V7LyAaq zc}9whXR=V2U;nG?f+B)Mi=BYUf)<17Fr<77(2h*`f`FZhthvybr5&$aE=X}hJbNA!SLlNK;9q6N|erDtzWNFV!IZrQRcc9sR=2EL-O zPR%1%gNMv;8F4XY5X~$FDhsUhUi-x(#J90e8DHGGZP7f3VR#O?M^=1*rMB$jQH}Wd zrvjHjiyp z6Hg_jY}2zO+}cQ~*N-3;fgH7KVXilbhh}Y{6g(A7_yFZ_6!7jy(l)3PyE|nMG;IaI z3i9q#-JNc-{Im0K0f{8SHMHJB-8G*Lz?Xny!qQXGcKxKqN0!%~-xPFJ-|U~oTP5gl zuMMne+2QbPFg*OwU}ISSU?2gM`w1CsdRr|0-Hrl`ySKIb?oBJ9&BMbTqWBgtprlp| zQ?Nm%twc)CMV4D4=WT7?N_VPHy4zM~P?uFtqO68Jf$p%;Va0lhRnA;6Yeqwaz@2|2 zW^LDwbPvAAF)MN4cTo#wSe@+-K3~N{Ck5-C1S7{!?7l(kN5Pws8Vhme{$K39e{>wz zb>~@CXfbU?anOYpT<$P*)k6y|VHlcdV(2nLOI?K~-2`cggtQ*4$Lj!)ti>o9$C7S5*+Ig_a0X%n2vQlj#Ov4<_SDNXwI>&2G*B6dcou9Lu&g@noF|DJv6g)@MT7 zN>+PL&dh#pfwHa4?q>g3?_bjr(Ld_f`*GiW_kQoM?Rb4e#orBr!)63J{P?AuRV*Uvbkf=IW5+IUsr_!@f;RI*tdkwNirK%MtuN3Dyu zBGPB8cY5j;yivn@{^2)EJw|qty_ww|I>WOMsC(d&vpzJZaV!?6R&g#ST|itU#9cXb zSAfm}SV}*%u9@lS9D)SDfFv>1;!-d88$cbFuHo`hc@mAUanR{Cu0i&q)+k9-4M7|3 zg9$}i8cczBrBlvrd>SE35GO2ImdKto+>?z2;juC)gHN7<|7zNbH(GyEy-ip@vNqMq z=+th<7@U!)%(hOK*@dub;$!hlptuK~;hq<<<(3)3VSfOL+k{rQG-XptVL*1q;Nzy8 zAg>v?Y%-mxip1vtYQ!N8!Z!3AAuc1DViS~ zlI2!vFHcO&z_tsHCPU07El}@}gtI_?Fazo4rC?p8n`~Ljh~UO^UE`+8KuIQx!mo7+ zA19;1mcSl{QA$7uth`+P@E)V5nt_l1tlHB<@?)H!8wQXO6EqL5&2M}I(!1SgUJgGt zkBq2bsj>$62MX4Raj+Lh#a=+ElXFsUK*9&Oag8-w4E0yIsB5zUSn;R4-BDzeS3DUi1~4!J_FDPO zjL0Ta{2c2$Os8SrbMCu80$-OMM~)eI(5wb@ng5A>IGf_LotpCbRE{uaux;TXTsWgT z;!h1^WVps-gnau*8hZap4Kf#iV zk#uQ_ps{xJZ$5mhY{uOKb7}NW!_%(6 zc;vTGxUH7#`WV8+jg$_+pzE;+pEa|&;R#Xctm)|z^px36D@OwriYu$h#NA1i!< zbHOSFqpfqCTQ>dtK{z zU!gk01A6eBv%r8J^cxvTZ)p}dCpRz|kipO|b@c#JPxez%QDewwt;dxOxe}4YTtHf7 ztW3aQ9>^~lQa#sK2(l?Hss=alTo$~XEITgm6+OcVzGU9L719Ue38Toh?m2(x&0Jda znFPLq;L3Wyi<$SX=ULD9c@k8En=~t{jsP>Lsq`4xGGm0ENXrnlinWv> zljq5GZOA*e1X4zvHkz4SOUX2biTsdqzcKPXA*d8NbK}z8pBNC&*@quLUAXra4!Wek z74P2KY4Owj>&i_J*zftlUMyT~pW1cP#as6*?!7CO!soVo`Um`i3lHBv%gKZLy07jR zb}zkW*ZX#9wzzbk^MjXmiibY-2It_n*y2;X>mB^Uq3_`Bd*G3g@8altVE1vs|BZX_ zTfXnL`^MJpdKKSn>~1%I(A$?5se{o{5Lzd2zL-iUl(fK zedR`r?{fC;OG6rE`8Ze@c~HIL3dec5Wy6chI6uDO#Rg{-%8}e6k=x6wd8Km5E-TUK zf*8jd4bx&{^0+6?pyxz2aoqE?lUP^~A}=vD9t;3E_#gNRo)(*j0TbYJbmegF*}hJQ z{za!&c6^I;%=I!8Kzqhnq=#@QX*53zOQdg{RD@7kHu9CEN5pgO%RhUIF=-kh_#V2F z;tCgW)wS}X&2VT%=z&ipTGzq_7>5vigIs$df%{0(07sM-lpEMql<{U?OJZ zLmut4Q3oj*VJt|CWSR41nF->vMLlp8ZY}XZP-R(qS;Ac0^Y@;97diu!Aj5Cld!_wi zZv)7~InQ3L&xQP4F)lfbi7#3i&l1-fb_~}Rltpvh=v7dXVaurFCuy zJYU>|o3a-ax063|F8wUteb?mw;x7CkJr)pK9w;xh`&JNwOs#Y=E^f&u-qCOj<4^u# z4=a;3*1#MbmQ`ckUzqc*W4=E@A+h!6lAS z<(~{450`GsfQe2b=d0L^Sj>-&3;+N^t>S1ZH*tkMa9F+}{JCMd=xfYZR8^1w^y8Qu z0Nk%6r^`iyW%e>YMaEbt3rcB|F3?4p2bmma%bq1w4H>Ep1oz#c@Z|=Xf8(#HM9&^u z&@i9qhCII*L22(BUPV*doFY$EH@kiF40d~{^7Yq#S>k?i-s`i`EN|WY%{Lcwbn`5F zBlEg@7HB=U_ZbFG5d(yrWN+cDwtIGQA!kcR7XfD&Zk`9vV44GIaB)Ixey>$UKVs`= zM&4W;LewZ;fTl$^I4sYAcGzaU}pE0BZ#U!1yid8l}T-0+9;`Y+4k|9Mzn=S$yX#2FRT zUZ0XdGejcg<@4|6eBZnsjwW(s_i+4u*0y^ekra9Pl4k049^X#B{GV=-!`X4`<^~}` z3Y#{6MDgMy8&5Xq!T7g0G2<8BI2;#SFZa{Cx97WDgH$VcGKWQW+<9)L`DU0lQzK&G;O+ zAeU)e7BF}8P+0^!n5+LQ-5gGxEjF^M-2c!K*_uw7!PzzBt}fUAlYO_k$_MWebhQ3bfh0{ zsBwtKeB$fvfTaFJHxOTdxrvME0-oV0EwL)|1v3bi5`4lVzZc>tS*m@<{v$ePgZ^v$ zKe|PRsxeG`3=;yKhRR&f8XKY75sSa8F`4XRrY1cF3>vrzIA@LjuHivr=NcTp;%_@p z2YMdut!YnrfJ8NtVHMCpSl_c5l9QW-DDW<#;W<#TPEulp6VpJQFcf#$9nN&O% zI(_Ai136vOp5^R+=i>}xqQ#Fud?{TO;XmP-&8ztH7c#rdODv)+(;b$Xxj~68i3{6( zT!AKBz+tg2W0&J3%q(`dF3+|iLna1?Ocyz`jFRFG`2^0;e_0Fs$p3s{q}|MnM$5)8 ztYq?o_^S%PLY!u%H0MGY2&RnQLEk7uRynZC(dc3VHxSrQTpNVQdBp=#DGKoup8^FZng zvoH6JWw0edm&-geT_J!#hRKzs#aEi_i{Gi-9!@3=p~KiMLwE~H9Trt$9AwiUFn^?VsxIEUJ1k@CbgaG>(oDe4mw zODHs8`pm}3+TaqohrEiB?~v41Nc(sca3idkGTS56*CK@} zFtgfK`B5G7N*(G0Ex^=jnZ|Y1fZ}045GP7(fDm#Pt6P$CjJzPe{v6qp_U~=>H z0-!@YaWK|9L<+dxHhcwQV+ntb?32TDwfD;4gY z(g599so)PU?hQUPji*4YRUf|VVS8jCNYM_r4y649#GN4xXu`4p!2%Btz5^fnj-&?j z$`}Ft7P;Ya$vGC!Ys`R>NX(PS4qIIGG4L5r!dS3iV%;Gd(r|gzA>1LLnZ!Ebza!?5 zzNdPGF^iqO(l989xg^QRFqPWG3q467mnGf-cd=2)@xbOj#IJMqI5zvW1|b>i+~knz z4RJ#hcGM z0TUDPl#CNh1t4f2!oEDVRLrK^Gcd*^bd@Xer&{gLVp&v#q&lpZyXSr z;H298P_{^8cKnq?jo6#_w(@_WULwsDX%)qD$#vzZYPR?j4Kj+U5z830@G59L!M>X4;<=Um3})l8cdsA3cvhQp zI-Y6O&S9kDOJRNQRho+$absz-A#oiw&~)tZTD%sKE5tr;NYM&e1b9ct_KVrSU!f$x z04+6wjQmJzlmuYAlXcEa;g(}b%CVl}85)!IHH{VX>v_K-p!`RQ02)_ZON-buZq5dT zK|4Xv!Ya+iF7Zo48n!-Z{xV^6HJEnmc)=-{fTg_1_hpI+1tx$oUV>>LB>60^e468u zfC|0=(_-CvCTonD#xy@N5ERikSY(QW%`>>^7|<$cFcvv7-#Ka(wkOUYl&g^22Hd>3 zyHdE1iSe6w5k_}jYu=uFZ2iaKLfSZ5680mQqbufjJYrBoVCP~YE&^r5Ie`=UWTNUR zSg0%JWBDJgO%{a+KXM(H^+xy!5!_(v4Sv*YmjZ|`ZL&{bu_%I$q;A`^QR zO{Ajr8~V4WyS)$_q@%32o&GVlYTdk0 zm0h%;Cek!A*+s9w-epwTq>H2cCf}fqg6f14cVC$nS7iQ5>{mfp7|1XBHm(ok9p)Sv zAc%^#p!Ky`LKy)G z;Brg!${iS7lWH=M_P{CyWf^>ki9Bn#OHU$b68q&raa=M*w?!i2O8uy~{7+h|%2|UU zn{02^6t+70qy#IZ*g0B?acAOTV4=w$spb&Xm49{UM~wKY6q|)QGg5}tO6((aAFDZ} z=uxzuW00Lm2g73inonqP1iWdyH!!pd=D<0HL7lT{)DE-AFc)@xVI^X_M+S{8aexRQ z7;N{Ga+Q*zzGdEI6KVO?c;Lo?ec9c?YZ&53cMb7cY}(VM!m|CD%HPO*^}pvu!mMA; z-`V&w8Md4s$bI$Hu1Wj{E%=3Ld*x=ztimS#1`9q{e=ld&XLil_xBZRh-OSH*W~9ip z_3jaQ=x$oCUEBR<)J+rq4b$--@5*Ob0{;jdTjZiw;z*DJ*npPDIr1txbJJa%8< zY~Xp~X7HM~d-1r~;lEy3{NMaH({8jFQ6d8~_eTEYvGGjeu4Bs(YSbQUuqmlxm-C;gxSVw$Qm)~=?U&C&JccYlUW16A#Y$A9EH5QBnqx?USDTA& zUQ>K;nu*>u!@DJyheZ6!{dezEe`f5?2sN}thWUA&gwAmJq&L$RAt?oE1HnNwqg}3t zRS0ImHX;F*turZ88OXI#z5q>NuIFGr#u`ObfTAom@;1NHeQ6kD6|iHq$X)0TQz@d zBfpmPIRi_mE4!q-pxH6qwYmXeAh6Q?Li>rzZtA`C)x$fs5#mx~khXQJYTH}YW~l?A z43}}Kqcs^@ff2Zpl?LrkOoWgWSPqX?J*EM28{-NA1~h$^dO#{NR(}M z)~@(Zu`%Plg;e1|jQH@4JMV+}lJ)=&0=I*>_Ia~TWY3~7-kA7U4o-`09q(I{6!B4R z9jG6yuR3H_AgYOsrP3UX#W?TkKG<8h!zz#=HfM5~*RZhs%qu8CR|b!LBQrMm+SdB^4h~#PWxIsK#V<#TT58 z&gYZi(x?Q>bZOb(gID~MjTX8g%SqEkmTez}N#P(UZDI>&h}ExHlYS*f9SY)c(PR+? zAp03WVb-K`(1Xm0K!H4IQf(uORraY+H`>$R8Gn)I1|70g#0<8vGSaytF@66y(M5qG zGrm$y{|d?wdJR$JIZ;f}D@c~C5;hcVlfBdlLKR0>Cj^FiiQa5h{Mt+5Ie+kT^#poT zP!iIpvU;8vy6GhJtZYDpSSKiIdOWB7jQ(|v1h)(WIR`eWna$WbFiy5q31B3~VQekL zSNd$$kI33GU{5m&V=r&N^QR9`sv|_T3=boz%4^{G{FL*Pemb%ZFiryx5=&Phr&4Cj z!~%KJYLwm`G)w%%uQ(@RR*n6`M<~<>eE@hkZ5B}eETb?14~x%OG;`njO3(x39YUt;B<&w;6j7jp8D zOlIKF51a0%NyOo84*LM1@%ZetiEH#bqBk2$r3|82tFTW)vRYm_q2wsQmCMB<0G!oJqct7)xSd6HKqySZH33kV2Y!h%=7Alzj6IfRL&+&H6n>jEI^X`|I%l(UEFqe}d$+1+Q<{i?c5b!di}2c#iw>OA z9wQts6H=}i77>M6#|#_;+cw~1*oORr6(kcksdyBsOwBvZ9XBOF$Bf(gALSX84iGCi zN8moh*a#9Eo1;5`xpwTNC2W5W063QLe*l9ST@>%kQwX% zy?rx@la5g707sp4vIXC)9688Jk988IZr5bkjfVfRBXZ( zxd;0m9aV6hC@Kb>lf)K+Y%!{m7vK5^SKsxocvx=Zjm)+?7tSTEj+sv7oR)Gw=v%Wx z!+V9aQggKICTy@ObX8P>0u2>zRn~h^4%(Q1xJci5GY>D@vA-={KDbXd|M6?0y zkP>yMXel@65B)XVJw>D=u@aFKwo$)v`fP5sTn`Nf^M;R(XwOBO&5m^O&@N9B$adV3i<9xTTT+~21Bn!iKfm#eLVYJ5!KlCehR;0)?T6%Dz3h`VEiPa)ugorLQ2WLpeYLKVG z1-7c;V5{VWtJTp~U55{b%?tHi_bAy5$LkPW&!ZvCR2Q_2Im*D`r%TJqgR?VEyz`6e1B2Oo!t-0s)VV4yo?$o_$z7#4h9Oo>S|N9KrDuEq1q?Wd z>#0yfMq3e?*`G2*T~+|Cqgmp+ifrt04g#G#lNVf>v1;>IL2`yxR6XE1tNxAOers+J z(KYCg*E>;(M!Mnsc`F0mmKWRvNKWC}ir=Si8k#L$*Us1RfDP%Sf>s&lxv9CZU^}EG zVBv{u$FH7i7ZtXgZAz5?U!*>O{24H`=SdHfCeO_0=AS_Hb&;A?A7Y_ar4BG z;~c2hyvD>bxi)QmV#EpWjp#5$pb zOuh3CnFfZin8XUmZc>>6+;)J3mq@YXUA>)d1FM+}WEbjHt|eA>pk4cW`99~K*-K~s z((^xf_@lwz-_vR3YVN0xI2*Pm>H8zqMZH>$uP2ocWM`x8{Y1q8lpjPc83ws!ALtI1 z9cX}JSe_m)vNoOkwo|WJ2da(LBjE{q^yR4UsRMgS6^pp?5?{uy>tvlT%3hvQ`|872 ztAV?2cZiB%$9dXmkjboe-no`|h)45jBmQR6_X3dUkyNK6&q-*1e2? zwH^!tsU2;n4v+15?Ot7B2OxFRl)rB={Y~fPY+lcFc=)&f^yTJjdyf$M@EzvjtF7-K z)xIEq8`*m&Nb2>aBgB|3Z4QYM)+R-hjtRT5_+StnVoGK&fsm+!;Dxg%DMWjEhv-V@ z-tA|6W$mkuEkq#g%D*cBcN@rhhm0Lb6n025^An{;(iVWL9+3+?gkR;rT zfJ5VB7D=wKEEbnF;!o|CIPU*~(HjNoQt`JP=i{T2gR7-(1ZVCE?};9`?dWIJn06slGk9!8f3T9Z zuW4<5{;#Xm+R#kv3$KhNXR5CrIWlIw@O)oMWZholuKhK$byt1N4qt8EzRzh>4q}q7 z*xs`Kv_(>{ib2d^IC(t;LabqGXjJqs7WYNSTGo?xbSD2bl@pqz2*6rXMJ;52;~uq; zE3XV)PbqS|e#rRvKYxhSV@V3?2f8=@@bJs&^=} zc8;7FiNlNgTix}FJ^JF#LZVwI`y*;6_QDr;o;d5#~X(lQSVSA{`7|y;4pw#wR4LL*Yxc^WkH;k);+(S{&==h z@d=wbxxrs!s+AFvb8o7x zQ!SsAPL)%w=MpPCfwTUG;;3YT!{0)n<6>rrl`C>I3Qo)pbSV(oO`z4Y`f@QN4IsHC zBoqL{+JL)GupSfo@lW4R@-X(JeXO-TMa7EAujb}ELeeK?so6UIXuaHeZ}ncp&Ety9 zZfKuG!1<|Fj6Oi=B?qwvGfDxGB3N)w2CmiBg0`}zQu9<-4^~U30Q0Tq4;^7wkU&h% zLc@`<#sb1{v%_E*;^e%elIt3N0&|{+~+@? z9zuI*^ct8ZnfqiyM#jiG$j-#hTv<8YcDEhw^6gpY+NW-7ZBJPl;B&oex9@MgC)KU+ zxV5uw6l1i?Xkha3Xfrzba-xYlGzG~7T82Hfc6hFaPSGyxR=DJ}Gf1=rS>K<55CPbi z>7HC8gUdv*Wl(+&o00-{$~1c*YlQ=oh%N+zd8#-MX;5r*7=W^+XWKjf?tvfGB6*x@ zkt+KBlM*>~6aicWIti7d-&nt8K#Qs|tbV%n zFogHFjMnKTzf~1nXYkfF#4lJ|$oLcKO=@OwY27tyI=3dp6HaBU3|*$8qQJpOdQ>=uSBOvnnD;r^VV8fTH4$p-w72qT(e32 z4D{3Rgg0p&U5IMsaFlShyC(eR2DIS8cgKzDfnaP;%o6jPzou%pB6{k8XE3J1ZT%*p z>Y*{IAY92?CcY*3vTRkmBUWu)J~y|0F-P=N&|Hg9vx$p5u&7S8v$4{O_u-)Z7ysc_ zq(-N19sQj1qo-OV8N<3;Y9X3BhSx|~RKj8QdOQF+kb)buI4-i2F<Op-RZ6qS~icNuA7=r!t-5Ol;cpQsrx-?kdgZE7B zyZ1?+uK9<~IA0^LSN)h|^!ghK$VemhXUo(|mNycSk%DZo$nEv-7kBfBg>vQoU-XM) zU>`Um8OVNT@%y>UUdtAN&20zj@K`7P-r$ z*z(_&fNZyoKajQTGkd86{rXi;?WRh5DwG^)yUETz>`Be#KcDx0s>qS{2al6o?XTZ; zCNsz=fiw+?^cubK9=qY@kjusZn;H}&lBA{*T!i^R#b&vHR;a!b>08!yYdJBF*4cv$ z|7cc_x@fNA(1Gj>;TCblOTI;1r%RyknDP=a$Kjtdj);vEWEv4C5tBg?d$O~Xrg#43 z(>&FPaqE>MO};SoqDB=j(lwa7pJQFm3!EJ)+kHVFt`(tAz5X3-4puGSI3zFO5tK$E z?523h1AgWoy}6}T?MjZ?p~$KNvAO4~cp@+PYMg~F;(Bd9p*shG5DDQig@%zFy{9KS zmL9{K(DB19fN4D+1?}T{rsej>{kdrLW0J2DcL@6FA>2tuU-lI;f^7TbcEAWaz%Pt+ z&qgDPfy;ofB&r%k?GF4%+>!MP(gxfRf~buZ1eQ*t$B!aUQp4z`-DlK*~7on%fx zwnR;11!6sbHCV2O|HcYS3U-h}%ct9T-_b_U0S0Anm}gk%Q+kCyOL>QNZw8zPYq_m% z{L??2n{o$a&vP=EL8|MXDjl~v6?_Dv^1&R#1!IX&!MtdFIOpCj8ei2ZDRE_iml*b3 z=%z^Yq`JtgjQT)$uL6<5RMa(%NxFk?0E(PCK;2?>Rh(f_#N&h*ObpGY(JBnPz-_m< z7OICh#LVJsMpym#7HW?T`=zthcRiM!G#}3yF}viPyb{zF!sBV*bHg%$bYXyUSnuYwVLr0BXtPXzC2}hmV0td4sbcqX;fm2{Ci>64@#fGXr>yS6f zibKJFJbgYe;)N6p3JtoMKa?7^XmbMeMF~i!&M^JzM=;rHEKv3El_cAfmeOBp>rV1= zqQCtR{876js=t)Yg=0yWvFMx?g=-Poy{!9GatI}s+}0X%4FCtw z6rR6*1hp&9sBans{kwR@HBvGV2JXu*ANps*SNCo`OLDKIW4AW!;R(ONFp;f9s|E;k zM+`8!k@2FsGwu~=$NDg}1B|0NRJFR_X{2^G(|#dadbFBT%A$@@PZDZLE^DwC1@hL; zlb4eDWRc)hY*Q;XnkgP-uGjZanj5jFA4uW>Ra;1KKYJLx)2yBM?`q@UMMEKrHm3nP^?-qL1?Mp5Hqt#aul<@GuNS*wS z6U^fKRU0akbO?#XM1^m=%3PVqF8Ss@Kc?05`5+0hQPHO;!Wk()^{BX~6{6oADCOhm zn3SSSM|>Lvw6=lOsE4J8CHC;SpriUzuGV24m+IuxILNMz=+Vu3{D@yBUs(G|^h9#k zwXY>F>22SMYM=4^Yw6m#^yTad)p2-E&s#Y?c3_K)2d)ycnAs{cT#4=*L9F4*MWStE(;Gy`U zA-y^=Y?iccIhIWE9i(StK*lMXixhY@m&nj#tUf!9ZH2NwG)vR4xWw|MkhpRwmSfpQ zv=1}r&%Nuz!7$jLTcz}H^(r@4Sh_|md&Q$6aIfdu%4otIY`ZgXtQ@m}cGD}89W2cf z<-JMpUh!qf8E5MW0D?ejsq>Rf)=j@Huxy|qKNG0dYH)XVC%C4qw$zLuMC7@k>zAfI z?@mjQk|6=754N#J!MMt9Ub@G3-th-NhCOo3V=(-WRLg$1!XG#hoB%g0{*W&N$3>As znHL(9F~=|u%^#SL3(qGxA1%VtWf*V>b2*VoWe0$w@m&Hiy(j@1uvClu)?{}ok8a+s z0q+!0s{1h#U$rx20&Gw0=K!b89EsuDg}Xl!hdb50v*v|c7mkM|`&4^wdtcoK;?;vW zBkz(M5U$KnX-uQEYn4D~Xoi~@gZY3=FWdN>7BvK;GWgwLKV-6KICgN}?89Y1e8f+# zVex3orI?e6yqE{{7!;B*sNmm#hz+so8n_wV#ZHL{2Cn0p9HWO_ z#3H)K)XEa^yXFE9h-fXHyrpNi4dck2Z!O6TXqHh+;c8$vTkv6?t>el?05*75&y!Y4 zQ{+5Dp;##1`35b(k9pW!F`SBboq?LsJDQF!G>*!UC+TrA(G3|C)g2J6j{Hs_zk`cf zj5w6@LIEVtiZa@x<@P1#r7w})g*;V&`SRqLof?wVa4qZ$@~Ow2XaJ&zi*N_`O|i$w zsj^lf7KISP2uP|chLWUVyHGA$6OT9DE6h9REnxtl`F#~Jp|0hAsx?@iiA~rCo9QHD zY_O2kk;5-;Rb#W~9dKHp;|Hp^4c*o%ER#@%rYIJ)=hEeFSeE)mY~gGHGQuW2h}IZ^ zQ7|Wltie-_6f29ovuhy5DB(;7XJR-=5GcU$MR8&H;3h>rf!GQL^Mw01+UamPipWCq zLwT~CB%>g16mmYlp#CCfvGQb< z2$K>5fUUyKbz*e~m>kLWw$;Y_8<8eXZh_Fc@RE?~&O((jRBz1u$=^4h%KXqUp0lf^ z6BU=gp{-hSGhVb1CZ{Z@$;bd9+(ZNeAW-y3v1vHMR+wby0{KlfNiCu1Ofpr5nyv$5 zH(y~&*wa103$jU_%4N0D!U4mbPZ&BySLnA5{UOA$PsEnLV(;Y(2kC+kXHp+DjQ+6Yobn8H5z>tc#`gU&i+Fg6fc zHPRIseji9ANhH1^7TZpgGXwCZfOHmY?TrB2Ll!4y=Oyy3bXc!#Ccs?$X{qx&Qv#s#>VSMOGi&Wm>j4&Ur6wT7o!9` zXCKys10?Fhs`8{?yN+O&;iHI=CByE7*>edoM%y}_$yKegIgZZ-OxZPY_4V)+@8Tbh z;HNGJm;F9GTH`dXmNsoN!(pcIDKIvhPkL)ZqwT9fR!bLjjmjv_*wfAuCK63WD>8oJ z%MPk}$r<;%dsc4$h+huyBcOOv^;zdD`5g^s#ZDUz-inK<_!~o#>Gfp2HS0Lh+3fM_ zFWvo@$@7=@t|!-$@r%t@vtaVh_JxTC-kXQZtuL;R6u?-92PU8cfaZ!<_+sLZ4wPwW zr@`?C0HbFO;~Tp4tYhIRuGrkPwJ!iO+ydS0jUR>$PGTMy$0eQKiDUcCJaOVN+&vT+ z`|yK}A4n;`8^|q5C%1wRbN6zvrl@Z1Mc0_Ceq9P(7pIS{58)2K{!Z#7Q&Ia_|I>2T zJPGP92cvrqI4j{a1r1joh<@WAC0DWYEJUaB?KR+49OmDnaqFzi?R=?zLMe9SVT+`2 z_7mIqW?k15s_sV4ekwaNwWDVHt%rhRv-A#`XEeHsgZX?;F5&KZe-umW7M6!Ce~e^s z?YEAMWGH|^ZQjeoxjdILvt0^dNB)viPa@prg2R%wZsdV%=~-y9+aEuC#o7EjN+khx zRlIZUV>`}ZsVKR;H0w}>dnkQ?G;|+TIK4{Vx_>#^c;d42>9;GVy)ya`HDE69EoEnu z-&}m~)%5|ey)i4bb877xH>$X%v;+kFG8H(a5bXCd#4*g`ku#G6B*0t|Pp+i{c(G2J zl##cFXY)W%h8~>dT7r2+nPl!0yft%doXwr)Ch82hAIKJXHSsvzK5*=H7+!54<1^KK zNg}r-e?fdH?Rvl&&bi>fuRy^#x(GbM=1ud3~5~oV@vKX`HH-ytfKZg;4dqf%R7>$j>(HI`e1!=JwxN} zdyGgtLP5!A{1Ng9+RZjD^Ny5M?o6}=JreF5c}|71xdaC=-xveHc^N7)FG0&}VJRg{1{hzCip7CLlCI9c)@a3R z_^7XWcpZ)4z)N?)W|X3evZrK5Xa&ohyo@jlZZGj3#W-6ea71W|ctkPIP?j)&U{VH} z7++SEL#;!LvL5t3d$OJG{EzFm+^dh5*nF>PWORzqfUge>mO!^D1`g<5yRLVQ1`yr2 zY?Rmvc>eDHuMcvs!O;;8@dHq*C&P~PvB;{B${x(qjR1eTbx#6LGnXPC2`)*AfTn0a z*oYWL6c4BtRwvX9=?Ot!nk_YfKqy3V*$LxyH-4cjqJ1gC48sM zxH!w$V|>MK3q{BPv)?g$9AN~({$zX+t$oeFqZBf_W^6IZ_(qWqj+U_3u#q#gCUy)& zW@vat;WNL2Bu{}ENwvwA!>~Gy2)^rEa_fxc!-%f(ub|#A`s=&?_*Ax(Tg%&AIH|3c zhNs+*3;0q{ze&Eg=DiAMK!l(~GG?mTuT1WNxX2x4F&L09UNneqkaY99?k^yTAj;HZ zq)N#QsG3;o;0_3I)iGVd2r3Z}8)_5q2g+|XGm`WpPd@24*+*LpEx;8pXp{VRF$HLZ zSr|Lw+Vj7?Ek0NOqHj(0Rjbz!{J&NCqB@qHr#gyhcrKN>M7?f;wv%jCWa`Q=iQ;Y8 zp>6bo1fd)y-3r-Fkf!VciFb4Z`fUt8G4h1=MiQh?=Qv;<#m@cZ#Gsr!!`D}=KySbs z^QOy_7LN+Y_H3~nar+qe7gl{g$^tA|can>JnjIXw^?U?Bet0xXKHb_o`PD7wgcr9z z=U6XfKkYYP%oqZp5w~&oa_rVW~y+RM+Lwy9&;PMX;w3{2t?+I)$Urv zIldC-d?Z;(4fsi&huIz8IznA;&KQA`@tGh1nKUkvrEkm4t zHh-g`zH{O% zDf-@U*&A~E{XmAkn>DykDMsZ#oKkY#sfW&{-`(DR?A^bFSD`ixq*-3BiCT#r6NM#% zIwY=MUI7YR4y2GF)r%t0*wq#IXlqUrvm$RG3B^BBLV{_yC{x9Mu-6h|I9~SXKCmFI zQq(LCE7P?WSZ#c?e)@g6%kzpCgpF!Y;&WV%B!Y$KzDOGr(_|yAaN+K2 z&@4_BeA$&lH(zcQ-D>O8-yM5vj=YpLOQRb$v_laF<=_!Il_r`_WE~3`-?kB2#lIzF z&z96yG*CPmX-yY*6bO-s@whK_!aVv$x?>Ig1Ph~fE?$uU$KH5bQe>yR6UFCx&-uVz z*EMbm0U8tuPqi;0vT&k0CA|tng3Jwev;_=3stGLLZcocQ#X%FOA!?rl0YiYAeKhU=N6 z%ydzM(NL~|jt=GIq>xgr8-6B8yo25O`LkA{5wtehaDEaKsdevG?Cg7<-@!DpC7 zD^ig-DY`iL+HNVcpQ7Y8^3z5gcl~UZ!lCcjzn%N5;(Iu!6-A*LB4Ct0J~X2!ESuDp z&{AQ;pdiGub}r0r{kP8?7OsOeldyy0)v@e#$&&O``EnwUtmpfCzdac1ay?_3`A4$gpVt1`}Jih;;&vpwo z3w`?-C+uN=L?sha5nKB%G0L@DNCxnCai8Koz~I2*^DSrjn7wc|Vc)Ht3og~s2Casp z57gsY9@Z6p_3j$@@&SwqOv_keHo*5G#@J-@N0%Klfz!L*ONmFQCYZ^>`SSQStew^~hzxmk`&XWR&NM{*}$zOE`ERJL0=< zsgER{M`VYG>BXO4>fI6gjT2ylsrKfRS}RwLwi^2fOFgfaY{O3Ci+*kGbIxbe`e%Kw z4^v4;lEVQ~?Z|-No(=4=etpb)P_wcEdkx394g0RP>nWYA9ZBn-o=KAj5*0-UfJ41Jw>8>I8<4|iFQFHsxKUyEJW+$!0)r9JEnznHCQ^zFTjcS$+=$t)( z6-YA70SFpPmmB)la!b3WVmVMxS`oLBfRQdm4c#VJiY3hMy-<{($yD zx?+|#vtq$tWy_0^Vl|A%477U>Id3{p)&M=(nziH7JHGp4fQOy>o7^>)SQXvF!T<|& z&DzE{1$NG2M0qgduoR0$K|f50u}k6AV{8wMqDYq!1(P|AN0DfC)qmVCL9lVTi#c%W zg1@iLEF^fIV&0@QH|dOIhw!;a+i`PE?PZP|&Z5hGtQnFx=`_30=Dzpkcm4b~-@H|A z#T`qQv0~2#XP4c4)rVuVe@D90%Jux?xJHw4O7g9qEKL()LNLTFPK-Yr5AwfRk}tb4?8nBBWz%rO|gURz2lFd(Uh{K2l(UJR!j}cvgfZX?#-K0cZp{-S$4ud%& z(l|f)U!Sbq#uy>tobbfT!5nqalR%H@AC1>-9~~i*FIW`gl7os6z#cs|8Be3#oYVtb z)fciy>KE(e2Tm*`cDNJsE7R=`px2`#F_G?u!*t5~8IgQzg6k?c2 zGb3VYOoQ2Hqve10&!1z!m%cZqAr7Ti z7|m*n8{+HNvqxJcW2^qX9BVO)NsJfi6h7bnrUbL0J7+PQteqW1SI}7QyZzBv#t@B& zXV0?c@DUt$faVwo&3@3BTYK8SRXQYR*gHZpsF-YRE;ll`Afr7S_1KDHO)8u^k6oZ+ zmGbjf>ZmU4=!ke#D*JHxDxaBIAN8P2UjgxBOMngLbO0*kR1pB4_Nf_a_UfFlEh2*q zCWmf(<7ghD>InVVb;KJavrLB9V2}*q6|u?Gk%fj|!hO}&gj89`I>sD&ond_WOI=nfO$7lD z<3d{GUUV6mj0aL%x&Z;fh~yDr8r3UVquQ*~dL!f)+u0#CTFzjxWL7a5wEc4kIvk;O zw1`H#aCEj0)Pa9j1*UB5jzE4&t+ z(4>2Mt1k0}MTSXhM>3$1>nV?wA4PL5qYVQ$j+Hn{D(6b6#p7{j$RIebV&u9W@UiJ* z>PyOqx3)$_>3LxPem1&EO0Eo5RtAuP7f$26Uw8*Yn!_WE)Z3^A#wU)H^~tdAAzV7g zFV_==4ZcBzo8w7DA~m*y`N?Eur)LFr>(5? z(92QswYIfV9j#|qsy)x}w`=FBAx`nVp&QkzZ!3xjO!%Xl;~kQlQNyPjy`nX;2(I8D z;{HAnQzqB`$*BKrvBhWIZ6c`}oxZX`?WxN>a>Xa-$wovuQ|HOxn7>|KPVBP?Fl!@N zDs*aghJ$t%J?Xn&&7;jnfmCgluP7nePmDbI??#S(GJmS-)bMn{;^i$wJ@5EP_+U6V zQCAuw#f%ZKxE8Xsx|q486}y(T{5@m+>X`;PUPc!5iE4OHb!wtM6;9Moj@PH&m$}K6 zD&F}flwqfh)`CRg7g3 z(UIFWaOt_Tzwyv>-SYS5sOdjD; zk3p?>sK!5SeEgPEt@khIr(3vTy;iM0T#EauCEoprF;vDjYKNQ`o?klzyC^U|s)gg5 z52TE5eolyrdUawYPX_ct-{rG4Joco!u`pWHn)xL2iz~%P6CnujtW_RxW^TfJ$ z`;q;(@qj*qw)a$PiVh9dzw7&z?RzLv-+HZH4`|Pt!bKlneuQ}HDRauJjwAKP(I>C# ziuqwuFjil40suUc(s)Z!oSc?mC{ly3q-&!g*HrP2Us;Gg;%^=zOkf;$7BJpLy5Y=5 z-i^&MC+GVaR)08o`l>&dP7ZwHQIvqx!mZekE(SJk=XP^%1`hdN5F;n|FdV4#Iw2?u zJ{Z?a{sDjGSj1$kPes9Gg%tabHb3zE@xCT+#s5@SQ{QNr&9Ar5)kk;yv+Us67d`X6 zdw*|S;fj99*?Dh$a)c^xBUo|Ob7F!hFyuf&05CYjCdfO*z5qU{vg0X-3wy3bV_YC%XjXhW`B|JeHYU)}0b{f*!m3k40K>ae1Fy#~1p9gv{cq zzD7A;Nb?T~a7Ov@_%b0}1Ql?w6ioVgptRY5@ zE)cxPX<7haoD5}e_Q$xF(eu;`IcI4_A5tsB#1$V3u)MKGnGa~Aj7VxBJ{Tk%V)70I zzdZDf;DdBcM*Yj%GVI4a>*xlq{RCqG!bs8ZUIo|~48AQR&vGDvOi$u9l=1n;TG<|b zZ)DxrTLqBi5^--ob=5Jxu#GGH*npOj{#P zdPPZ2q}BQ)A|a3r<_@~6-I8143$a|D8E{BNtq>80vWrXgQfx6H;%9Vy01` z`V7@aGD#u+KZ&@r2FL_S%Mb#-7AMd}W`hS|WE#kDnu}$Q2&O3NBVpkHOCYxlO^$J0 zk6!}Xf9%Le(o+Vu4B21ta3 z@%az5n1^lS^Ify`h3#D`AKX*{|9BSGLkTTliC*%*l6&m)lZf!huRj0bth7==R4@iN zhjt?hWS9ddIz<#5Yl<5{EnE$tw=#a?wSSmrCoxZe#S`G-dC`U2?WlE}VQ}nKr4npy z3|t7&A-<*NTRpFZxgv@(t(zCeQgzkgb~~T{JsrZcsWqV$WSHZl>G}UVMPcV z+HS}I`}d4a?LmxuJhSo>$XALW0khqlfVPb0G|(Q+Z6Z*swuEg~do##D&Aqps+F05k z$j>;Hb|W;In};b!=_) z`r<(c>NcDqbE2B~&Fx?o15z7LAYbL!qfnrXrH%QvTPgp{p{#t|G)}x#x%q&snNy*T zq%x3Uc#|Zl730*YY{AfNrms{TC8_r%n`^FdaE5^Vbr0=!CM1vHui7Otd`#1IGW=v~D|EZ%074p$1@7T=%<0CG+2TLy>U82;NX!e76GnZW;6ak2w;B@N?bW;^M{g&N}z))VIAb{q+`q zFP`9@H_@06$mLCd*EsUU$-dWct=R0T-a=22Zl$)?GTaQskME867PNBiSP~^* zaC_rxR?b&>iNOgrg~n(#t(>Rw(VR9p%}^m<7UY*YKT4zct@sN~$h$iZVau7ti)*=+ zEDnLY41dVPoMFth>1&f8|KdYH{1H1~982y_o5!NXSCmvs( zy8d`FlVcp<=Tw6fUi3RQCW+-Kf4J2~xo$q#!jFE+!wctV$J z<>h$0$~;j|JZ_+7o%}ovx9Vg1Ry5Kux35-V{Q8Q&m@#(|$d%U1Oa4D0(?#Dq)ozwD zKO<=JWa&Mja?K#X|Csq4%q_S+Xc69VXw>H&cxb~P>?_bWqk%|MGf`re@}2g{uuOtu zH+j^_6TQLyhJHPHt_|Hk*|vXeU?pdWApdd<^x|B?^#3x$vMBmY^Y{Y$x4C0G9_w7;f}#q-K7fo=k)c$fVW=7+J8AtMe3 z*^YsalzLg0s=n)$)JN_)wqbX?2NS2=%Ii=1@vUQD$*m|3yXHN?mTWE5fWa!Jh8J<- za!o;LSl}`~#?dn5gYKH0YO5(Q_68L7TJ>UZElG5rg@T%F7-STMpgau^^VqhK5WcI4IC2jde)qX<_bQ24=u!%ubxu}RjFqq zM=jRW-(ln}BTBEfBl-k*02xkxZr1A68xcZ)P0ACaJC?#c-3SkCd~?*=n#exWYU9UYG{y5Dp;OyXldDkfnVt-0ZYzW< zNIb%k654=eE(g~oKb>TcJuDImGX##3x4oQMw*bUtQ9?2HNnApGMN z7n*lR2LPvJ?UU=W3zXd}I09x6cTL6Fz;GEg;!*(C+p(@SCOo?XwwJJf;g#uI$aqSH z(9U2^B+M05m$12+0B}-Z*hV{Yac-l)GKzp*rlvH^(*PiJ@&B^Ay1wQG&8vGIHVwEf zkz`5Y-6`(tZhvnq>&nvu?kFK=aRy&;y<<5PtuI7Ir?eRBJ+hZi_icC(jvNLv7yG*2 z_sB$Zghc4dV!1JafbglMu`7=ITQI|p zxkJ7Q0gsJg09EFL4J=04)HU%w+^o~fs?r&p-5m6j`2>^@CS<2DFn~$)yV-U(X_0+q zR>MW-vNmL4ysxYmyOuKzGclR_10VbD9@+C_KzJe>qOY@4weTLncMFRt=Rk7<0w)4O z#Q;zW>Vb{DfPjt@_7M5)m{^=)C5t@9vMxwJmF2>+t1FvzMtRsrWYIpWQ)&IaGES=u z*I+p<>FIN44hFc*V-&_EAks==WK>5h9Gsv$_`&fVqo0V{jt zdt~*KU(vh-%486Q3Q8danW-DtM`r+pmVQHm>NVv}*d5se3Y2`YpSv7Nzwj0H>t zbjzML<2`OEhV{lOBW{AbM_|{pu}(ZVENc*Xeftj&nYzGCfxz(rVPm%a0coCF@lg%2 zWyhuf{x0K7>v)eNoW+dQfG@%z=xyM2FA3QX$@enm> zA}$fH#!b5(K@Gf^D#nZ_Gy19820}n#!I}w~nZxB_6vhyrZ7t(FCnLV-v#^Qz@fpd3 z{@`W=p^Eptj?z-c)S!JBZo*ZzmDogejUT9AtTG7J8z|^hk48;ey6A#27`46*I(Q>D0|OV=cFX z))3KqB9w`RMmQyshRi6edjH+OLudwt!I8=c9D<-vs>Flm%gBNbD@1r1W1nUN=HRqX z`!J5N$c2t*a5LZLpau}ia09g%L{a!u^i!>o6__|U&vFnYZkJ`p;O|4By zAljITMXm(LKoqXmtG>@UV#l_IRmOes2H4AWnqcAW2 zhDKN5Fhj$uq-k~sTOW%IdlUT|=vX!+R`+zN=NSt;BGwjzV&G!b=4$qS#>yp|hA|@} zRgz~IibFdW4?U3J773iZFFUD8U+r}DIs6V9HLJVuT(;ubM5WScO3Q1#4G4*>a}Y@t zK>Zxy31c2U#5hXezfvP+0n?-ZJcz}J{f%~19$iFW9e&mUZ3kWVHO>V386V?hIz>^{!de@P*@4;jZC39hOJG#4)vsq) z+UXZ&)9Y#dy8hhaeA3yOO~08;oasK4Oq0y@?Tl_+4)Y^<``(Axe&YTL&fWcM2;@lTgXV%iH6(g)F~ zI%}TMaC`>D9=qZY$S}A$t{a`9MYv1s&9t-kNW!J3@#(?g0|ay_|37Ja|L8c*^S*ax zFr?P1l46HTc(h^ZnHNK7v|$-x3CkPAk#`0Ia=3)}%XEscJ}Ce(DlX%umZCVU&bjHl zvjcJ#ScyN(B)qO~y1RtrwW8yal2WT_+5!~h(lTw!KXR+|y1f*w#5|5~BFVa~+UEA$ z&%2ZppVRx#{o_I+0WM}|-+6yN&-eR$pXVG{EN)1#_03$K=cgf1CW&@y1?bwvGF$oR zY+IiClHJ~Prr9gCo{M{KyXQ8JH;DvW+G@D>Z*Y^n$OopO(mC5m#iE<#&u8MukLow=IzDu zJ!Yj_Y#6mk@15U%f1dQj)6r}Bzu2}IK3H3+Qq%iPGtg(g_(Auf?1>M5rCQDS?0MJD ze@}>0=o>^x&Q8+U2gyx1p!&NQuEpfSxZG1%0W&N=G}`{gwaH!8<@FE0G#Y+~b}fYd z6ZT7b`)wtZ62E5ai$5i-Jt`o3bm}5F@>Ls6#EtwLdDl&3X>m{coB71Hg=BR)td-x` zdOWLf7)eqax zFYcJSI9opM+O2;r#M9*S-%HD@drW(O5c(%4-#EHUVdtk)0L$rjYWB+W11RdvB#(aX4v^N*yhKasqx>4!_X)nDv`_vYC(m$r($WG~SFJuR? z&zvURlFT+&F8vyGLV1VTsdDenZ3W8Su}PjtHyRy>_VxU^Ac*F$l0eI6Lr{+7%5W(6CspN4;uLX{*Vu3%qjoGJu zMfk47Oeg>>s}TCy=u{4rO$C-rL7KcR)Z&Jht*gIC!IV8Qd+c_s{XLu76GVg{P2Ik@ zG9}vQ;yCF&I%&4oV_*ScK(RcUA@JJNdRm0ZrsUjV;_9Vm+LIf1|IpAtkF7(|6L~G~ z2%#OE>TXeyMQZ;yvL(DEPC9y@Nc&q@fl@~>qIDFBW+Xx3&~^ME2m-~5a2AQOaeEk4 zX89$~1%PDiO#*b#C`2mMyJ7O$v8SLEo-P7vCZOj@_aP#%UC&$*D<2BAU+`7upU&b# zc?h9=Dm6P>c^A=AbbRs6w|`$n)kBBu8#UH$cYBGRaw%z0YmRatCa#)7iLN>=Yr()^ z6LOd*jLNpV>ZP4mOH=2#g9O=^9Ax~sl#8irq{`w92fx?uixtkAsap(+060iSPKdo^ zt4~OMCeqjChiZICLI_uWsm|^^Aow{DxH2 z4Cf^agukRl;0ga6R7|i1+J^LTgPaG7tLYM={?U+#71gbip2;;069((e*e-8cy0z2| zhWiU4nX*3EARRp+`=Qo&D*DKOEocIBW&-ivr7cySR~`HVgM-gwP7fDU#-n6Nu}Jjj zH80R2=dXHH+>Vt<1mQy$<>SCCfp;>iMfHD`{k}+=mAA3C357|T(^?u&~Q3h zu#o7GFC%=hgv+{uS7`gNDwo8h*}B987S^k{s09*3ae~2=Z;LCNFZ<6gnrCAf7A2^W zH>w8W-U&O+vK0?J*bXo|Pi;h7psav@%SOt+!$PPBxk-Y7v$m#W1B|R*$H(QnQEE3? zdklDbDb+xkAUrl8JFAqrkT4n*QxoXes`by*Dg~@C#xPi@e|9m%k5QpYC6Xl3v9qGS z+{B+_>DE_W0J6dF+TDOT#mJ<+({&Xfr$zWXz2MF3qwfh7mS%UKS??ZSnpL^;qsr~v z`4;K=zng!jc=*pB|6+b}oNu4Y2YLS6w?zl1VRCdQf5Dm2I;F0l^2} zd$aoXy?l_h|KH`mxclp<0B*neU)zJa1V3oq{>a-6xUN3=(m;Liy?j>X>_5Y|m%s3- z_U*U+cwvHF-}sGpYPDCME&k)j)c3po{$mf+mcLW{*r2gndFx94fvrc$_y6wH)Zk-( ze(>$hsVN@#2XF4(%L8A3@7pK$sE_?yfs#AC8~o@SpPPT={&%P_@RRp=>K302-&U0k z{{C_G*6-Z^1NH~jgiFYBqT_){a26ah1CGl{Lk=iMPexM%uVYlN)-cdI20t9;4LHc4xi=w>sV0h{pIqFHn8))_vNr2c>qHz@gUB?{Z%jIeDIIH zc)&y->?iNtDCL%{#@jF5zAB0QY~tM0G3xWyIS4#EG6M(7Peyk0yYgzShI=E@b3QvO zQ~^#bZa)Bl9oR|Nb;kWM%xV+FrsH~)Zs`=k0;2Vsy|BHhG1M6PRhzJ^;~Bz(mM2E70zt-p`&1ZXLJ4ow z1F8w*<|zf?HC?mq$^VppyDvL9e%9GsKtl$O?rT9tn0Kb)@wJgYr%F@DFNhuR7(+Sv zj&Yw~NkiKM+;Tn*Dg`39+h>al`HSc&kfyeQyG#aDph2S}+@{`9#Y@>}9hEk%li}9i z#c_}rVFgEOu_>l}7BBHkjW7@VrQU=}ln^Gg7fh&e!OukHOAj>f%KR1)+e@p!r(`fp%21_(M!&`yw(Y!hWBP=0Uo*%h_qJPC~WH57XdKuOAsECwS5 z+2NC4Bpa;4itzOdvbpN=VoS)Wi#12=j@2inP506fzX1@hGQngtevgm4iwBCW0szQ9 zYc0f?ID$sn(LqE1;+^J2KX1xXfy}bh1|YeO<8DH&Zn2#cb;gp>oGt!1nfL8Iac=dA zoNG!zHkckBqf>GAO)TcbFFc8>aCH5<;GZ{dNZ*{a2Z@CY`!P%}N*)D~u9&ZUhFzZS3k zm*+kA-0`toU_p%e4+XNlWZ3om98d^-I9yP+jgtzd5Yg%!%T{d$1i#fgFGg?!^dO#- zW#hrT?mtBy^Z{yA$rgZOywqSN=n7F`#@J4#lP{|yL!spjoxJ>rzFuGrEHgXq~e;x zefM6kWsXFe~ZH#N6ufS-;_$)$-?$@EP|SlW(LM51Tp0 z3HiPgy0BI}fDNHw*OiATZjtHW$dw^YtQ_~_xY<1}*}7J(@b1V@@3*)A<>)7}N7|W;B9>lcr2`fKum$M)r0kK)a&t^hZP-Ok;#a(s<8HC|1fQ!#J2`cYSR8KM) z_4214TTGAj=F2y;bo7!XEisujAI8ucsb7nmSL|M}>~3bfk63ikj+S_8ic_HJcCGdo zu?v9S7)};o<5J1C;>P?^**I}-@dNSSz_tvWf=J^80LmMc!cFyI4)YcYS%OJG?J{5G ziDsM4I@L@YiIfs9fx%4q4jFeSOWf5ER7rA6%7b5Wjz)C(}8;xq6|fiV-_#FrnQ5W15|f=~1#(2!K%kb1{z!lnV= zae?i4@@dkZX+PNY6Vb?GJm&XYOSTGi2=}>wZtu8s0{rtRGea5uj_xRoX^PG zaBAl09ELHwv9plc1T1TpiAwO5`%;s&#Au|c*dYIqk7FUO)}Sx9FFIzu02T+em?g)v zdVwcVDl2w-h9fBOQOS5reYy!!l7|b4Rahw{Z~e)?Yj^va%$Iy)E{_so!?bAFzOapK zE1ENEfcmq4X%3bytoR^<6@f#JJBno^B)}yK7NXZQ+0?ZRjNQJ$J_7bUQXs@>P6~|- z2sz#ZNe>Jyu9$3ofRgl*^4y8kRyh^L+ALNAeh)qD5DSo++O99WG{ie#!-?C0Hd`yr z=yM^4vj#t}EC?KTGdK%2tue=Lq(w8UfVvO+G|6WWAkNr1xiu$}`WMa=e!d1Dk3$+O z-!7EupBTj;qGnQ5?WmZ{7{ZGDHU|UdJos={U4(bn{5SvXa6Y3Tb&d1CzLN)_Ga(SQ zF*5?DJ(NXJ#8R-es5LZ~1`Zdf16Y(T8>2>(i1&vJH3p%kN_xXVk^KmR(Oy8bB0X2` zc+Qubr62(p6O4~hZRM-O2-;zy`@l|g5#B2f0u5&tQ&PYy7C!s47f%%gS!R8`Zi*!n z@ehx#QUZ=~sOf5=Mfl?D9A4}iWh0?sD*s`VEde`(5Jx;6{_w@)s0q&g&Z8$d9{8BB zlbC)911)$Y!~v?ycrwRzi!eh&HAFz5-RcFYH%8z3Z{NDeeN^`jgtTCTyp0J$?FTEE z+^K4Gi-S|rL zO*WSiF0B{VVBnaXG>YEgt|~NwSwuT&uFKBJB4AitUPR}}A-GA(FyvQyLXu7PV8sE5 zkW1$AT3I))N)o;QGo0)>_l+X@C2-usk;CJvrYA5Qi4i0QoguX{hOg941 zP)5*gR$`use(obQT2re>eYQ*(g7|pgq=eTn?Xxy0e|;7lD1(upOWA?AiX4jYNe09v z#)RsA-p1%FlL(BEv_gQkc57YnKvIiYqcE4yRdgW73rkzQ#W7SOKGnFU<*Ozn_XN3T zYHyzY_;9~Fa@Sbjl2LsoGnN)pYVht0ZiPnmvd^-bx@1*`R>YJ%lG)CclD?bUD>b0pKkmFRLT*8zda zRQ?1gyg)Ql2^W?1O^Q~OD4(qLN%L4K-pVjL+MC2ea$J`8+_ENrVC}2iOeJ;fqmkKo z>D%$6e)i4yYAHYq={1LdAgpi4oOrFSdtHQ|wK3nu{pwQs%ooqLF)?W#DtTtug-Qu+?(Gr`aN zsj6C`cA!cTkg6AM;~e#R-&Xl0&R_}=o2Rq^K((L|5;~nvT!_v5V)<*3>F=9LZc7(}o>>DqU z?0rfQxoymx^N81;d47T{>ZLC)gw)E?{r#2|4Pg1;T4}%ARDTbuy|&NY#^AfI(x(W{ zXowr3Fi#=Tp130Ejta8N33$kg2w_*mX2nWXE`{~}BacTpDRZ*+Kd-r)+N;0JPpi=p z0Jwmlj7{w$c?{ZJ#RilZ4?+nhM!DahPQ!El*y-2h2WRVf>P7hu_f+?h6RV|%HiPe} zq7`ImDb$bVsvh+8JDvw?;%d_{w+DkRNawWa5Wm06v+J-OgV*ZsPHck_DG#Xw9yA^V zhlnW7Cw}ITV-iB4aTh}0y96{VzWdV*1m`$mPsK@UVtwJuRwfDw^{hCb~NO6u+x}uIg9)Y(ZYQSOw zRu&oT#~8ey?Pa}%g|IEhFfr=+cpN6bAKzoNUWmO+aWEdqRY_2j)5X0|4Jsl1Dp?Vb zt;m*?Y9(HY!a5=$>FS+FJ}~meg%5rmHHI1ja=rk(02fDedhyV8m7yt9QmP5sq<$cH zTV=j|(^u6&Sa)wiSq;_@M;$qG)FMI8AQa*+1_L435fqul5r$c!PTWKJF9GLPjQBoS z8k5bR)H;jhO~d`89LTTcOJ+wU>}Eg{plc0v5(`AtVNm0zxByZhb^y{5we)}O&4mx; zAU`=Xh8X!$q{>q;$2Zn7xJQSm1flvD8_s6}k*yKcLIemr1L76!A6GLT&qEE(K;nR% zfo-8_YbYTo`=}a$DMQ*MstbheUKoas9x@p1=X_JeqhX5b@J&1t)zla<#1hPVvTESNjYBi1Q>d!15|DZ5sWlEv(+tz z%ohB^Qh5{4q{DWpdNyGqH_=!|h+AIvSsyG`*st_bq_uE*8fwme(!M2!{>hd+8aB`F zWS7Ca(4wsu>?3$l_{DYm0dhf*@AhaEXnO+@J?N>;M$?Lp89DStSEQ;dksmALR;sGN zZJmtbb=yc;uGqa_CU1p^lV&$KTWq5|Y3<;T8_X^{a8-xPCTf;g3!uX@Vuuh`+)c_X z2uEe@bSV#jB)1=Efvn$>rE7oo(w(-JM(7T-dQ_-W<(JRUM18C$X#=MDci690rrE3> zT!=Nq3dlaJzQp^XY?HRZva1&$i^l8jxml(bRVA5lUZ=Z;o75@JhLjc6CK*rD??fd> zn8{{MTlE!_LNw3_K_ytD)B_&zm4`2n*oXYidO{e8qt6BS(ysn9UrY;6*p)k9ZM#Ow zF&vQ$?PC)tQ4m9D)qx5EJV=e}LFpPdFk@|P>kBaN8ZAUH&@`N&kzs_wt#h71Sp^+I940e|t{i&32 zzE9fy1#KPgOKg1gTQ93R1@j976~uy)G5o)XAWjXW38#Kc%P?|V1F;u z2og?jyRDiS=n)207#=-6&@lYD{o}P1mIg%_eoT+>wfBlIJmUWDZ~kWfr2GD>s3(3_ z=`?oz$J*PYN(*so{F|f{{K1pKf8I;!hF2c7Z-4*pLl-EJaAw;hig~D3SZl+DnDHPaoxsy52@rAgGc>d?QQjjo1Utzz~4&lar_^s zcYXblyO`y-zd(PKd#GtpOk(;jmgTg`o~k*R?nZ_6rHUl58CIBbU^N>>zZ>kcSxPc4fq)KrTh=| z6`tIE=U*`i>Fw7N@>}aL`sy>adMarM3zo0J)M=!D{Ro3salMGkO0WsE6cUsh({$z! zYkctggcwI@qTi_r)-J~rLiJf^Jx`GAgXeX5Rx@~Ef#IV zZ;so|*HaxlU#b`LrLO05Xv)<$rOcOo8x1o6Fj;GZLKgn9)`ay6ii z)}o9vhHNZ-LFoRS`YR2ZtXiK`>F~X5|$NuSD(;mB41ML{IOD9VwJ^OfbUpEXa zg~&8@juuw+G6A7wN$j|adQ6Io@0h}`!}Boiz3-%FA@xG zJDZ^xD2!KlxKJkk4AuO~=nrxc#3um{k9t{&Gw;E7e!1 z{Al%`c32CFb#UDO^+0sDaXK4bC{E*T94d`n3_EuZA9Y*ZOx%6M5BJd%kw>zAs7=qO_h$Op()%l|{spVJBraT_sLc<<3Fm$H-VC0Tx9_?)ub6** z*Oh9cP+aPj_7(gs*2!?yO@~A8kBe`U>D}EPymzf_lz(FCF}IpG-p93D_Z2R?TIS6{gF$0eiH7hdcCl3rsrUt8VsSlsjS%6zcxNE&}8(C>|2%*O3)k9yZa`@yU( z-ky-iYl8HTg1h|Y!p7Z4{RQ71*^oQ>@p3rdyjMk6d28 zyOiB)PWkKOd()lfgIVPv_j1WD-upVKnZFefsj%kJc9AVVq?+Dv#iwh+u{^cnmMu*gU@u^XsG9Om7y;)eRVUkCfVVgg24LVd>PW%`?O|if+w5w!eQSLIw0FZiA@j| zq!WnHF^`!rzQ+`JiOC-B;nzHq&CyAJskC$4OKXPmj8L{Q0BMOraL-h zUG@P*1p6c6bPtUc3K<=p6Gc8qBHolKBD)bzt%~fqi{N32LBPqQi^O(iM%5QJP$P(@ zQ5=@pyMbMko*S1pQ_AIhoX8hYBE0p_K0t`rb;FqtIB3YfGsqcCwdF3%F@)ikFWR7< z2kf>q$}jMv6v;PKl2xa7K7$1-_T9CbW6x%yJ`t1BA&QfahNRKc%SDLnQDSLRNiOkg zHc^{i`zd4^u2Ek1_bfIWDIvRXTrQNcAY+l}Y_X}6*(ok8YDqONn-vcykEr=Z->Lw8 zd&Y?|y(8GBFQ3#`7BY^ORFSU6!rUpK%}jp#Ma#t=ffWRA#YR=xH~|^!1xV>cSHqnp zVZp)Gkj!6elZrl*u6xURFvxwzg#^<$?1Tp0Vl3qhO4;kG zHz&G-Oo_&T3@miUoK-R$h*ifl|9fSjm45|=me!$0RQNVCS&X%YkG&DJM6`7Y9G(91# zkon11qB}|iH)J<-k?3=oR%IE$MX(s@t4`<`aRz;qZD!zq>i^UNDDacMIegbNgS>PN z)|hjTPb5E9rf#QcBvT(Qi~+*?!CVTHgs*y^-?Pt_0_GGZJ&#v6Ia_pCceO7ttv$)~ zrYWA`mUdq%R=)6o0jURon6SJ}8QQunBtPg+i?HV>Y%bQ@j;SI%tsu|4H$bbB- zy-wmpD0Mo8IgW!&O^Z@a+G&UV)(<$g=F-8VXH%SV3=O2GPL!<1ImD*ocplpw8QyWrMk$W$vBPhII1U>3gBxp)@EuKS%=CV3sg}WM-QYHAX77lrjmTv z97~)p#+zA6PAT3CawRjkIIM9l2p9>Wy0(SZiTt1plS*I7m)ZaZ+095KE=XIIt19+% zBkUxBRF0u?D>`g6=(=R0XqWFjuz9(_=g482r`kdyRn}YPke*iRh@QJj+=E@AHx;Wk zyqMmQg(YtxJd{lrrbtFd;|f~cwD(ClG#7CHgHuvxW^*mnk=lWny@_iwEZip4jttHO zcplA>W;-JvZ$l?G{1m&UFqA8tr0{O|+=zDL?32Oz_jh01c6#b7*2s0c{n2c%T%TIs zxmR8<9*w8;nQvt0wp|bYvV{NGdPoBLLBe(2R#2l%MjL&MOEHS|8g-tE1;43c0-9l8 zuB2nxkdW7>A|keK%H^s*cEK-;qAA48`H2y>g{hY&0*IXOcwn_gx8xylAZTvjd^_%m zu4|!Q3QyMJu$*=0MFmyNQ-lvPMOP-O{z-)6gi36WTfarxM$4`?y7T^{=#<916rwgw zNAVjwL6dv7A4=m^>BV>2?ewx*T(DbP+Q#}pxVGuIbh3RRf5LBf z#aI1os@nhZxzY{EqgrIgD-kT^%X zPFi^=TYMtEkl6X$-Dtjbaoh5d=%D;B0KwnR$ojwZ?Py*ey7pyvXbEl2 zoKj7oAfakEbQEk?`Uppo0>+8yT~1}~0bdp7Sg!x&&YGB8|B7$t*^1k%_xlxV72=)b zDx+k>uU|mYaoHOkN-mAg$(EAqthgfS{_;Y{I<|Uz&7NG1l5Ws2Ph8rfm7m(G9ee8U zP?>i1{!a1O=k_Gs`32tavBqYlAW1Imr2^(q+&R9WHO4kWjoq)lXDbUzO0p6)jP?&} zi;Wi^Z(g(a7x%kQ1Mgp4kNT5C%;l8$mHqZNRs{X4!ivam9epYQ1KKsD@lkvyn06RCz z)@8JTlf3U-sXk*Wn{t>GV|Z#*1cFoMtiU==5J4D8Pu9N^?Jm`iZfFW@7bG*I3Nt6s z%Y{Q5>)L~*eFM1ya5nVWfYr;esgiqu`gvs&b25QL0#r;;*Tzk?Ij%-wmSIsdJi@^2 zOXLm{PauJLs#i7+mCQ;IarF8gZb3A%BU-NErc zg25Vui7;ZvMAT%eG+Lb~q>I_oq2OSs{0f7B%156Kwb^5yTCZcLB(JF=E$QnL-P7}a z)%8C*v~uoDbCh&eW!UcSZg^1oi1srBG$k7pDw|S9Al7rWp?W`GE+7?zL0>LRQ)`|T zKqnvK)lLqLr(O1Xqsz>-H^LzjB=QQ>L!I)qiVS;i;xF~-Mm6s{Lc=m?tRB~-P=Y4x zwlmpkq&}x@2Ziz&v;LjjvMTW-!YG7-est2w!6y zaHm6}8VrXRA9}cKYDW^Gx|sRHrzBwIdNMC1mIzE!2wVaQ#%maKYyr#)sV*438XWka zKD~MSwy&?_X?Im{3W_DO-Yw$p2>R4ZP<}8GGp&BtATyh$mFz|09B_+<4&Abu%+ z%g>5K*S_jgJO1j;rV+gS@%&J!+zny$SQUz;t5VLumC+ zvEg8eMJuRsIv>)k6HiB_vHoEWkSc$Q^`7W69-F8eUnO+AbZk?HV4VMB{jP|x3B!J} zv?9)yzC4~shuT&Idgn$*<9%W(KW}S0$cr{yXcHEAC@UMdMfF-fJTJqu5LjO=`Sp*d zugkU{aZYXm0)%fz9MMRsd~^0f)au(j2ug^|M8(^3>wKDiIIUn*j}0?g{;} zr+1E2%)70Aqzte{Ar7S#QHUXRam?(DBsCMY5C8nseHWcT z&5t_cnHcr90XazSKlC}5$UuSn-!kgwOM_o$iZsVU!bq*lF0P-@YNCE#33L$fxUM3ZJ(BT>#kn={b$oW3mz zdUf|eDf9CKd5!&^DCajGQ&O4R@|q9a*5-U*>p-G&TbuL8gE#GZWa@`XWi$BLFWi2} zAFqEh5EFea|Eq6DQ1$$yQT3KD6#w?1vdDJ(9>Q?CKPVr*U0meM&5s=S6*<#IKXR@# zvdZ4d(J>sEtRZ#Af#j_dUF2tyh(j$YT1RqyVOxl|89;q}t zNvhYGLh52a$#^y4Ujv?url>E+<3)UCPUAV5^1{a_U)x`9OEKSc+&H_k^H!*j_}>kL zJ0FVnwTWiet+=?!D4FmVA8pBpGO3+Kd$S8$SVgX~gU+Ra+-H$;=_}Th`>jl$5=50+ zBNzO!>~K65PZ0m(1X<78L#B`upq-#w=WOTF#1T%=5C<+HFk8S>Ik4{*p{A;2AvK9B zZ1Ronh^SKY!Ux==f7gbl-Y+?~Bv@;>G)X zdoC6;kL0E{QZ&cBtB75!a#zKVJ8yw%Sg#@fTPhVC!sFH`n4$S~f0Kqgn9ba4Sk1dL zovMJyT)4vjEQMi*YESl>9kf_^Qg)amdGwM zyc!0-uQnVN=ZM6)~I{r)K00CP$*tqo*aDGdq(m6wiV&xP^uZF1p(Dw8 zKWrM#mOjV=CB_1J+;@!2_6o~ytZ>Z{g^Hnqf~j>0Vxy6%%1Eqyq*#z>B1EZwb@yE& zBp@Ajb3s22=B3i2 zb0yNNBdg5=_O4PhBhNB1vK}&srE&lJ?xvNHG$d<;xsNuRSN-K~xSUBj9+gFQONueQ z)G~3t)2DuWxp!|;xtV7*+vx?qSa3E2h*YF7ov}13WU>W{vn!Ur3V#!a9aM_m+fV&` zEzsw&6I4YKt-wOix#;S+%58W zF%j&+j(H<+IWe5^m>pba7B%RQ4(Vi7ID68|e24FIxkKhB@Gz4fQ;jr3iZ9}$;J?`6 zwG5j7Xr6%LmqNRA{hmz%rX#H@gOgpUUQjq2{*)jfO>U2cPtX9X0+nL3AlCfL*YsuA zB%oVmu2x4%K6b6tV2Bm)weC^rH3E<8_92$Et;bkgknENQBS zglMfY9UcW6!S*p6@&1C3JX#f_2@xS==}#omaNd69Fj?#odxrQE>uds9-U31a~K&&&g=wy1*rzDT5 zFh$a^vIvE!S^>MSCqijD?sEUMQ&5K_;Si}ATN#XnaIg&()0D73w>HBLM78g-!4%jG z9Cin`BlC(e4IgzVFCz@fp1q` z7QFB1j;UaO97Bu`hxGDbj|x|CoDv;X&QBt7>!)WP@7R_DAOZ#jAhd)dV|>j zVCe}p%8njtolqoAn!pjlnPwMyygslR9J<;OlYA`Jkp!t#rb5NQTGH6C^x@g!v%B{Y z?;#=|KwhQ&LQCjrm&x~LlN7N7;71DG)A*FVkVK9Je!@$=3^1D z2N%5y1QqDNU?yx0JTU!smTqQRIEI+Tujg2}-S*YKbChoeswJ%IJV&WGEZBVGvtP1{#AQ!U5-2zmYv zTav>BDVvTbH*rkju4;S`^YKp3S|iz<81>vt1*zazN=ZQwxX2SSbO0voZI0R01=j;T zGrZy2->v$AQ;bY1&U@zv;iQDgf$4MK;Q~jc!R?s~+p(=^vLcxBBn_zzQd*UD&pjU0 zy}*;j%+;+Z?o4Tms8}*xfL>P>DaTCA->2->gl@UBGZ3k8lo_4WXYf=H@x@M{#SBvB zFKTbz`|FXKl*R*|ANfxAsOm^C2xl{ma#H3EI!SB-UA0d!>6+ux;3-3MvaCf%ilEn%sW`W;~bOQ)UYt_fYFh0K#gXKru@z9jvs3~Hb8<(-)_KQ(RVF5$YaD9lMN z@DuvM3WMkgPiS(cn2Y&93s`?9I^h8Dd8#ngVq)&&GQ4N)l(}rCPVUBDW7Uu9nWwX= zBYp=}z;TMr#O$UGw9jX4InRWu6IgCJvsfkD;{h00iJ?zpn%tE}Ip$w{?+yk7qe@Sf z+zsL!P^x5xLN^#9_-!@~c8&ECt2QV^vvme6!k3sa#`y4f&ASnLu^IWVM(L60Y!qpo zz-bB^WBHCrcW?^m^sR!HRCBDH=PnTLpzhB9{6&X3I-{sH3@3FXJ)D`Cr9FcYWRH=U zTapyF%sO=ML{m}uz2lN<$`DLsR!CK2=(ry{FguKjLE!n-nZZ=Fl1)j9FT+Th>I2#L z{)TfWpGQ|L8+44;dR+>vJX#`jtsWPM$>Ocx>B#lG^s#TF9t zm%UL>uju6RIuKd=zLmdz>VmiLyk~#aeo$2fvBt2X^_rt&{=ejvyOojSx$j3kGT+$y zilr>IUYPJ7S@oV*(>zC3A9z(OBv| z=HrOOm8`cltcvn{%BuEH0m@^Db?*I)38G?&Fg<~-?I-ItD2MRVGtGOgTCSo4oU~U2 zWu;!+qAi+LYQ{4faDrB2Y}`+F{7q!hQ-txsvSe1Z(q;1@Xf1nby|k+oG=z864=g;5 z>rK7lQcU?=DXDsRK>K0d%f9qQx)30OQ(8b_2U81ST#3Bg`+R9?I2V|z3;}Kzjb+{dv6}? zFHTyAXAXYsb=frckC*k%Z=86)@wKp$_KUSe>QOJDk+JOqN+TmLMIG@6|5DnwM!pNk zIeF<{EEmq4dipPZI=4Jk`1=oD`AMtuOmHLa=jg(CV1-swhlJX=@jzz8%xsjz>y=(L z?`+YQ_-Dl`y*vByhB?`NIR0<}@j!(7W?TIaCyXdqT+qrOk5niIo;N|WtL;ZhpWUg= zR6Q+|V-)4naC#mjon{lU(5uep*ZpZnq;_}AiO zshTr{iygXNF{ZQLhe%^UHaZ%I z;nN=f+;vCKo$fe;lRf?46_3m`edR8@^Ngk;)#n+&OSPrGtHct$NE3s9zN@ORXG%Cm zGv54H|A-p4zOe+R$e=_)e1fAQgDT(ninS2opq~!DZ1B!ZCSr&bWzoK_E3($=(Ic-$ z28G%m^e{Mu5jVtUG`#a*$}0hgzJ>PY>C-6=YJ99K z)AkGT7tUY?*yU$`aMeyKcWQNi9O#}g&S6bhg`6ilgGJ}tBptAd`?PVKQ;B}VAG0A88isT zp}D4{A#huqy*_VSo7lgFe6^E{@xE@imoR11D1hh^#)B93x6-rO_wgR(^3M3e>MM&e ztBbY4UleWG0a$OuC(xpC)M^@84n=Y^Js*d)3O7+z?FWVjVjnw`TE5H<{iBuO3kV(y z&5Pn91dU00W8&;=1Fe)5ANZd~HsydSjIPY~%RDW#eU2NG8MhporqI$jT z8S3{+)I`J;t3$gz@3mkTyN%W;G3&iL9iJYJ5+m353h~b`_CaWISeBCyg(@iXG?QS7RVytvTXRW5V zlZJY51R*mzKJdgxhoTLKbJj@i_~SeRZ) z3k0Pw6}(EfnV$8YF`}7WcXqnhf|+!uy-}(*qCb)8rW<=VYsXftdfM$KvS}n^=7E%s24a4$Kwm4ATAfI7G0s&`JC&68DWi(VU0LwBYR|cy`)20|-NXz)pH1CA* z<$CvVuh0=1b7W9~F%f1_hENuXp=y=@eDcFiV@?ub&n-nrPFoVz4L(rAQXGCZ)cnTA zQdOyA<`>Y)*k1`Aj+H#-cy?Q(GC%*1p0B-ot%#%seTu5s@tfJ#Z6rAl@7+6)*8J)5 zaiw$l^U{bC<^1Iv_MkM$A8rJzXFF-%C{zrE$4k(q!;? zx0NwhRb`UJ_ryGZ{iaj5ON;z)Adgx4;gnLwJhAs5XV2H3-MMQZtofR~YtP`V@BdTv zf%AOs_fFrkA5(gqCm;IAmk{)PZ6M3}A3yVzht!kO)SK#^N)Ys$`HxADzJJ(PdYnIv zznNay_K7EN3vxbk^Cy)TM&OV-x71OQOqtU=56}419!^3@y+RI0CUjC*E~GJC&ZYv& zWG(aW<(=KU!+%b{ND!0qVDUnnO}xo*GZ*r^tdI0j;H5{VA!hp<++l?C7Rpdd5BLU-F5#w>Ss}WgK~b@KVh@Fc-ij?QrdJ0DGfyUxMx%dH!FJ8 z(&Y=!P_pACFA~9*&FZA?`wt#3_mA88vF}9dRO2Yig{XXf!jA4Mfde)+6WHYSBEUFO zfUUa8P}rGY1Ut2zQ^s?(1We!S`eE7Y#hq~RWHO(1Qc8NZ^j3-NLZBJC z%4@Z_<1WPIbkqPHM?7a%6FodD{IHX?dZkoz^6}gPcU(>d9#A_qNmYQSXq>Vx<`gTi zt%W@;lgHpe4q8~vIOjzF8B@Q7@)IxwE$ngpERSq1JMIK!!08?~SG*8kb3w-Yh#kM2 zkrLomm|o2y=czKg-aao~lEud^n6v(}G%kBX9dU38l+ucmWkYDS_7D#btboaC-dB`W z(fUeEEP8Mes4o;J*>@m?h|^&b&84i8#T0M^&@_qg+i=~Ne3z8Sjg4>pn&+;^>~$4= zpRez^74-s#^joV$h>G@0@r~3JH`45(l<4{6VT|Tffx6Vi^+l^22hL)gNLlc4`l9x^ z_{6=^%5TNO^HTtGz{*b88cp3UB7%zR3U4#1*#xXXjFt#=J}mp<_!2&0TfYkAsx{8f zYDX56Ob@M*ephQsx0^Cm6TNMcJHP^3=lsK=x9|7$q&Ec&mRjPU-dZuJn%dZiD>D0S zTf{3{RNSo=CB0~v1WcJY(%f++_Qw5|8rF0p-b*=fqvNL?DLU5hwri{h+XronWBLeE z%kjLs{q!ms#I&&u3_Z@tJe9h3V8R7?;7oCJqy>~@v9NdRJ^|3|@#2X^wG_bzWX8D% z>Qe!2Bl_EB1;~uwWE?51kgczOd*X-IS{yp3OffIAo4^W2H6u{KR&T2d!I?WGC5Ug zx;f1rsPwVV}(n=C9fq)0cBd+E7yIx$=G9e1@1t+eTszrn*!MtSL?AJg@q zx-P}L3yjt1Xqp*Wr{q)Nx+dgV|13Hdsgk)=mxcUK{~()f>2_mJKY|d7SLVx47n|u0 z@$gh;`+I|9=i&!WBG4F>W1i?fyfXf3L~aS(dpWXt_b0aY_g2!T@xaDpdJTorrR?lA zSc^R-#oul&8Rx5$?#)w8*X#alxcvGt(YF>3oa~I1hxP|!&syQiFSgy)kQ`9Y`B&nr z@x{u=a>1b-%SNFyX4MW{^P89Ftg$J`(YE4AY~rc9)8 z6(FipS6z$j5P+F2K^6LCI~Vp`Xq~rHS?^QRqYea@IT=QwnJ=XEf)zs@Ca!A`lB6Z2 zLT$lU$#}xhBI}ee6yzuDkv^bou6M$QAs9K}NtVBKvL+s&b5j~&$ITSx1}c?+tvIWp zKm6`rA~MJP%ygAwa7W5(J~7Fp!>RdTx`aD-t+kzOv$IpzBIc>@Ts;n(f(=99MnNR! zfXF*`rRTA^Xv>=yoTtWoZ9#@GR2*>`t);RL+XXTGBBjSRx`(~>Qo*xriS z%KGzwU_hu611>q$)SY|pSU`K!y3^5P6-#Z5eel1LsqUoE*79JCItnb;`bBRhx^@zr zDK*EyezrX3!}w~JH|wY!pNXBGa#PcJ)IOdPKgQFMe>dY-)^oooRL@YAnbdl5=*JB! zug+>|+k{Eca)7>WDSxgk^7mmd?toqMLloC4lAcgd6^WaLSl2OHFqS%tG}D|*L9oUl z<++8W)LTE#HfzuFdJsF0+1^$Y6C}y5F2+l_yHU!tBW2G32KQP2^~ekfx7HGG{6dSw zMf)(j?~8=55&aIpC=+&wP^`tyd=?Tftus^hP&e0b6E+ z{oMD%u!((7^j4jLNWH9e+#!+mhWC7ueb1MK%obXigzQjVhLwnLzOTW znaEO?|C-ql%dVC{v2(;RZSi5UITjfL9DZyWG+(KGXcPnt|8xPx)bi-Q)3xw zggfZL+0t1%2*H6(C%R|{b6Jb}T7Bwt%Uk=ELJOuI;Oa_={?i&?w45l@A+(pU-q z{(=_Tzp!dg=~G64te7#fTisGU2QY@18gM>>j$&iLDO8Ret<+!wb(T3NT9#$>n}In% zchZHDiR8Sq=f8qXhulrd`0TbwYD>qLv``I>GA$ASOcPS+Y-yhvXLi)e+||f#t-?tf z43S)!zadu{Il;4vsl}(n;TTfP<_TJ z%A|5!HOdTXlkLjMj2mzNT#-dD;|}1gx-72aRb?=h5mBhDLtgTOq-8wAb6q93s032W z**bW{b6!ABLj!>;#jS&SAxW{Eby!V~7u6$tym~*IXb3EyU@Nld=#4FfWSs3uYZ@6n z#qaqKOxQ7#$A0y14lHUEQo*pNCtGu~gJv+B=DwYNcZ3?68XiP77+NzM{0*ipoYi^O0pr7TQvq`s0uk~> z(xBT-;u}v<$;?mG=CwFKA_+DKhgm||K2!UJtP8oQbH!|8vIR5sV4Wu z{gNFYfY`rcqkrMk2_HnKoePcU9?9fTwS!67b30zeJ5L5v;^{<(MQ;d){{`G@i_v4b zh7!XGW<_V>N}mme zmS&LR70PK6>)$alM?dS*utUXdKl@rD1Vx0+?Qvs#c8xN7(TmZQXg+X`XUQLB!5dTA z&G7Z~o!GPXT`B!<@vlEt+ZoLK$(PnAsP>bcD{XxFk0`ZvHGgT_1M$q&(t*-0`%GYp zGvRNowwoW0_n#W+%O|okQ~8~Tve(2lYgab&8Lztkr5AU_){S*>$?_*B?8(eC+8>&2 zjXgcTi&D^5ncRV=x)TUAto9|3pr4+5456t=xm@@VG0Ahjo1SMJ#X{PKz;Cuav1gB?3-P-oVP2$?AlND{uV6FZuDVxO06aXmz9I@%VJsxDZXvXLfU9+tdps zePwIVkna#Bzuy{L-IMJvwVt9*iQin0+jlg!-pYb&N8dd9SZ&|$*k_(RF85BqayWXX z_^$mLocfE6x8kE`@{dKQ)0yYuZ(M5vrSCtYEpJ(!zcJl?_{)!Yt0Jm|MEqRuR-*&{ zFZi6G3zuh8ueXDufBe>}bQf&rW@=zg-NC=YK1+pKaHoXM3NWio1u=;rt7SmwiOT=O z-rGmVab0(UuL^}$TcbGWLJKN&Xu9g5(0ppqG|`0QHmoS!g#uJ8kratQN)O`IkS&Pr z!O<#~tVjss^;A_q0M$fOAZgm+dNfIOvq`~jICqxnS#Qx&tG_~kwMqh&V^#>PJALyqg9Yje9S`_AiA(#o9x94`Tg%z5JT`~DzY`PP$v9o(_> z$^(=B>nkU}x9P*$D}R15QsJMq?+T91z3jZUa%^a)bJuWh#Xenp@(xmc^4E6z?uj1k zV(y>B@laGAtnXrrxvb?iAZR#=DIN3Dv4iCjj?yVl$~EBg%4r-MhRyOFi@DhfHi9Hb zwHK#^K1?8Kt&9_FE{oOb1vi#ySk}i)1)$(__Q*;ub<3w|?SlUVeEj{|d}3l{d-B&4 zl|6jhb7N-bx#vE;cf-o|H}8u*zHV;MP_O--#?IVl;ry@XHG5^WmDYc)hdw2eq z9ynL{rBna0eD2$~z4EzC`7FHsU;J?}^Mw6XQ{484?`8I1@LxFl;12Kq;2Y27s=t5d z^0`w3pSi)_KJe>+seg@squAG3`$q9I8+i4bCHht1{Q zS$hLNMc+WltkN5ZlaVGy5T`;nd81U?F)*Y|!;_Cl&PlEFybl-+OB@OI;yYtFb_c;C z1R(gVtUg%QUOjtqBDVAFT|w;C@B-)dn0~~&j z?%h9?{PZ@rbAIXVC%(7;+SJ=;&;Hei5dymO>bXYu%VYMpH}1RUMWlZqRm7g3uHQiB zr#E!=gfr5-|8@>xFyVpE)Q1^7?sYrrcRQnjnsm85g*T5(UURuzo{Y8rR173I%mS(f zAvmC;1D6^w2ROB=SJE4IL$9GY;W3AUc~ZeW@Rnnv{4c!+A1)5;x~_U!Q)){h8=#h8 zd!R>wbZ_4|Ji0hFH!|v(=89JRdd?h~(s20f0cW(u;zQ)X^FLWMGf(Xg4ix96T`}Yt z_{+^n4EO=u(6L;X>FhL}60_-$rPsXr2M=%zgfAc=}8yEtB9X=zm)c_F| zSo{K6WbgUbD0%63ZvNlAFb!;xBaTIO<7BbzT53XA%G;i^`#K?{8}4|`z7@-f^;1LF ztQ^Rl=|E=Lb43W}x8XJa_NBjjU|Rto7H6M*;Ka<#x$@-GkIw)Td=WDF%?DV#_WaRJ z9}f4MwR-)jjpxieM@MZO-`87sjX&q~bxw`<-Eq_@VI-f;nY`YQEx%}P4p1ApEKEU6 z;S?SnY`ypD{pb^hl4Dr_5HNyQf*T6j!mfugX_CAMGQk|7H@-QMJxd%5X={)u9YfNk zAge;iR~I6-UM&C417$M(0@f%lBx~gh<*sD&zrK8(N-j@LxhFy-U^RZc-+6solOiB; z*T~mI#N@|h|AIrFdg|OT&XpYRv@?xfVvS4B6gR}+g@aOTSP&pIm!yyor+0V;c&-D{ z=7=J-r{kcO!O;k6YdEG6NzkQ;Vthu>Zd9vjlZt41M*h9^av)M@0CJ}wj#qF-R*+4y z4T&;dbE4$MNUbL>e4^GlY&Ci{?CppsJ*bUm+;im;<)V^G}4dKBS> zQ)chbkuemTL*8UHoer?+8Xw@3!IlNkHtY4$4(xQpQGPHaL1^$8i0c~&gP=O>gc0j#FckjOz zRHOMuGIQj!T%mmj0j1@G_H~V3D5|A`6VN(^w0wPa+AerL8%{+&p#oTw^dP!0e5^U?wQT3=GCLAH3;Fc_74K7!f$iW#S+$ zX9R`n@**OKt)KFtD6t}bBc*&zhKRlMTVLa=sUlx)H_?=ElZRB&qEGv`813Yt{XO@Lj_YkSd?Z zeg{}8)30y&8 zN4Cp6Qa`RB$Xv;hc|!ZAeP2zH`J>eoncvm=euCN`-?f@G^3cfNTf_oI`axYZ{j zy${>wuuK&$8_mMGx%czjtxqJ0z2q88>y{qG2J~|{*S8e#N@l2hG;tapuv+beeLeJ1i(=e8DWlR~xQKXk+DN#@A-=C4_ zTmiv(Rm0iL268*@>`_xQ#&Y;nGr8@weafa`H#5z@VRmXk-EZ_KM|DZ7^wsy27TDtq z+um@b4`tZ!1P@biLbY<_c88czQiHn5<*=sO-K;2jZY)ACUoCH#cJssn{CKs@E^5*2n+w06V3z5jYA}b$r-1jUmoYvU++n z!Z8ac3P|sDJopBY$$|<&Je$Gv*N@d#ULGkI*th!a{T-ouoqL$$93|VO@VtKCN0=B+ zARhZ_i;LEF22y zc&3A4_cX1_X||lh`Zdii22@D}N6PtyWP~HJ>C>M)t_M(}l7nzT7!=q*GSx8P#l=FJ z9xDKsK~YDr6#P=s*Zo4cC5L=}8LNsE<8XY-a|lr_J6RRpEo)h)TyYKaUu6I-U|2X| zVv2T!4U_op;iI01)dLL$!jc}noPn%&R_oKoCdfRhZjA?A(0K^PEzOYNKs=LM>EY1?>vjZ=;DBdq$LOp z{4*MSpm*SKVe^z3Hut-S7OFV=1s>%ZGbIjxs$>^d0PT)i+?4nbMZYCCn zArK|9j0gaZFx42AeR{|P8Q3so4@L*J$>N-cK8KyJ1ScH{N}0l0gw-STFo=PaTCZbW zZevP5{5W>D%iPyFTsPQr4ldB*4t$EheLu`93fF^@k9eb&j$`XAFhoqF*D`OComaVn zwfaN=FEKW75|335InsB^POWrS-Y!t5hlq3#i@Z%|f zXegNL^@bVXglQin+@x95%BB~FbSNXenYALrv*Km!CDxA{KngT|bo+~=TNi}8oSUP! zJGr^h%mv=))ApJ(1^W_*hE~-nMrpZvpIxP2DrS%}RvL+q?!bwN8;&LCMm2XCryJq$ z!5aNUvYG}8?X4W=T4lAM90Dktx?e3=>i+u=xb=;L%^`S?QoxF(n~HvEykVu!Ze+Dv zS@lVChvpiA(Y=6!sX8{ZtcNT$9w13D%x^M&P^c0(>_e0+VGPar226OH)mN`_+ z)J+lobzp_{gTboJ(QS=Asr^slXg$9VC|{-A=y&SB;A6jIDjBc#hczm7;b28Lq$M0N z;z}iNW(N9J_u&VJr;#FqqM~5yy<~|4&Aq2j;0488$!ar_ML?#HFxm3wQcZ!4mGhi@=DF87~@D^lMVLcZfyX|TC zeu)a1^3}3xBh5gFjtLfJI7Vy-y4M9X-kCiD{R7hCC7Il#SWY%93oi@im#8SLQwKZO zAWIgjRwQ~pC@4UJ@)2vGLP5djr;3OYYV~FU8H`ZrBvGBhOP45Pj)d8bL@y{@nOSAX z6ezBs8_wlNKBZY(WnH<+r)Fb-PfBPx&JW&b<$)v%h zXB^2>^k6$Xx8O_Vq*@(}sts9DFnCV{-#~reh(m=HoDd919E46pqL7L(FHR zi-45klCA&|N2VwWVFJ!g#gjlhR1V@Gwkcu#fd*mxArpc$n2)h=(lMz?6sj3q-g2F5 zmp{2N1gYWl_fR`#D+4KXy^6)q0w22-aJW##Fw8z*!6Br+`yq-E0a~swrO+**aR7~HQUzxTp>6?7WNHTSP;mAl2s6O z6r&~bhoOf=cevhxMV(*{4;y+gR_LzcAz(n)U+X0pNASYJ*AgUDBuzVry(xJB z-eE&R#ZXzZfV#NlfVTRKT*0)D4F(($dj#qj&)^&tbVLXQnKZE@*b>?(i^l3G7>&V3 zvAWr6A{baSNd#xn6CbyLv9VYH^%g&R>>5~00YUiGm05%bIIJO$#<2Mw?lsRh?Kp=f z^r=vk4r6JIJSE5qVKu}D6qS_0{m`g;d~wz!s#U5AbW5)!`i6SZ610e-S#nXATHoPY z&%iefoP&ly2ti>tz)3K&`nzwCs2548oi)F=x#t<}BA{%aXE`$q4G!$iXi!4=ns9fgz7jCu2jU=n@ zif*t5PqLYY4RDuiq%P)kUcV=@cu#1YP~9&vc#ANXeO>eGmgmFp3i=v`mVqEzsSZHB z$*|3v3Cly&mP8aftmM}unhC&g8H*Wp1Dm(9ICEI<$i!T(WP&dyHZ8oNhA)Ti$#CW+ z%}P59W-;fC(-j;OS+nD{rg|?cJGGNe1Is)AUZx-K@%K7Td8P+$pvb^!`EPM!_IKFp z>1K!8&P_E3vlT#n? zBn$D7A>^X#G0Q?ibuXMQ5Rwc}V*@KqTg*BO2H_Cg$|06Q_znBR01Rm0+%a)jmOsM_thxnX#v)K|lkQ+?6_WP()wBy8*j=!k#p zGdTOExUYr0Qb01umT?7M{5yDY@R%R4eK*IW$5f!S>{SEY`_?jo9s6RrRK0+F5K%I2 z^?>~1)gQzD?#C9zomnka{|wGeabrkZ!9IpTUx5*6D%iK}Od^s@sTS>}UVX2XU!aQs z#({~U#?QkI9&HggGx>!rDt14q2#C}v99E?+lm)l%j>4z=pF^)^{Yim&GXG-T-mL9# z9Qcp#{w3SLH*tH;IX`>sZtQ_RK4$o{PrH0dTeyA_{`pU2T2Cw)!mr*9K#%d01!aBW zc5VN<=bh&N4uFsPEe4{!NyTuiS0^FcStd;@-_LEsj%+@Sifb_u&w&Vr^C=k0biy8+l7CH9@L|NaNpieL^) z1HT^o&SJMNV2}A>XC$6OPD10JQ6whZJ*x3JTjl8A{Uw1)fiu0>$Wd`7s?VL&p>Vl+ zx_I-ysy(L}{7=HKm(9Xa9PxEsc`_XXs@V#g4^E8WGyyxF+QPhJ4?T=Sjc!nD;!f(< zcj4S8{Gl^%_{hFT@WMM`VJm%ym79bG1Jzb80Xse|b6@P8_bvul@8IAuIXAdPtodmbz5dXZBo3S-H7(&ZDObU+1;DX91z&FS);wZEPJUq`+!>Y-Ja%x@5J# zdvr&fu~o?3fLAV6Y4cp(b$> zm)D0G2HF|^WNb@yU>P-fq3ugifp<7`{>O zSmC2Ly!qxQN8sa)5~B#d?BNij3P_@neT^}Kl&P5BoY3n%pl_|7Mj*gfpfV&Ki%Im` zh*ugXacJGHu1i6q1O(N-_^gl3axKi2^V6}Yck&t?i6;%D>qyx5FSh6$->XZw!$*j$ zJF;<1gdGX+B^-wl!6YV=8)O1{5OAVM2aD@4i@`CCEQSEMgh6Zb5-jEK{0Dee%@`s> zPz0_*sxT7y0amgWow;GF3zDHL-m!FR-x(4~;eLlhOa>F+{Qv9qO_Qq1|&!?CjfusXZFnBzmNUN?umT^0l z09h^sjp)7t^3yYiD>uajX~zvE1)uP4j)v|GM-dRTE%;9KIyB3yAJN^uuFO&VG#$e4 zR}8VYca}R{4pX=TEmgwot6=M5jJTbkM%3%ZqHZK$5u^oUU_3Yq#Q)LtA~1!~7BA?% zZ=e<8QgLcD=!o?2Uhr+LPBW`i%w9e=5MtinYIM~0_$0qzcVU(4qg;g>DZ~{JUT38) z6TUE+aKEc=5d=m^?T7<+z8NorPxTlQII!vY8ZV8rkTB_{6|A0j1{EVNqA$b}`5mzG zy*}wiAj^njw6wuq+0X*)0z;Du!t^;0|9%}qg5hX%6GM-uFgbv4@MB;s|Cinm>Bfg` zv5|UEin3EqQk^SU2sL0yHifK?63oJgFi?`lE1)bWY09WLq7HCcDCSCxOjn~2;4TNGK)IkM_C`WHkS&6z zB2(M}KbZJJq=d92Me3rxFacp5H%DMtZum8k+Og-Y;%Y)jaZ2E`jI>_PyyScth$k(i zl`n*|+Rw5x9-Rt5ke>0@*`Z(IC)aKKPgAcUndE_53v%Uw+5-YDWmcqv{9yLou<*0j zl^^b%eSGLwR$727ubsimy`t)+x8ASw{bZ84%1gjY`N8Z|*n&+#{ZBmjXn8de#aT@Z z8E93!qlM3MC7q;I?Mn|8THq{?tiFsb{V@H5T&u1tDIqOXnWxvc@=#uCCBZzst_3Ue z$E(>dt)!5BmqhYQ`mv*;vtL`^^Id?%h!|D1U?xADDVp=Mx8kq~9@MR;*D z@C`XKpmF|ps$)>jjE3;8WlZBl!o{ZPgrz!j34q&0TbznKxD>Me3)XCyl8VzI)+9=1 zqk-cucnPs&SouII?f^)Oge3)syCoH4KE+lU-^6|x4JF~9Cs7!+8D+c^CWj6zAro^H zq%e;0#g8T3gDs22qVPV8xx^u_f38FLKEzcJe<)T#SaB0#AghVM;oG_(VT1(L z_-6~Dh%vS6@MaM2r76M7s}BUST~p-y5hK#uHGb8G~fB*nOp>?dj^wX zrgD)tcIEguL_^&?<-j#!mB61?YRQT2sWlT`XHhpAl&6-b0J4hJcC9{=3N8czyC(zy zv;`+m-Gqs*%;8}A={m)1sn@A1d51OetBklL0}aZd{uQj;Weim8CfNo z;)Xm&BSX^<7d0NyTD>GzlsVYcjY5_(_&6ZpmM=TH9d=k>c;eY~aN3c!%3gh_^s=PC z5llU9GME5`HO$)MjrBHF;Pctui) z6`%X0<~V{^u-_jM9YQe{IL87j;ee((@deydSl((D&iue}R0D=;mGel4HzSo~gNzvl zRvnaA`X7?6!#~TR3SK9F~E@Qf%eKg*}=q8-V@yV>S#GubWq} zlRIz@cg0!Ox1b{pl85h|=Hu9^>tTnkta7Z2JZ!s_^$JSV4Im>Zu#R%10+~SBgp^S) z(nhdaPk4a>_dE)Kt4;NqqnGFZ2wa2-7m5?U5Hcu%-zk94Ks+DkEl3_32S=9=%0cwt z0wu%;(*aLFsBpbQ52%UnU}9Q`8OPy;z^zp)ZlN>aG?+xfA&d5Cm?q#W5u*;y)y^j* z7-cAB3hqjVkh9pYTmX#_JQ-2YKSy;$UXItnTS5`0q|3+}^ubvPZNboSrWF^b6FJylhZP7xi&Sjw>=a%o z7&yFQNILZoY2E$!Ek`_TM599sNwAY;fAcQ{e74V}o;WO#J;>4Ucd+DtZuP6+Y9=#8r*kErhZR#+kyq|2K~E1!zhshKy?rsyvU7) ziJX55gLgFbB#vH#sgNk2|5A3BZVCT~T9rW$wAGWvFp5FJAB>TW!aOn3AOjp9ND|A( zi}-2=@fjJyC64HzH5m^!3YgJ#89eP#qmFIeaUJ`Jp(NquLm`o=7tBEM&|xUd0E*Lr zNB3bc7|OM*fAbe&x#3hLk(U82iwF|2;5y=9Y^>zLVupe$^dScGL*gsA{0 zE(K5op^nyJl==?opf}h^(Xw!M5|C=qfW*Uw&3W)u;AG!?9MK{s1VA@M$Iwu+2>vD( zB2=IT-YtrFH>}L3@n}d66P?l#SWZIx&`)^gP2URnYU|(z$HGPB2s(@D$v{^H`N`#1 z9&A0Jx15!DNJKTRT)G$?)CFM_-?Zu!udv)jp{o~~D25gqNk}Y`klz0ZWDsS_trFCz zWl*7AC{2s5KX==&q98-UCy0RP9j?{~@s!tM3&9^$^i$M;Hb92Oo#JZ{1pjR^e-9nYZQz`m~&#Qm&IO-5o z(BH3KO!H9WqIx`mQqj>?{m>3Nj0$LF@eWmq$1t0M3OZVW+AtWp40Z<{dleiBhRJx^ z|D?TIo2U_&c<6uNqW|zrYk}Km@#$yZg3Yen zjFvHa(?8tZD*Hy*-8w{{7_GGX50(z5`A1KnB;9}ey}u*G#+eeL+frA9clXWbb53=r>puoT=>_gj^w zEK%+Yw>^r&ukiRPOX2hC1*!b+8hycAAH0O=*^)@{^y<*vC2F^~sbYnq?oJ%}NNX=1 zh+y8d&}vS8#ca`lB>#oq?4;Qt)O7NR@A_gpB|2gq4pJ;Gx{e=3{mEpjOi%vVZ>Z2N zP;v8~Lmv%JV`Dhj?fnNa6rxn}`CC7SJ8+v|#e`pirdVYK9URkIP_6Qf=!O_@(WN0l zC-CHr*rMFx-Co1Mv?}hWEv<0~j0PpZ4$^FZ`$ahrTnJrvf~BcoMezh4)g{zS{%{iB z8=S4sx>c;|Q1=J8QB*BhR}>_8Q8dsDq{zUlxEopqHK`5M*y@S6ueCN@ZL!cYqG96e zL&DLIy3{MIE84ZZav!A8-fp(^M}F6#1K3L~iZVZ@9^D_u?fKX21|?{fn!MrA0eIrX zci*M{S3^-)>q)#Oum5x5p2GEy9z-j}Z{LCoKnTr0ea@3sYvdBF*`GbBOQKY$R^;6< z8&i9PACnw&swiG<)tl#m;4|@cYgFFQfaH_F(-hwpb6@Wb~8tN0P4fvFA(Q`~vw5@WJ@34M$EakVtIc++a7cq5+0 zgE|Zrkze=z)rvof5341Lzu>0SJAaHlm6(E|_VE!){DTESjG^ZLp$t*9;IW_0eBx1j z*HV^Ha-vPJ5n2~m8+MPFq=bQn|HQY*qw%5s_dN85I7R@FTXh3HMv0=__b<#~P`=P= zS0-M)^{Cj=()w2KKs{pIaCt4|j_1+S)o(Y$L=g3$a|Vil4c)TQL>(UND9JN^I{r22 zCi`2?M+(QEADu96G9+llv+B{01%RyBpN7uNb8E$9cb~rLVdtR&6z2!;zv*W9a~!C& zjsBn0=aVO!WeYk4+H_kmI{feHZ1M(PHEpQIBemBOWBYF&{_-iLE(iZ#RFPV{Q3`kM zvrbWT`lg%LrP$doJgbRm1*C6@IEeWH-6K>}Yq9~S6hLi^t3&8f)E}pRqM+-Q2X!`j=l0A|*thr8bDEKV45Q*FSnnqx=0v&GB0!%(^f%Op-)={U5!9(6|^>m+A3&m`m|NhRp`@JL02PCTLrC6 zp|%QIn?7w7bQSuvRnXN4)K)=jQ>d+i)}~Ke1zm+cZ54Di0<~4p+7xQ5ptb4KRzX*x zPg@0DjX-S`v^Is>Drjx`v{lem=+jm~S0hkc1+7h?whCICK5Z3r75cPQ(A5akRzYi1 zsI7w5rcYZ1U4=ev6?8QMwN=pC6l$xWwdvDVL06$qTLoQ>Ky4MYHigC;w0SD{Z^1zn9mZ56aOh1x1;ZThrT&{gQuRzX)IP+JA9O`*05TAMy?6?7H) zv{lg62-H?VYg4GLg4U)_TLoQ(K5Z3rH3GF&(ApGgtDv>%(^f%Op-)={U5!9(6|^>m z+A3&m`m|NhRp|5o2NlF+z$pNwCG zd?|34vMu}!o%RA$#`6PlE4~Mu6Yfcb;$DF;1rQB^;{pIVUk03r1oS6e(G7!2fBjPc z$)IEg2r3kR!y#K&m0Pbpm^Z-y6wE(@j?icP1%er>0E!k0&C-;CCjep>S!~De|MWxeH}>c9ih1@5R86b(tvb*q zViC{8xcsv^^IR5mk#GaxC-HOm54Sk0Z@a)RhWLr-Jphhu4MGN=olPGds`!ATS2SOZ zOqwz&l{7|kEEV`_T({#Mkc%Z1=qduSN7GGEoj|e$oq(GNGA(MJ0z_hv$vNr*Rkv&WgCRo&sdj%Me-Ya*@R;vpks@0pOYU!rg=&`ap z22KG?5GnvTdId(+g96mfud6<>IEILOQi*t099@~IC%sOT2Q+73P)g6!1oE*TR=i~2{_4=%yL>f>?FWx4CI40 z)fJ}yZm{*2jgUnC5;pSa77kv$D_QdI>XvR z3OtID1~jK?>ku89kzAlsS_II#hG^MmI0J4s349>m1~XKyKsx~L)KRIYxBvwu5!Xh6 z(&}*#%;QQbZDJbpyQ!j^D}@{1dHlP&l-grw6q2n3hqSHrvCIYF`&ej~RhqZHs#YxE zj*UWOW=nqR#U#*Pk9S*x7!!b5u1{v9YQN)Be;OF7zFSZ7(s;AqSpmz^>PZKnuTrLu zCf*DrvfIxz6F`k^-lz8-r)3S;vXo{{GZ{#%7+1PUV`Y_Dx}rKD7UvD~ux^+Jklgg( zsaw#Rj8p=0sSreqrJ9tKoLZ3GW$owF%I;>R4B^bwha7dilmC%#*89#KQ)vm!E@(%? zRPYi=*cS|#-Uj7fmO9MTqHFB&nUguBx&2c)E!9xj@bj7y=!Y!{tl8Hwao<;*aXRh$y%oP+xKzl}L9<~wG+Qc`os93-M}dGk6QqCo-QS16 zP{4hDs{@i{$Pf$%Aj(x!;+jM4EaX(PELa<6y#Op#R$vf9p(r^o zj#3KUtf`jeK$4*0gm9UCGPFK0d|@2u3Kb~2Ep_K{L$)U4MW)LbWZhS^N!jr_vOoX@ zpqkgKxXXX|r@zR6Q5)CXM7*ldo)7@MMg_72;Sfy#8>^66;2rmYRl2};adk)1`=Y5U z))~Kk1SSPU8Ewp|dZ*su`4VMnl`5&3J`P$z;Sq|qHpo&tFx zn{%)0IkMM0c_vUkad|>@Q0)tc;4#-hFhWF#{)*IFp9hnF>mSJKaFXH$gs944h)P{2NJ)viX?zJ&c0q3r*bE@WyLe6ZeMYzrMe9k!iVuLn3Kiu;D|dz5Tms(^lxzvm z=`Kx+;}bZ9qBf{@43B^{#25Gs(09)alp-ZwClw9wOsdex28gx8^6^Z=PRC)nvGWtR zJW9M3c5tIJ3S4>1^68MgRkk%JQ!m(F@KO>Gi7BN8s>qmKUIgqhr|2O5TS_`)Bn}v5 zunWOE-@(G5#T6L^W)PkMxw)cCjsOC7Fzo^cS};EPzymauitZ2{>?HxdSr5=_PRRN1 zcYp`Gm<0hiq5)Z048B<3=p6!RTK1Gt6q05}3@n0G6=Y77V#Idxdu((H?~|BQbS1Kg zn{`}Ng!?1WXmOunfx%%)foAx)YFeX3;-hE}WS9_F*|ewk-};*c{Ia^$I6P1SNSswT zXdX1R&1^~M{f=%PvLh8b(n6B2`8z7^vD z7*Cj1_#@haCW(5{sMaU62uuT2i(UdLyrjdrZ$UId!45F)TmR}14)-K%m?j)1%)n*RZ=K)p;w!onsUJ5d9bhQ!~Oy_4{FdPrsA2s zrj#)a=!+sK5kL_NI^utj-NU1hG@69MN=?P zU?67 zXveyts&`bj+q_YFy91_wK(m}2bKiFCdEdU|b8ed}4i*T+D>Q9io3pEmOOKCXGU+{h zWMP8saF~1Bq1mDF?B^d|+T;vk!|&vBt}^^yE;ncIvaxYnPSr>`=*t-?t3+7r#&g7YxDe;!ujhj`2LtZKi#~$YvHlUB;B)+nZ4xK z@19iDl%F&&4%f|SOA39>oGK(QUBASf=x$eu?oK7>g^kTe!zhu7rRvm8D(lBAlB(CD zEt6j_B+JR$b52BEg`~Rag-x6m2aKiMrCKtwhpqYqTS9wm0ULe#ODDr->1T=^BN^+TMUPV zS#vw9HbzD`m4O0lD#g+K5eSu1J>n3IMn0Z@;(c*s#9Mn%8h)qfjCW4IJ2sY)ebCUW@BOT{u!UUK(6aeJ-f8O~aDP5N z-U&hsn}X!i$890tsYIyQ1X^JXwAJ$FaXY^Nl45u$P>`|0bjWz!UKNnZ6E>DQSEK_a zf%4a9VtQkhw&V4ch~gG9*wGRTOj^;1t4uH8zIj;6)31l}zx$tlA%B!n?$6owaI!XG z4}X5+QO6!WlB9NFT;d0kL()#noW2-jX=mu?kCy4k5wk)SuUF#5Q9|s3s~mJHiq;ix zc|Um(LMhn=l~kKH>{ZZQN54Fi2hhCWWZV_mmIq1jOvO8Xe+ky1BG@`wfkZ&JdA)+@ z;=;Jv&{_E+Dttr~0>n&f5gZO_Pt=!_97}BHkP$({WViXP}xwh=X5* z4KvIs$8dXKSu)&2-w!(Q9bje81t~~0hI&+|YXST#cvj3EX}GJbXv??{+YHt~bUkR^ z+|@FGz{B8Y2s_4rG6F7~T!r9um7Spw!Ra`eo?`Kj9=Zk`rroiWvBy>l&d3;S9{cVi zX2~uT2_%;T5!q`{DoD@=HUpYHsE~jMuWBkJpFA-fETWwxuMMy~fTLk+NpNH3;|?+A zi~tTtby5+g6JezmIv!CklYtfW6ma6nA>lrkXmJg2m=lzom!v*2pddwpGuCc3uZ%b&OFtYN?$y8id z^g}r^U#J@tW2IVk1fPGaUHaddEP+&uqTTlV`G2#R^utRK?LBp@} zfO8!}0g|Xx&eKMPWH^S2?k?1*!ZN`-2kwT{Z%$^EMP`wl)%Bc4#c-nRzGfkKH8g~Z zS)wH8lO_Pg`v}XQYLJFjQye}M80dmexFwI&R`tBVJt~kv(+eYUe`%R6;F(C zS74%ZV6_&GHAY#`QAf+W8b*MX9U4E(VqU|3;r7!9D!+2}*`~x)x2NXm8bTVMJvT$G_qCKrU(1~*+V^| zu8rG7-~Kou?!Tn9n)rA^JoaVL#7#TCyNtgN8XrT~E>8T=#k1AY<8YvJxjv{d}qUFIQ!X0zv5{yfU31o7~i+|92PoR8o{p?P0W9tei zrhMWhAs6B*q42!?ds7F33xUkBg!T@Z*7$#B+MN$SV|NfO(}d%X2TNGL&e8J)Gh6g6 zpXtXFmfE#2p%o@&CzXIZXE)cI_EyOzRYR%4W>;X?b~*=~@SZr|D&=kVwx)Tfv{TM> z!_b#mwB>vtXDwnO0;PE~v8Mth69f$)q&E%d3{T0x@-n>|Zwz~iXEYPc3k;b$IgMs2 zhAHba4IBP5IHmN?(d=B`$fc6Gskgx3T2wUVQNO2|^g1vvP)%=`n>3&1RBHJa*7NYB zcz&`84{o1QJ+2ZsIy5#>J#-Y5^qNUk=Nv10O3pQsOv7)_Fhlm_d^jypc=?D2^E?Cf z;OJPlFu2$ui7?s~j}Jg8IJ#jP61|bj44Q_r(TpFIHe&HEFB+V<5_8JwcL#q;GBNnl zEvju*+&>8$hIR+n5_)s5#-b#GB7Wo)5TGENl5V4*8;6*SMZK~(fMDYzjQN6dp^ssM zI7IJo=6$}WmQ}HYoJ&l{_0!Iqen(i5VMy(bQ!-djf-#vxpE~2f=>{*K@v@S3#S2qX#}_cApbM)a$2Bt$oGHMO#>`|B(QW!12YIDcz~o@0-|V zCs4992X}zwN(cH>IPe;3Y5!YOb80A!C|)v@yV!+_GQ#|dJO`Joks}$@?RMxhSaXwV zh1RqF;Bo7z#z!=g_54EUBV4k(!c@jnmjYNj^0@J|5hWJfkq|yzqGO)5uvl|wRPbgR zyU6|!?&!ckBNa~?2`jw|Dq~`DulfAAO2M?IWp=D^1V=zKekRS#;y}u*m3mBdHrt?Q!eNC7yxSkxD*%+OmaM zRK^UN2;5}qqgD{)Y#LCF?KikWA|umx41pi|a)+Z;>d6eR1hkj?CJgRNJm9jM2xA&6 z!H-O*Qj|6CH;x19AlOKqGn~@wkNzdD~)7hw8RQ(My9p;z?=vH$Wzhv z!Ce1bv3iERe6o-@>kJlh6RzLe9Hth{M*Y#QjNi{no7tu^7GqFl4d=#w>ZGJ%G?H`c zJ(&~#HnnglL%(`srdj$*vruUS59_CyVaNZ@uQd9shl`uFL4J`>2Qf#VsRW3lO!5qA_L!dZ%->4>aRT9a9i2}g7_G-u#sB~FlK zgB$5nDk;^{vKxm_hy`BE8wq2hn0I;@+6xnh8i*>$a0)SwaCCsE6d}JcF((b}8G^== zXNS%Q%B^Q@Y$ITBsOl1%j$0O(#eo~HGA^;m_IMz>rUfnIVb-A{ZV_&V4_zWT+ZO>_ zT!<88d*YTCt0uY@JS+Pk8qhX;fM31o(v+d){uoqj-r;jT5G8O z+&V<>pkV4omBx5C7R)jmg+{Ol$x(7ROVFF#n3lYE9-i_nX22gM!|ZizikUkP8x2hYo;PB z2F;@gF79PmTZ(3(Bj7CxKQ!mML_HaHA!e&j{hnQ0vU}J{xwh=mv=&MgNwsZCH#3+K zUn%_3#uc*5>P z{ho|gGeQGB*2MzZhoyv1#1})w{Z?qoODoVP2U%X5P;Y$acmI=ylECz-hKcpEO`-Gy znIR-phG`|ERIsRm2@&K#96rEU`OKH03t&8nxejwZA>1lzU}B5Iup;pPfxSH$8#%zi zAr`T?k?0}%p)s_fh^T>uh7yKJ8$IeKWXPZ~L>1v?T>i_Srb4Br+HvlCHoHc3w#sBK znMa`I;YE$?M&I$YC=h)on3O$G}&cu^%V%Ur+SR7)h!;sj!jUW2J%Xl7(fY^cJ`sFet^ zwl0U~!YI&%u<#iQ>U7ltS=EIdODyZok=^#9z3#S)a6t}OmP<`L&LRjlMZpLq>HdqF z<2b%Bd|equ^wC~l;fT;`;1Uu>1I+iXXBZC)etk(7>O&HA@gPqyNYOeB0aTQZ62aqf zLe(r9$(bvh_2>a9L7*aoEMgoT@Eso3lh6P5@N0qDp{w%7C7au z&6P{A2DA(U#vrO-1=tp0h+g)pq3n}L#cHZmq@V)Z8Db2CX46kl)F_^i!IxAtDj(<3 zVbskX5rRYX(xG5Hj5z1`DIdZLTb}t-?b>O3jCGw=eZ*`!^Kq37=QOoOecAWS!_XvU zFD=m`NGIx}1h$}D##Rgq*5g4;6(G^UGCXG}Iji}40zpZas&TRzO#q1uiG-pttCssX z4U7rC+3~&Pf^jRj2ZeI3Tg)uPoe>UGfl#QAZk7M!`O{ij@j4v!yt!n3mW3tW)ikk? zf&5aP%aAyVN-eODr|4ok+ivcNdUQ+~8WgcBc~*$xEmHOjE~|WziT25w0=1{SaRu@JG zo8}I4=u0N^w|*v|X~t*npHVHRXQ8j;R)WT;cibwvLA@t3T^a;UVM&Ly!wvlDj+9Jc z&ZN?}u*w^LnlBVotC;yd@2Hs#jAC=3a)xF2@j@9E+MrO5_@q#$>;)%=AP=&hPWzxe zD2bpX1d<5^B{JxSd-@iBg-t;H{iJ+t;GG3ZYJZIhstrL4$74= z=I`bV1C7zv{TVr@o4ra2N^O}Gg?PfEZ}z6lQ_hia@4_R~3zk1Wv!}K@pkBkQ$?0;i zGu&iHMs#2GFsn8}M!O2Tx@7M#taNVp)!Tk~#9Y7bl|3c%yM(}JdZNH=C z=7!uc=g$5?dH98OPj1K`o!Te&ydIwDS=8qJ4KXdXA(pA{V&1X);JY5|Q&Pve_s4Vl zKE$W8`{U(FKRl`R#^5a8Q;ND%8x?K7V#enK3b}Ni(R$@q!(MsTuQt^D0v0X?CVGl3 z3EdDI_@)8}|411UbV3ayejzy?gt@TeOkyUOaj0X$K*kpXQt$1$+A=zusTj zV}CpO%H8T>aqbh3T4yiaY+EzoH>T1v_5OXO5iNDgnAiB+pvfDbySFeBe%29UYjdee9llul-KXvURo>;vhc7oA~^})d-8rVm9nhY!}XNH$7J4= zwv^(K0cM9&xv;~Z34iBg<=UdV=~`|4KB_GkUcEoOxOi!9$H)q({KC17+umi)+-$%P zl;?XUIP1=`k=MghjE=m1_SDAvHfYUPRs2BDe1+h2L`3fx#D1lI?qpxk@?x?-YAi3q@(U} ztY!zFw4+}5XNa4@z%K6xTb;JDnZ-Rltgm8Weo>+g>rfaU1ttXo|7P zz%tmr@ML$2g@G7J_!r^cN2H`+c_+1v=a^?IFbUz|I9W_993Fmn3)RL5{PB1`v-)Rg zIWkm|y7?E`B<+A;YGkqauvL3oJ3Cif=JP>wWw|vTF)-`V^4v)NY}wr~w6e`jTTdXs zaFkUn3C`vfc7RphGP5DMcZ~JPsXk?cL3Rf3Lo=c^1->nUlZ@X>%PJ7>z^#393eciz z)!vyMfCFGjLMY?lsd@>xhUqP%Pa@H3!skz(Gk11CE(p;4W2R;D@oN`NK#)S)N+qjG;`Zg!N-~o;@8eHOS!$#AK6&l?-lbEg>Axkh!0yh@+`0GMbI+fmS;sf3MrA)P7(~fc4h3DhUfNU}rzVvaX%7V|x;3vGu)7^J}-D}7d5UJSNDV&^( zCR{7msCc!<8v#a@>9?^Y=`$V(Hl_h)L5B|46V^;+L)iqBI{K!sIIRRu5N;4|GOu_^ROEK`S6!&>mMs)E*sP1+Ss~~wYNPlo_=|ZTZRhAdgx1pMIx{; z3q+nGx6E75?qIqg?RyJF!LTRBWTaz&!;ipQrJvtX9b3K;)v_B%-lRFYc4#s>DT@!8 z7R*1j&>7&fAp^gZTv za3wIh?A82*Tv50g;E)(t9|~=!-&Z6{QmJa)A#LBrp_DPg+gulFXo^F|2d-4Zq%Hco zd2w0Hq}a`&T}A%uE9GR>RU$Yr?1xEeYNtKp;q}UPq}Zlt54Q>9bGM916mKOO~G|#_v+#NC`P#jU z{aJ@=e&_W0dl&1q@lRD7SH9D0;>+KA{)G=$FFgLetNfK~agqN<1ONJeQW5g= zz|hvDEBUu#cL>0pv7Jt?dlF9t6vfFAly?0#;d&VpX%;^-!y^&3Vd zQ>_rQw&)PCZe0(Ped;E>)tTz@s*Clj@Ytl(el8Yf&8+$`0A{nj<)hR5@-Ba}E~J#o z@mF(HIP&vWvnbBp;0-L4?*7TX*<{4Fy4RMx>XIw8ZZH=Ld>h?499w$B_O<8sZOfeJsA$cr?h1>zZ8{19o0IEXhahbufocqf% zGaPwCf<)wseRj*)?FX6EJ2zYXU+l-H&r+tpNY1T#@W*9z0m%Jn-SO_EtMi)|*RI=^ zzdwx##XN=ANz64#AQ^GP1Q)Z2cfG~VNof^Ds3H`NM0c(tEkHW1xhQp)!My+rF}d68 z>w2jrXcL~Y2Zn(RblnX6f#ubeGDufk1q%^&Er^Jdvb4HSbGAf0=}XZu5z)5c&m!*N z1IOHe6qT@iJ09?IKm-V{6_q_>Q)=b6U;LO~)Cb=cVc=$NBK5%yS=24(87{i!O5wcL zA%95v1OyS~z1u9h9VrbIE`jrD$C&6F%sL2x)=B)Vof>uBPPtvM2s~vYJ`e$FuCbz@ zNcN@I;^nq5!>i%qMjBg4!Lpkh96H8Nu&(*g7Dy!$u*AM6Xcs1Ky3pNOo#Ot_uz1f5+qv+VXwR6J+I z1JdF`vc2tL&=S0PVt>^d~7;fK}_H&~+!CP9FjKDzN_VxqHi7W9w)|qQJ)d*l7P#tI>sy<+K7GD zf$KAy@u*yVaCt>c%Iuj=c5U`Earqsh+CQZa=9>1UbYJ?}mc6*1my3lBPcHUN-nR3W zeOheA7ffrgQ(7rx%TSjtHvQ$O_?Gmo75;F-zQuwIg-mC!DGA38DFewe84b2q|&`os^2*z${c{bm*t{NaEgCBeGL z{0V7bTa{zz59UD)WJ>Eo39RNtqE|%MjYX37X-Pj}+B);LNWNFyv;&J-r!DIMN|mf- zO%nGal_ox2$T`Y=Nh0Q~v%)0u27C*6omX0Z{$tyO#99XwP`b4IcT?|}U1P1`G8`!lcWGm6*r9ca2 zWLOQWEHe-^I7f5ij=YaAIlmHX$(=y)hv3C=uBln=)D%@me8EjX9JOrG)CAxq77YxD zXRQD+T8#QI30-IHSpFyAz3%_h?VmZnRwiz7T6GmF2)QslFgP(Z> z@i`OkC#xqcrP+c(597$A1N9c+Z?;0U9g^a)4jw-(A)m&F(3 zgT)|mS9vR8aw2qznQ7s{s&>B;{Hi zGcj4Z>mzQk`O9sheGRN2CvQX+7(b3vV$nO=zFdHu4Ed4t__ z*)Gy84iGRxpi+K}E6RJ5A-|;;{P`6QXA4+F6v_jHQNAmFSM46$Mva2`$7t zGsbeh<6$p^M6C383xo2^wI959tB$%+H}X;g{~%KBQh04*sE|IIqx+E%fp9PfSRkbC zNKdb)9^N*cM5B;zQaSl>z!wJ0nqy=H$v3kW?KMgG!4xwWbw|%Ks2Yet;B8+cqHo7> z#Y`L@@Q87OF>wmMaPyrz!QcE-#OmSxuInZJju}LAQ54m@{CbE$*>>b)1vA2-AQ_S_ z3WAVWNTsq_fS{bxrkL zV&cnOp0_7bQ;Wku%aU{^u9;W<^^e}C&BQ}PB{5v^2{Ey?38^PRwp~EVFq5=^(N$c5 zH9!tg%@nHtXp^r6oRgssAycpbw+hu>pD}3-#;%qlvuTbMKEZl};UPVRHXC7<7~Pp{ zFc-5-Yf@@i10e`BF<}Od7p}W7k-KIdseI-#hkM~Mp*g|`Q5YyBf?Rf>ACvSMW_V4t z1>!7VP?-n(S3(_O2VW^b2&wUlu)G;IBtRE!rdyPg!cGwDfG!be6k3WL4&*?+vD9EN zm?A=sw4}wmawR5FuFo-u;M7R|F*L@ISsQCll1jD@ z)`-NTT5eHinmT3|i)k*>tbk@a?aXMwaKNp6_MCrz4a>4-gldLsz7ksBY3q(qt#Kpp<`i9K1Q1CH+ z@@9O7U{Qna5y5i6`jgT+Zm;F&Ng56ZfB*lg-n3p5`FGD)k2$3OC%n zo*#(j)e6XUcXfo zGR$RJ(s%0HGCgO_b`JYDPT@%|i7Hk$vFgsr?8A0AqlrstQ-(KN|GYEeO^INs-u~|X zM(cOt@-_R&c(UA(LVdN+z)&u`DHy1>O(31NW566SHpW>8cL?vMGV1C;JogKfm=*hL9JF@(eoh@18h0g2Q_Wbg> zW;l6^xE8$ZKMF!GoexU$FGPc1*|~q;>Z6}3y)M@Bliq7$^^;$FVC=b(wejd^VYF}j z_2`+W?*l;Gg(B0B2PcMAoyfi8$N)b9Np60b(9heU1tP@+R!?k!9SZSS7b{eCYI z!&*PYT4WG6J-}abw9n2s2oqL2FLClz?DVB}U+-ts2p2YlcF{jwGI;8r?(oOLMoIYO zc6jM`p`18wEy@V>7bnz*gwt!kv!6eDPygfm)G1=X$o_bZ2A$sdZ=v^4ML(j#WzD%-B+^pQ^9!&ydRCNA1bazT~Ypf0T~>7 z`NsMuNBb8xo|=5nxb(#|%Ux=JVV<;&i+)+9P+WR(#k*UrURrR?^YZ+;-Gy%#!ra2y z*f+tYTc-!l*zbM23|<3uaN|^uNe}_JXoGO`_ILfNihTd>*|Q&v-WYtV-S@`18^1X4 zZrm8%eP+*}f9K~W&wn#|yY)+DPvt_FGSj@bzfz!6X>*Dv>?voqIDF9uYnfKaFQb+`eFHcO^RNO$}>} zl|!1%U=n%Nb%E`cpKFxPZ38=>x;8RuZ2C*hvRN$;1=pf-seV276M192ve}ZGw!)@s z&)dIgoBpMg=S(@s7D3d{`Q&0S_T#r(Jn=d%Mb}%W4Is?6x6Q#7f0O51EiYREemr~n zA@k_tUq38f-TCqpX5$+>&$h(29ZZzAt8y-U`PTl`@YtI()ALi|fbrO_v8PMvm*9RL z`#daAQ73I_M2GEOL2T{=5*)$xk(fB^~R}zZ)fX(u?i6fmJM(EEgLVsH~V3)^`L5yI$l9gcvbSA5URGQy>oK+R3>C9u^j=vwo^q1N`YGfcy9Id%7$S|-CVTiA%gtjo{xbFmkFv*R&}aaem#0m;oa zUn`5g))@8?vYqbW6@5}9}t?FY)q!H z^`Wvs-p$je`_(&YksG@cN~Y)3ZrC6qL-PYqj{upc2D1->Vy!|xmvG)zQ}u4NpL~+{ z0QDkBL*6fOoIVEKv9R%=4d>AhL)drFK1P7sn{pRJS==@7ZK6~GQx;->*WDJ#@TmXXV@>TPnA%u42OX|CcSheAK+j{6D#@3bfm;kXbo3SRup@z z6>oXMc=^kbFfthh&=-)!qMudXy^l=gU5Q}2wXv9>$B6Hj<{Q$z&u46Av>489nf2+W zy*{rPUG$gC=U|Eq_&c~0jCE!rISx|~G4|#hi)PKgf;plw+r@V0lMA!sQ{lZ)88es^ zYk8goLozaQy8obe`o6AU`oN2H^HYa}HpP&vF?rAjj1%&NeF7h0+#G51?Q;KfWa^UBbpNcX7FO=WW;_ zsddFdPFoV%PtR&EZu}&2GC!^rpR&IsZtl@pTSD!{FXcb*?zbMV6$T^26;Ti%^sfGa zTl+Y$@HWY=grlp4-Xd&cr7+waDGs_EJw#ADNyd_tTWQ(8QcP9CK-Wm}5I_}CCOrNXU z$K@~%x}_bqbNK~-tmE#ZM#j_Dbl7%p+XNE5y+<)l=eOEn^h>^d`)lpLhVS4OSHngX z;8*B{G3J;k>rQGA?%M9=>#1=NN4F>}cq?q-!t&Zl&97<ATtBS62_RbAC=^+yPkzeumaKBlTG~hYIMq51+RMW5?YWLJ8o;F+p0y8-4ypsCCqfDsm4f&c?;IT3&`ksn&AZKHtU6*AS1f4OCWYvg-D7PBINU_w(yx z>GU%G33O87v^n;!?N3IB-+JV|Hc=x(<0|jOd+9vcBST*F`{&=O?$mp^8Ap0~AldiR zNB$xWWb9sshWg(AWA}17zMs+Y{hX6OKJu6HKz{DnUrHXS|7YLoUF*M)J#y^(mwMte zy=0S@RG!O!ni@k+3`rzsJKxV#`J?#z-}|pM@klSBCgay%Hhw8;Sw-6<{4H5-i)_qMe&$9p$~$sBGAfxWux3z z?PAZW1S|X)<-_@ofm0Ky7d#w-O6TN2E}KTc6xLGfSQ`TPbOR5LUmdtanHVKL@xwCY zj4>JRx0a2vA-W>zKdoe=Y<%S>{*iKILUlrpbkqcPkQ)R}UF7?ZR7+%TAP?7rx1>Ji z`n^ZO*VKcr$324P-b?k&>dBKjTs=B>fAh=L=wahw@r(t(@Uu;8x!pFRhVFdUp0IqQ zp$l9{G}Q_*0;*6~ki8Y?gnn!Ok$^_I?#WPWS>4??ZRw`@Tw97ORErUdKm<{Da8XDP zf*~x=bP|x5L4Wm-B#hM0PMRm~a<_8=8)rw);3Y@0%CW73lyvTV2#ZWm%p~1x8oP9>~UX4lzYxVsx4b z;GHg5hj0KFhgN4w9WMuU>}8?^>!tzDGN;3?E6F8NA*Z;lEiD$s8-=M*P$gia06>AF z4dZS-G5+DdxUWP^n&EbbE)R-y|4EcV%iVQ*pXo0H2Uh2`z9?B4NY z9SC9F(JvPc7NR3|W?dd(o)AM_@u3|YfypYWhs{J9EsJS{zO3Nw$@{IOv$YJ)vtlV$ zL(0akm#Vh(m%-(|tG3@3`er01z-fd=NSu(7im~r(7i1=r^s0Z;PV=ocvqi6L5~Qq4 zt+JS|cs3qzvH*P^b@Z~Q04j$P9tZsox;PiZF=WX#uaw*Sghd@0mW|(fMYCRwbtmuV z62o#_l3J92743eM!xib*t91Mdb;bv8mLJ>Xx51S z%WR)u&R~6*iL6O0v54EB=@907vvo3^^=tl?|CnCxLs_tJT(pD4TLmwEup`f*W4e~p zls3cpQp_k$f>%%8N#$!D@IJU!W3%(@|3c5ShrM-MrUPcl+mgwy1LTYF$Zxn6Xl05x zRRzBFA%*xs<5?RYvC6g%6F z{E4;*H{3z*vtVFV51gp-$e?}O7K?Z%H~nYq!}g-w1!A$}E{j{X0W!?A4qKVyW$D5$ zN3aezCylla9aZt0i|Ks!tE>Bh2dnYqwqLT}vps57?a!pt3a|d3?;nJ2T@E%14TP>y z_v&BDm)(&9y7ovwM^q=C^8MYWtUojILy3pH1d(gw8GS_CIPYtc>A^nM-O1#S~FyW9K-O&dKO?A8!u9InAab$p2msrQH zO?-K#i57N=?p%wTu_$Nndn3htU{JL3MM=J-S3;)Td~PyD*5=a7v-yAe|7MhU(!y6W z)X1hvtFvrOl`iQ6WZV}#at8w;SQHw@CMcBOr8b`v<_1h~xdjtec>tn&O9c~y#!d8< za#ockZrnE_8fy?S7D})>_aMG)IAxWY%0|;M+6M1pp25b$x&*!foQL~EH!SRe_{H@? zjfspRO2?>qrakiDE~(XW*1-^9QhL3`%2?RJ3s8$zDB6bBkaFF1Qh1N#{#6n}GM^>V zMuU@`W+lW?Bu2){91|;3mIn+0Vr_TBfti9#W$J8jK*G{h$_}8YVi%^L<}j= z(PQC&lEWtVYho@0%r!+#t*+uD2i~s*4#UQ{0J^FHWY}niE*#ZJ<-~PeR#rXQ^z4Q) zsz<^f$VGfQ6AMyBBcNn05>YZkEv1177R3*yv#}~M*Q^j_y&hCO$KcfPPLK|Xk<@dC zbA!IfT&TVvCli6+$_1#8a|L;j1GX1r#vgpBzQ5&r>746n4+T#C8o8~ioj6sb1JOKX zGdR6xwAUcQ6_lt>9$9Kp9kOl7cbM{190K0 z`GM*?RZ~*JYbk~5ApbskS9g?MfoO45X8A~_qyww0Oh_3Sl_tL&=0m zD4WY-YuwMgZB;-mTPbYD8kHgv$R0Xt+o#?K=Ttqi_h_@8s~MW7L1fqgX|Q^Y1TR_y z`Q*?J>dZM(9nGz(zGB>JUdZ4j>2_gokKf$lor%89!loAtWMbQ^3oClg(`#8+$oY(3 zAZwlx?bH}5$Auqa%5o8=uM#4LjjxOD$xGo%0SWa7bJ+caDp>Y=U;netO-py}eZ~-N z;)X%z)q}-IJ<7T=2IwjtPCjD}D&8?82SymRNcD|^*T)#v+;k)cPSEm!Dr8n5gK0Ic zN)Xiu3=jd7Lj!@&niWYn5?uvyUyHI6JI=|pzAKwN03`T6xT+SjhlFTVJH{Sj0|I!|dOvG6a+=OOET7cki zKE2l29`T#A<^64NmnDP&s7yhuxgI%B(HZ$0smf0)v-^aH)4d0bOah`S-$ z)~kNOv<|cdFR;nqIat8uRsCc7;1>?*%rJR0$l4^xgdSnJP&ahYR*Fm}{TZ*4FsFrd z*<7aHzl=sEgn{oM$G`le_g&5+0=8T(l0XLb&cUE?Mp`7gI55mD8Up=8$LJKj;VOYT zG{<_QqH+}Oq(%p2i57T4TvIb_Ah(cfGf--_W5Z@F1v%+t-0@{Uw3EV@DqGq?Z@@d$ z8fPGDe0uR;G+poHz8!0S-fFr-I;Gd_!R%yE4fx6xF__!2gC{BE7M6qk%}@+3e=9(-h#fJOYZ!GAQt0bQ z>Pr$T=ELaaX1#PBUK9O_21~3BE2|;!S$o|*5HEjArdzXA$?aM*mr0{tvgEkG69n@F zKp1@+b52s;Sa5svvPG>*Fi(=>SrY+`l%8!ggVMS<>OJYL=f~#jm+CXr6OC%O{jq2^ zDn-#*??eouV~j=7%N`nG=VfC_M3E4s?6vre{Qx0FWTIS)C#?7y5Un>8lLU1>MQ1Gm z9d%0!xw~iMz5dKnfty?pNamd_zl0~1WdxK7x#+$XoQN-b6Jr~vCZ354*IM?abKlDP zBsLt`W6^B(^xukK3x^A}Th_^-_F8ErU%Q5J7ER@O0`br#leseKhu?->E`R^qwZZ)z){uI@A|^eYo8a?ZK z=HyU2x_`Y;J5wRo!XYrpL5Z{WoM(Cl&oAS^jr5)RD2RrsF?dN6*#P@%@ecsx9`fpd-&7H+(?1#p$ zSC@uL+xGH5DT}u{D<|8f@IBjK`q(M+<{p6UOX-(e-VP}|=LfUu2Y0t=V0EbeP2%JS z!_R%^XUAvG{Xq=!dF~1f;k1D<4zNdqq2-ip%-VOhnzS1;{48f2qxd*DBNzI(=W4io=v3 zS_Q5CMUgxcf8mq^+e5a}rE}{M(Do%X)r~{(P5(xIdine|F2qDX(t5goVcB?+j4n>> zFCE&P@b;yy{-ajW4X*N}+jW;NP-?L8S+4>ZZTk!}oPq1=+yM6o-EatD#vJSsL*kx< zDtQ%I#^~-L2gG=}vR@`DiDiO=dnh+V&!R|!Yk;c=yBgAKh0*n~@oOVMw2jrFindrf zf)u;Kbzj>&dj^%aSnAIf8N&m!OGm=xZ*;!gQW4x|mp)n9fgh@0n?LPECnDq|AwR$- z-gDTtUM~K=c>XFZL1=XLF>u<=0^@d#cp8l{6ACRDRpX?1pL+u~#DE=A16E`x;LP~+ zc%VO7c-QwKX%=!6rvmi%_Jcozg_qX^h^3$s5LD*axl^^>19kjTLpGluyaR8UP&v}&5=v(p}uNS)US_>a@+{B@72y#2D`E53VUz0B3Nd~ zMQRg`DHN|n2*Hp+)H|c7ZdidBi>u9M0s#bhbcXCQ0t3w|0>o?-I~N(B;B!wn;U6@f zDU`y^8o_`S}2Py;fs zyob^A)b)x6%v!-@aaNP0t2%{2;BS7bA;9$ekMo0rCx}MUC=7=9dv%LaU$xKC^>x^G zx1rvJhC$pGd(X?KogHl;OCXJ?k zspJTHerS6Bc-Y25R);wTjM?G27 zY{D3**eSP`7?~P#E(DsWxaeW?&XxIZ;@2;nPruU^83-f zRFtt^Q~z{JCBFQ#JMw=%();p)I?1y;duB;UIexqM?Mwf8`pbX6z28fI$+Ewc{F42p zoRpvLsHBvyJ-+eIM<`o_q5ZJ#;;$*&RDuL(gE+w!<$jc#;z*tU3@+mossEx@c!2H> zYH`5}V&*Barp#?!n+S4IUEf44$OC(L*KIe$iMBbIx$PFArqJR^HoO!)`e()7w1=Sc_6n^kLYQ45` zGCfR8M2I#c(dyy!4wRY}s#i2w3lnt~YQ3m8)cZ^rzjNXpxmdR4Lk=NM1Uh%qp*`_p= zegGd&%7X>x8?ne9?f4Nm%RxU&R;)Kdvu2XY5z7jAa(>acX@8NZ#l+}_LRuD#%`zb1 zr*uc;b75wM2ozYH_g$}0suT{gWHH)~D_rBU%O)=4kCdajlzpO+f4)$F-++-IELPVD z+6-7#=-xh5h#i6k@vWrFx!@#!XZI38R5>AN95cHB+>=VV+ysR87BwOA= zUm?hI^frX=;JWJweQq1ybJVcveV4B}v~=na=!;kpf$iKX!1Yn>C3Yej>Cd*qmZTWn z)v6rW7+UOG`thXik_>L!2mGI=7@PDLz^JhwW&o1q`lDj@@~j9)&ky@}|D}$5&dpKM zIToRII@1$!$XuC z8_OzXxaC)f-q#f_qXADR`ji6Mh;eoedPNgLIMQ%*)H?{F!_4ZsSWJD|tWY&HsEN86 zHNy~kgzSp82^;AelNEC0A;y{-TdYX2M7~G>T^Zl~;@7n&+$KFjj_5wIw3;tJW{WTBjfR$giY!!|k&U4%%(& zwpY6jRin?zC%xxA?G^&RvYdTHI2jg<5qA}U??%AST0SICadXWt6QDYJ+&fHk90 zI3^oxqw}Ubhx%kUm8xG!+B$+&+#We#e>ehqT5_?orf>asCxp>v4WXG=fOw0cuxro)~nPg}+Wa^BshZBDo7*aH{ zeQ#yM{^Tm0pgZY~QA*7}R{ht%{YnS1b|G65DRtNahZSWhuYg$%7(2s?5^OtQ?`VU$ zKw#hyMZD=@5eOj9q%Z7gV4+OPuIm^VVL;5pMf_PI=v|m4NlY;EqIdBmeL{*;Wql?{ zU}AO;1EPS_k>UYaU?rhvhQwB~Gld?`bWdvg|7J6_7fiN5u(Qm`174z-QO<+8xp9OR zmXEM!%tO|YdMW~6=M5#hNHIr})?l;h)Sn`L{jyrV5qCPU~}U9Ugr8Jg89 zu3&E&(8MVJ551GpPwIh58YKvwW7ySz0nh?YDQc*PcB-p)6W!kH0c|D{)&k!p|9qr>xB&c5b_qf0}WX7%<;Grbnr7} zR-}2JZOyrS1p;HhZ0Ilrs6nNXE`us0V%WoyRZ7!E>mq?j73d!VPimrcjo!6--Uw<` zqYswzt+Ym(c7p9x0p+K|v@GMWuxAW8o_RTbBcnUiy%hTwnVgGPe66yuZe99`zZRsf zX1PvKusm)9R4Oq7h0Jpjhuh=&ky@|m5OUYeie)|Nd4^y+h+aFi31}S?2S+taF)zx8 zAtr*CTn&{>01FwlI-fh6SiSYlM)sn;lKWx8=NQB^9_vN56cMIzvB7oJYuFZxu~=VHedoWv2k+KpRs+^`>CMETVn^n{Id$kBT~dIuLUxC-xaz7I-`^HgT}STrbF_oWw*QgJem{l` zpb4zy^a(?O-NXXoi;`LI69U4%%Rma6q6Lnj+CCBP5cW&o2wj6+;~mvdE>EvygwF__ z&gTv6UDcOTBj_+OX32LFAyS-S=wzlgqXeyO(h?qHe`De|-}kYAq=qZjzWz?M??l)L z=7WQUV9Y#PXlxY*M!cINc`r{A#YuKm7>rZ~GMA{MMy;D8l>+8AIvcdVQlP_#V;Frc zB7<;3xLkI0CMsWrWCTA+@EV-dWon`^7Ki=CZFb38)EDsoGq`j!`+pZ)!sE}gfec21eH&kb#iIFi4$@A-!V>$F3^?r+wG$Du08pvJ$KTw zueyVUbsU=@vIotA6A^b+x0VTZLzS`yZmEeV9pR&vW?8y_VR zTc~Ki-AoVr&D0r=+xka4+2BSPTkKW0Z_O&Zt`Ot)$P*Kp_uIaIJWIXo&dzH1vK2p( zmU43E@a;W^-`oGY3bA|@7o@Hq9V~*3eg7vSOgg#ZmBkj>4l6?(_>3pWvkXcgr-#65 zEU>XYGC3->=SKQ$f?<0H_CV+l(CRiD*12*vFEoC?)05yZ%TAqu;noHXOnzOY+jyQb5n^ik3|%;g)W!s%Zvi>Md_n)LRM6i_KA^+s9w%#2&P|eHnpkscRvE}C6!S^;qwUd;a3BxADf_a+x!PCGW`i@!m%h0A3!SO_rI&6{Uh3}- zXNaO5)#mHVYtg^@%)o1*tE>5Txd6a5mbpRZ>YD)LFBBLM`BdS#5xnkEks8j`kx{p= zD+Flh)FB8ZPxGe)x}+??5?uoXqK~z(Td}nAP`%(mN(@!BQK55y0?&wxZR^W39Vz_w zkl`QTW`Gu{4MR<#Rh}|jPC+V8xpXd6QT}XfpwW*pC8gw2-iqYXgTTiDLT`jDX#!k)YByoY0dHRfYoNg^Jw!Mm!u+DW7b_*ZH#o0$RLvQR zODzdoLAwLbv+iYOLo!a&o$(E76mc6%VyVDU1J@}Rw(xG_1Q6w)?is@=MZ7h30_32Kp?{V?FQONci zEs3daWy?~8FBi6I8N<^!xuv0VwGW(>HOkxB@v)!!taTUdQbggKPzV9 zTyVVJHln=+f|RsPcq>XsMt%_iVg|EX)>sp#XtuI1m=-mUt|iR{n!8U^ysanq;Q%ntvZ5YpO@O=n}qpyei~k5;rZ5T)UD9ZgamMwBRljjX-(vBEl4xC zsMgFto|kw3zvCJJx-vj6_skx;s$tX$nGu1oHHH~@P;&K2lB&bhgLA4izHIOZeF}>z zv}*4YWEMl}Nh%(~oDm2QO1@!11?idk7%>K2*1E%|&0esEv}z}A*G&L;L*tjd#dilD zSl{~5)J1<17EtR)YyrIo?gaD{i?0KX;G=(3j2H4q|7iiqB3%Jlg9UD2gVaoQDM&#L z<0V-kM@k*VQ}X8bJ1=Rbn!hwW-O1>cv-SqEnrTJK%i|puae)zLYr!`aOO0uAk#Ec8 zmHc$}N_fr;zCIi)=0}wq7%M#9xqIaIr^UlM0?DNVt+ykC&Yve0_Sc~66PH1vO^;I6 zUbW4w@Bux@vd%*V)Z10UiqJR~m^#JtVXEz^Jm|P95*)t|u!4QFBO&6brIoF45JrP! zOROW3oqU$^WOOa&bL_Qo-ClIr?1wXbd}JiGj|Ybe$v0r9n4oY3Th`}>KaKICxm5sF z*7({<2_9~dVwdXk)#Ck>aR$_YqH?Z`hzN(N>sqxpiKtusL}WDGucTi4zMutuo0C=Y(lZ zu0;jW3cDXAs{U_oy6d6{v$f3%1TfjeJ_`RBLl%JCQE*)5;Dp8r0im))_k=)h7zSt zJOH~+p*3S*xt9Ww7+?a^%POn*J0JS@Do^1R_ZON`FHvEfRD-)y8`!znWJ zAQ~ozgfJ_mrlsT{B!>F`A0IIXC?%Lk^n!XMMy#c{eD^q~-dm2rh1GojtB*KU?})p< z`>VBPcu-hw&Da;2PGC;tv??L{g*0I93Edeoj?{~;VNJXiZ50lVRL4A_PYp*!{YcPG z`^xfu?h}y5VV%}R7gKZ~itvq4$Ke#{YJCw=_S<@_DoOZ$by=nYpmfdeEbWuU zEf#Csri@BkcVy;vn%Sgj|6CPA5w~AFU)@C*&r;+Ryj4$j+JRq~XV+>yfdf4L-NQfZ zGy|6^=gLL5?Em4>nB68O`mDxKj+qLY7Kxh$4DBhm-UkMr`pH(onkc1P`+>JoR9l%s z_L(#vrk-2w}zocvsl}=70J@uS>zR0rpZ4aIMtv zfnr@DeAy8>cKIeA4TpiE5!XB%505^y20aP~i=+?uugZnXZRNoQvwmVY+XdgpPwt6^ zTGsIpt(Xy0;lXy<#0X8TCpphN&W|NZy3zv1r3;kdN1?nHH#*3z$3``s(VRbUo zKRC<^^=MrDz3dT`JlIj@-lM=SxG8pkcq_W@V-#7U6HzQI0#`Q%%@F@qpLoGLY>Mb| zfn_1NUooCH3;o1-J3561q6<+bhLpFCRDqLeKw<`af+Xf;a%A;MQd{ty00~_wM4M0S zS{!$71mpYvFmt_IaqvKBk9+mpgzmhwpO6qkl8lz^+kRBw+eI^3BImQ_DT3byIIV(H zaR@aFBvtXJc+4c@YNtVEh1#+)sn2CGJiuGqyOL|( zws_2(G#q2_+v%=&u3e(cNvCTy4jnkW?nlsRDP!NYs>%CUVy}7rOFMpGTR|te=Ff#) zt3K>8ycj?h=G|0UACk>Dt+H8Nnhsw}M9wP9t0QE3wsV^E(8JqatFh`=DT;>K;25A4 z6vU7voLs(`>DBDAU2UiNoW%ylzU!{JC3eRGGPSeCXJ(t{4nD!g4cEM-ksV^u7uV}j zOj+WET^GGGUlUhbZ%A)DPS&ln$Lx6A|CRkqfp*N^{5$2h?a3#-5zBcrB@k?4m#X-_ zSvXKAVJb~ri7t;s;c;fXu%7cEav+0H1F#JjnvC;+C-rD`;){}na%+B3@6=i$BwxPk zb`MRy5a<7;dne7-3SWDHFn$$CJ+_gD@^{_}jo@y}j#1tabpzME=);{UTnx5}OoP26 zwdY67!SxV;Wid^+Jb$NhTpBNn6CE~CFv0^~_|@NAe95;?dE#nZ+>XVi&T15h@~xN@ zq5`{5?qT!s<^gYVpAoedHpDUS*hAmCc%41t46S6MglURq0q|TVuPWyN&oUE{XxS6H z21A`(iBS9?0L8QqWGH3L=nyO~P`XM(qpirvG3O(>T$$^lZhwY_tJlm9SMb30b$hfyYjVl zcTCj^^6fCN=E4Z&4&DQrnui)CvCGjv;}!ir@H(r5-ay94%sAugGuom+wy_g4Ml;!^ zu9RtX}s0&dK*)W^h;?*R6K*U{76LBHz)*+9Z8WX;JCI$qBH0<+< zZf!1$o%FM$LJ*?SB}%CZTq-MGL-ezSHac`D+`xGXYPnal99>LDwbNJSt*7zGn}p`~wC3B_v|ygs{fUdTKEofp|5u%!3R{X2}YH)ay}D1>k(@8hs>*p~|XS&9lq^Embo$0`Q|~V5C43X_C-U z2+H2IoQ|OejxVd4K0N#&AQvE`h#cX@R<_$ywgYm3+s4u`(AjP&9G#FCV{xRxq8(7k z6xmYFRPvOae{(pkSnhtEoR4naIT@%^vhHzxm{`?B%Yh8lK=Q1VNT`Zk_XQnea07y~ z^p+>pXS{F}C|;vcf(F4EQRBm-UM2r8g8)||1}T~)>p*bZSuDE%08J7CS3TgebkHmZ zz7fo2nL1gSdU0E#78(O|G$+QQV}^QY7c~qFT*GMSk@6Cq7&Fh&!9)=*$8hdfNvWKI zzUQG@Ll+x75shXx7-JAtPRM6jDOcBzv6si<^(cb$kU=Dz(A$alMirZm zvt-7osfDqowwR`2Z0C~k>odQhX|C-}hoB?_84alIc6Qum*0U}g@O=#*Gqj@g<$!$D$vvM2o<#3nZKB-hz2 zT#jD7bNJ7^nlX6Q^6IYcsbnKdUEisA(ZoG&irg)9ctlTyJo%2m_%P_KUL2shG>sFz zoJRhRXcCW_Vi17hJf0Hc;Ql2~EB-z9m~-_B*$fvg&odkrx4A7z#AE2V%KVr@Z<=p)q~97PwS-a5T-pI(2cw)P%s{1F0eAu`FC z2tXTfq7%IS5J;KaEiDVRBmAgKtVE8fy2iE}Kk>`<)ytL*!eZ~2QiscxTO*e}(xz73 zfc>s~t&Z^&p!V!)6!3s3j5NWoy&0xr5t4ujs8_qG*l_yLtDP$CNSM-9j4GS2a1TLL zD-?1vY6sr7CmW(}M#a>c4ygX9c z2wVQCYHR%YMtXJsy(RvJH^?kGI2XA_d(or1@ll-)=GL|bE81opO5B3rg{F z_Gq@eGVUK)Xcp_O^cQX;qVaU6@!i-y#WosuGO<3A`N@fRa=~3K1l>?h$CnEeUoO1% z_($H|f8DZbS1k+t2Bo4xcC?A1zA^Buxq!-qPZ!v0tghpg`rwEv+T3+#snD{N@^m3h zR#WYQNOQi>HZJ7Eg^i6wtaXwh!c7gljwm2%7%8ZEND1YP4dSo0Dz^Jqg%M5g^Hnyg zcs&xv&R0uD>3MtI+feQb9&`@!M%P`0+4Xw{)0+*v<47atv|>kU!^hy)8RkJN|sqh5Vm zp8H(%w^x@gZ2ME`1;4Ylb|cjv7VQ-mR8)TP!ntfkPIc01ag}}C=B(`O?%jSypm{WL zi-Z8Xaa~l>gvh6``JG>CKcp%RHBLR$*!uQwlxEJ|KD%_XY44pMZI1f` zPmEW~xBNTN--vHolpJ~$aoV?vlgL6+T-klE)=9C?`9`!#OfdzcfX^j3fj@Q!a)l`7 zb#Y-fVrV*m-oM6PB47Lg%r1O6!~WtAbgc`&zLBg9v1fk=};d7fVdV{+G+CZi>cO00dD!PvH-xzsL;>qf_M$-By%>_ zXg{=3$c?Zjh6M^Dj_t`2+bn0ABK?*Y#w@WrgAYKp|^UCA&-lzTctmX=38K z#`FKgCukgv!5V-9pa|Fh&)M5R$8lYEo>hes-J&%abg>1OV1}uBC}K)&j!Z%j-C-QL ztGYlIKv05Y#>?aJWk(Ei``PiYf&6u`ObT#w$~z-E#SdlEZ_5? z_BV^O^Y^b$e_6%ryT0ttxz0g9&Vr;^p6h<`=fC^Trybw)cPjJeJ`l(VCV@_@ltb8x zMaiMtUu-N_U0~R3uY!V3H0S_nu~IJ9dcVov0yW2Jy(i=|e=Mtm>{Ah*DWX4=96L!*~{Y1Fr z;A6v=Mjdin)UPxLN(bq|rBi*CfjJxL*pq5tS~4`@)Is^+mmwJN(0d=ryYb=8M_XMS zaY{wsyfT0S6LVntqmA*#dLwe+?-Q`X(h=#`i_=M$DIb}c29H_2>-=E5d08bo{>h%F=N#QzhF%ghkTSH=saMNCDfmOTHm zHV@;eze(>HA2wM%vbqe;q{zG)aj~H_U$h_DfszTgHc>yzQWud?M8@;~Hi6WS;L#Wc zYjDgY53DA?-JG7LpysH z5ylJ>%Zmg=;tQti1RIE0M1_2CfH_Rz}a)lIXN%+tU`&YNUv^jrJ%^7oZr=VYEE1i?^uJ^tyuD@X` z!EmOkhB2|3L9|ckTEaxoTyKPQSozeTCsiMa^3DX%IQn}Alg4I|m3fV{_ppTwv`}q@feZ*3|I`?UIK89lATqze z(Svf8vCtSLYDcE!kgw))qur>b@xo}qq~f9**ac{zZ;ra8fRPEBUP4uvAP7hr%* z-Iy28?tju4`CcUpG7*re*&CZo)!6E&MhZsW>*{Hcgd2w&NQd|cxWtW(PjP0aG6gW! z{jdE&6)81_Ke6$B^WyZDH=%mjW;|jg3V|w|(wAow^Rp$#0>{_0V zaYE%?-w&;(zS%LB@|7HZ5wmd!jb_hJj|X!`oh-)8yuc=?)xX&gMo;fI4Ett)6S4Th&p`gg8u_M$dwx!lbAw;Fzh}l74KM7gF)X>I0p_*J zd?YfCkVzsfyp=?8K+08dNFg3JRh1PbdzepLKKQM-@q=OD%;X3zIk1lOBWzS#y9T71 zIpnSIOb^OpIRMx%Qx~E=B+j2g$ky}a{$uhf>ctCrEFHH*(Ibs1;Djvuk(s!ay)Chr z3mK$8Ihl$>M%eCs{ASXxlw6l9@(5rayhNGRycEFTV{ufjD7iYu^3p(E>&rZbH5>J` zw?(1zISmpqv}9FUM#&e-i=zZkOuTGE0O{QKAE9GGHLvv@pck)$@gs?)Ib_MT#=ed%6WO(k?JvjnNtj{AoYWj$w+Z zoPr>DMqJ4)-P$wxk}g^vXM2IgxSlF@7@4+FbN_nV+l5!{OV0?8WEKDu*-&;u5@g0p zr^>6?S5IvLW-&6~8Y8%l5Zo<@L~LX^Y@Q;gcOY?e5qUy`bZR1lNoHkMyHHMGiI9p7 zD9;7s+Kt!U!Eu+mGou(ZiO`rg6=x09`lq;cK~CtC(+q{Z#I zd^xyA6Al6$*F=xE=chlTCgEqG$OE0xRRi=d(G<31sq z7Jz2UwE$PUB0jG1q47B>!0TI9-TC!zKk{7^)DPRL=J~-NdjI^*dv5fMH}Co(pMT&6 zingvc`hh&&8yh{hzkQx0TR*T-VXtqmpZ@yy>Yd8YfB0j(<*+xt{Q3PAg8Rnz|MCZF z^M`M56kC3N`5=XQQ&YV8r`JFKh|7Z`+izU<^<%5|dmn%Mo4>#H8L}VV zsz0&}KlB#s&u&Adys>3qnRp-GRM0nleB&szIeuYO!W(gsXK_d&Is%Kqx6SKhN%KEG+pm{v8b^CdNf5*8>s(7JCr=8cd4<%0p=SCi`a z9?Lfts|o3Rd8tZZT>qQq8<%?Phc{K@TYvb^eUqnq>(;*OSKqqhzy4dl#6NicZ-4Rc z){FOdcm3dL(p+q+|MquY-~1p~_6ZTPQWVmP4CWYN)#e-W!=l{H6M4<$gBDTBCLW(51ZAdo0*eigun{{d79(&siTvz1HsUV& zXu(b${yu)^zr`TqYMj7bt1J0gVagE8p5eZnSC=n6YJ;biv6Kg;i2P9~zF_zB@LTAH zg!)&NEx#CZ*%k_jsf7@7O)t>ge!o>#=Vq31{lz*J)y5jfPbF6BL*xX^mYMXaoafa% zAJ*K$r68*(HiNP^z~1w)T_U-8wRvJ<@(FKN`$l7ln@tRCa&}rx%d0c|7Lz9DGE>6- zG{l+hW`XIE4vT7V_t)a|j{o(!1#P;CROk?HGdnuv;*1NK>v+0eU}9cMq;he&pJ@zj z2H|8qFx5jtw)c2Ljcx`TnC6+OrfD)R9;M!}^jtNW#im z&)1N(pdR2fYuB7%`yS>Fz?Zm#gIEX(-9~A;>tQ1u(nDHnvt6657hUUp?>dp^gfw_Q z6_16c@1M?=6?Hj!%Wf=G3pi?)=tXOHT>`s|9&`aBoRcKb7Cwr4=Gaa3l$)EwwGdYv z@GQ&oWG_XQ!VpBIjU7=$QJBnkdWtq}Q8a43TPKxXatqP7)Fa^-81Z+xy`$|Q!tPF; zD9u)aDa#|;OHHlq&p=pj=}p{v?WKLU4d)xh2xdxd^qsUXwj3>$2Mz}Y4Af3MS-mF_2R*$<8QhZM^y6TGyWqnnG?Hl zvShpS^TTJt+k!^DJh8en^>h%tm!*y4>;JDyJZ-c}Yt0nivO1tUq|yze(NzbUw!{Zc zkO-3XRify@R$!7|vUyVr!B~a$GF*$oY#HzVu!OAR;8KEGxeMc@%^#hZY|2(x#Zk?G(X3{*YIUC%kLmyMZeqd!F~}5- z?ulr=ww(YRSg~>3hE?uknXCsisg={KvAj_a%r1y_Pu*$TGiBD~p(Ig3N}k2DDeqt? z`CKscxh7T51Q~7`=eSd%@rFV!tmehdJM66O?*Y>&ZxkI!dPeZerM*AHJilF|^SGMD z3_1TxIGVT~TZUr+Z5589AW2bxic}-cF?7`p*Zw zOcZBQ#8V(_c9F_e2!*6ag*w*SFxod`+c33%WOp2HCC?vOY^9XCF|<5YCWhrx(@an`v~I2Thp*_%c&AXusW5j^tv5QhfQIJMT#n zn;#)K7#YGQvTFdm$^C_4=5bGXti(`Cm=~g^p)tdvvyF-zl}ww|6(>r4$ViF6dTK-3 zbh9W54s&&awvF3`He=7%wjNCB#BF5B;~EQeL*x49kO8|%22vqE(fZIU4l2Bp6^tnt zbEpTpa*3eu*!?GGWRm*=Mae5zA|fJCOVKVZBt*t^Hm$uniGV#@#?9)U>k{IdaZ(C* zDnxLMU)=W%>V}OAlb?^f6DyKemu=fO$WK%)VgYiiA{KSbsVttgFTB@*FSI`!SoO%qs8uu5EXKDoU;eP zg=t3%{hQ@THQG2K<%Jc&^7SI>a5iP7V{5R1Y_g#a5~);H4GYfFgQh_Y%D4CZs^{}qR0BD+jEpcvz!ouW=mjFGoFw%@U*XBHCnzE< zCPe}X4mA2rC|t5pc8Did0WX^VBFY(EN2#QJkx96Hhy`0_$Gie1diHi3%n(l0`_2zw zDguL@mY8lc5lL?sP6LN%!m-t#JGl%1jF1X(xZ35xf#oZ7KC;3>dxf!$Y-lUvdE6y! zA!;7O$SY_wO{KTb!px?ZU=6}s%y{DugQ}2Ggg%f`iy@CPQ@`WRU-`MXvoSuceSI{V zj*6pEyO@g;2gn%g%uU7sPm`uO86}P67#*KZn_UW`?70R+5fwMU_gm3HCmNd`*n1H! zIeA#l`|C`=^07f;=v27(Y_K%siP5LoQ;`+!~(@!ekv{KW}($4gK&{Tfv){uze%t`LR~uH z5G$lk<2q09;fgwwFw+E}JhP@5=FMbxF!6G1PGiG#jz&YrCc~?pe5^5gEE+r;Oaz@( z<-q6VUxs8R$!JnM-nf^Dw$ar+*)nJh^4G%xGZyx+T-UN%kTpJv=2y#jJ}-8V+ULn| zfb>QbsdHa3v(&x?)*I~N=hNxJ{9wJdaJGSkQ4BV2`4SAr;z`%JOp;B*S#VDO!lQ06 zcwbqpf8%RgB#^5j5=gYx*p0vb=SE=htCEl=g)9msWdQyNN&3deavZY*$-VK&z|h5j zfK9T;ttfI(?oqvMnfO|};;7s=ApC}X76Gh;q>Wf8MxN(U$@ejvYtm|WtryB)X))?6 zgth(YUB>2y+unXl`_oCBEk|*NGIa*eHORrXT{z})&==gGv2okuW}OAb*IoVK!?j+0 zY_vE}Zp%vzNII^&mo%7vu#-R?GW3xfZ~UM$bg6NuIO;44Z6d2)M(W4n$RpVDXnpfg z@{dLq=BFk1AP0}^wGNRCbIhH_&zwV$i3`7;98M;i9sIX*+cpnkXbt8gA4~->JHD1(Afs@m=wy?N?mu#F5lkPiB*)+@32YciI!5 z{?G@^=_+OCmp(Jj8e9*2L@f!~#W``g)!kWK(<=w|V8fS#j_Z-?$>#5SRDBcaexe0&z!#7UN(%N!yMS zx=G@=Vk@cP2E|DZi-?x;EpJ8m8ZVT-wiv9I_@>ygtcZ-7x$?**$bQE04^12Gz%Gsx z@OwbBq*ip@P16Y|HiX1IdSu_*uI4Lq#__dd#$)<-Mtk-9n@;pF^XMt{+CP4#{c`k7 z!$Nx?c7>LNrNM~V@*);r3Vbt(6uREsfr}mSY{hGF0|Vpiow# zW)7~X#28Cj9g$IyGbyjMiwiwQYp9~ixP!@FC2E_`ljOb7ZANUDRo&e5aB}=yy5C+p zo$iOsWhM)nl$M^I1zVP$dRU#frdNH^Ev6c&Y!>hPSL=<*oT3+0bg{h6IE3et;~TGR-8O$vd?^e7+PW2{}{f`Ygr-7xvX4pw!vcb|67&VCzhzsAK6K486x| zQdlk~sTQCAcvx#6rn(PL8wm?|0cAhv1~3$^#PQY0Y=3XseZaa}1a|Kuia^_)jcfI% z_Ix%mpdi`Jl{kI(J8qfYVALn~Ey2j#`Wn@5d ze9BT-J`5FX1_(scKvQ(}5xUwic|O{Qlk(|Pfuw{zG)|Jrv*W_j@UR~6n84sMbl-M> zesQEcSvQi7xycY>T;13+&6>zrU=v#bg(JG$pbSNG)XJ=kvEwEeYkB$T`s3%Jf*{8U zl^~JGBPJSQLWtWW7=+${T=T1B0-d2~bpuk6iOe&eLJ69-i1j4sLYtmR8$f}8wE$I5 zS*ONv5#V@}MuMY`{nfAi5y>KIOazQo)Axy#OX%!O;)q~B=py4$l~49QW)fSBsV!W< z`;21f*Ynq(KD6GLetI0D6D*H?CV3^-8$!#Z{vJnt6%_LgSte)}l8J#F`$D+NazA49 zfL)JNQK>R&PSUx32r;JEFkX%t#0luum}Bq>dI}NVna^H)M)c#l(@tCN{=>xtGJJUR zPmi2T_H*IQ>EBBNduerloPG8qbJ+!n;=!oBem2S$xh6{_m^Muw z#V|0IwAmP5zR!3>V{CGsTV9R6QkuKnDHhpy?09Y;(2o=(V|}3{ZSJjw{X+7Y#ONiY zVf;(U%G-+`*pf^k$d8>k@!Gq8+vtsC+9#D+3|1TZ5J z@Sbok%LkIsIHiV106i>h9HE%D12t8U;j4a9#is%Z2;l7c3Wm+`H7@jd(RfsOeKg!s zpxLSzPf~KEICUS2r_C6=EUtU@lZm|^#_^!~2h%k#xtz`$8*}mc{KDqpZTKs=Ei;(N zIJ~qHqq*e&Pyr`J_EMdS8f`2s!$=9JqGK>jIF_j^r(x;YZOQq`*3P>%c~7cgZ(TA` z0*Ybh8mpYLDfXjWO>|@XrnC)y=J$ZuieNmOoN)KJGbI@lpOx zw#hdWKFWU9$m9n}DF?5-&KH4{a`5u^2_`qlEdBG|l=Sf}1e0%7sV1K(s$`M<cR!vDflEca@g2gVFEir=_ zWDUgX-(^=%wA`hm5n$txu>IM%A^+Pg*SNm_XJtp>(cRkIO1>}$XAX?|Vbk&=TgCx5 zw(2OaCI&ehhj~(H)QfD@8&_lEP@NKU@p>V@#Kx!hE=;N}{#9c$LX0Auo$eTF)bL{V zHBDv|M2V9Qg^ZGCI%e64?CiO5g~^aH&2Rd7$Hw};1dtcBkLAvER}BzTqTnTrF@p&+ zZE6B1nb!44n&6ulgWiYpruLm9teWelHXd}6TEQv{ZgRZW5|F;`5|avp%X}yM&Tz3i5dEsE=WqxEMr#59RnHn_CfZCg(R&OWsEghq z@xcl?VJ1DE%mbsD&4GWy5o%wXLuEZYsy*utQo#(gclmolZR`N8BG}CPjGtn?>!F7a z+r}e>D2Co)L#7gEQt#O5Y?KHBA1y+lc+c|RYgolEnb19gO zWndjj?2fV`(k!YdVbU`g#P-TCbf1H2(ud#?v9z|)+jB}q!!^Rc28eg{N9x=(kJjiw z7P9|H?iDLchpEBip-*@nPxhpXM1ud)z>gl`HkXCor_Aon^g4`yx*Uz#z zf_A2g##*xQ#h>ZyvPkH);A=fM;V^NYJl(h&UyCeabGzm=CLSaef<h z*fux9tFale5DUQso|{>tY>g2Mm<}s(;hmMbgrv$feOF$>(5XJ z3@z;%Wpc9tctZ4+sOACv__XP$oz+4kOKs4a4s>lFW{I^POyd{uTgKjT2sF$A4E2X;_Fp)~fRB>1IFb*a!op-5^)e3ERKh_aELB}Yw@&dE&6exj{odhE)nwFuuZ6{&E1y7-|cn2bGi*CUXJSTBR zlg~9ex!MTM%%%aqbG_;HqBpwHkNU@YXUIV_$Y}ptG1IP7-rDYG~KXG z^Xb&Hweb@_f6ryuy}aKAA5-iJSB%*L>Bxi`N?pk4s15#^DaGa^wD#F!aK=?jUOL!itUq0Ot}T>r_B%a0k^5aqqURhL7AnK}@H%MWg{Xwn7@-86EKa2_fP zgGOak1RDb*&8(}NRWB2qDIIgW;rI|Ba;qZ z6M+}`LhJKN+t3~;h1AYM>^C|9m~&OpXh3=5Oo9~I82tL>;F@N&r?MLPlyT?5GO6jo z+GCyPn5ud}$|g$-aD&Pw1XECvdc7{G#{^bwyNK*$J)ltld8t^i`XDYqO_oGv=@rA= zMX0StTYQ1l#t#_3m9$siGdm0Nz=~BygNswF?OUJ!$-kird17qIM78aRPRl%%yYf2) z;!?@9h2pdgY6yrG* zQ{kWg{+EJtUYB*B>YI(L5s~9(101OLC%B#3fYwSy2dN4ced1A9OO`HcB%7<*ZHEcU z%$?4fI_Rp-n!h{foNqd;kMwftLyq0Es`7iIxwN_MW8r01Q-8kvYO>|JPvO-SI#UxqV7~k;n^FGERjhmT8QD`J;Ru={O#j6uM!8}JcCO=yD zA|uWM;Ylh{DRXHWdp0XSDucZ9@}ambIPAvPzq;U&Jg{xQo+lB=hySP#kj#lySszaR zXEk|WtuNLlR!@J5m#5^@GmEuDMbn~QKX<`6bn(L0aQKN*5e@Y@u%Nr;8h0AcbDe_t zI!3fQ>B(yCBPDJ?7jTnqC`jk!3X20BFq{Ya6E8JoXQuJM7UKcC{e@Ig36T&gupf~O ze8+1a`ssHw3Murt;mYoUhMYa9?$WOZ!~sFZ@wHAC0bz0b(8eBOd4FgXb?q!`IR$N?^v7KLpJ^II`r_ zKYsWxy4}O+0StD^JzQ5uL$`E{tJ>u9g*6P^LQ=hYeYUZK4AUUSN0Q-f54o68rF#+& zTQhfpmy*@MNaLPL-;(PVy*NB-Ua=Eo8m z*�+4%IfYlu-ZuNGrvF58lrMmU&NJFNEoMqdA{W`pbFl?mORIj0#C~ckuiQ!Lk=t zLU(w6N?^(1c@i>$oY$S1bP~(tMx&saSw@LD7h|s=OT4ohmQO=TdNYPsGD2s|0vlDG z0EKD9b0nEl%tm9--b*a8<=KT^y89Jb%A6(v#iPfN{IF^gSu;ftt{lsNNe}fZ$W#4O zZ=Xp%C4zE+C4>X(LkO^h9qi5L}+Kv&z=s>>wHoHdGC{adE$BW}Z))=j9R6Tqb!{ez zsN#v$kqf*gg@xndw=UGE;|t1Ie4^~BPd!m4_jaHLye1)o==|er;Nf0Xom7rguGA7> zBwd%>KMVr9S+FSw9R5g1rFwQx#Zq$NR`!wytl0u}-o~GY#((UD{lEQAo8n0J$7LTZ zd(xtolb-5}a$*dwD)vUyaLq`vJWMY(nrWlBQ0Cc%#XRB6)6a%m51l;-ooS7oPb{3U z8NSZ@49X&56cme*g5s;$Bel&A5daV!;x~@PnYJtAA~O-%dE#%DE~X;3fElRzrHk{M z$=6JWuqa7txNl_-%L2?AmXx~W zEUC&Yx38Yyj+CX%I%tCskkkVwUUTn~^qH6#Ktrbj{(L>RPT>&Toq=DQWEvxM{bpDa z$%M2j>&JsHk3B@z1FGwVc%`2AXhPr{kMEv2D8Ywpuk&y5+3*Oco%*mpaZaM5ak)7& zi{w4*E=!#ct{y;813R}y-cqfEa+9L)qc9Jcb?4`)+IV(wlDAKXKlb(|P^$FFjP9cE``QBq8Bn9%)Jb!@o?w zf4^T`dL#d#obdW-|NVUbgj8<&qe}VxXZxK;B-i3c9_iog9?4>O$30)opW05&#D9I_ znbt2Z{ZDsi!h2xrzZwy(Q#GCJGn{;u@W2zr$lA68sgskGYNZ1r()1UP@)a|%p0}cX zW=QfvNsa-KfN9N8alFRbVKQR$HxKawconrEb1OQkUv&}CDvyy52n`+c=ttjwi;*y= z3k(BcF>dG}!`o^Y_2UUciEM34USsSm*~;pbmzhW-2XAaM{X2X9>-*%i%){~yiXy4ZZ=$n$1%})hp>mevp&7%>MCRNv?#hFARpzv!k ze}ap_;7%DSN@ngimqJ;g=99J9!2(tWFjv`YgbPl%6QI-c3G0#(HM&2a8X04bq0;(% zcMf3Jx_9Dtl?(Ukluh(P#Y1|OHj`_*1`KTm6=ciEZn~;hBZ|E0_W}eGcfC-e z+O>F!r5g61tCQmKK^B^Fue7dp@-wR{!b?rAK@8`X)AO^Z!idZ|TFrKhY%YdT6gc*| z>{8%$4j1Q?XR0Ac$Lh@Fp0i(4%OR^Tce-(LyZ_91xe)3nx=~2x>#OmeBkPUbtqKx1 zW1X2}O&yRfl*|BVaJdA z!2rR*H@Vqiy${UAUD!yojdzyOy_a&UAnnGkF&4p^q$896YsC*Y!^vpZ`H?W3ekeWW zlMRhbDaq`@2h9WZycn*pqAtv6`chCP)7xs(_k&eNgI^feEA_?Gq;qv}SGb+l;e*@U z7gDh99q-NFU!WXYtWu#_PRkzNwzkJzI9#$6jOFNb=oNjtGK)KIC!xki-EhP0tOfd{ zir=Btvn#$P40#HqzetB_Cx_Z$Fk+sB@!4Wgp@(})Uw7}v3jVdtdGB4f`@eVOTJf3t zAANk}i4Y5E>E_*GUPL_>5-?gQUU%pgVf+tdLZhHQO{{9; z$uzPvNJFNvDU~Za(;z!3T7d4ysznlY=|Ozn@Zy?w;U5gH;dpThM-zQIm3Pe+gntAp z4YNjA;O1$UH)R`_hc8Ae(Jwt)w%rD3%BjMLG|;x;Mkjq@e(9~9ICsWLsA{=KboNfu z7_}$ttMSSn51<~$9I5~||DK}$w9|{7e5g2*06N1GT=h4?-H3z3q=Qwk@ScS2Pz}qSyX05vYV3dKdZSksRDTS74s=TrgGZ17z?Dz`^6e+|O(G&P_zVZw2`;L(?{tkyGfE`c27XwZ?Hrm4y zXpdPc9BF7zFo&&(Nwy7u$E~nK-4(Ir!51wQIvZD}6or-0-ZQR65mOl=c_Q?C z*Mfsz^uMWf0U<{%*q73iVc&{P;Kf&5HdLnWQD>VDh$BHB-vdY?7~p# z)D~BmjZG9cw~xhxVCmRCBI$!*RN6dFfVe0`9zL@Qile#E3nlAW6#}ae)s4`zN;lqb z`M-a@D2!esCA`}(KELPR$qn(1W9lZ6sD3`lyur(*E~yA7y95C~mxDU0%-6!uXa_Yw zUin?j7EUm*bfq~4xeMr~R8t%H3_waoX^JWMZhupPCrg`oxIDbV27~_2&%Gkz0~Zd> z*soL1;y4AwTyU4n@@lkPR$Ix^fRT>$dS-59^KtuFT9!k08d1!uSv0xgxe+Fe)b|Rd zqX1fJrrM+s4%irdd^Q>^8!57orWr$quFB_MJymAT#=(ejX-spZE7yjBUO5dzi51Y9h`{70_!N-e!s* zU;ohUArgS3NrBroQ?otHkC-Bp4%r6rmrErXVn~G~GMR!GQD_wm)NmhSc|U4CYGP=VwZ%Tl~l-XVxUJN24wi$ zHDf5?QCt+9k#I_EQyPpq&&;e!BnHu_QjPRW*~HEPX4Wu+(YjteG-LK=vA6(=G>b!) zD`XF4)T#5wFW$0bSNwc}n)Ctld*)HKP;R(GU=G z0x^v6vr#@y&&g1VFASkelEQ4;qjq8>pJe#Cqlos}2lJJK`Dnm%9-BHt)<6=pX2UE2 zvy?I&-6`v&QjfAyZK`b8+ zCbu6YGDx~XnSC_g)q+^%dL|NSu36lVX>OUlG#p6euvUmrb|(II%TAydd(^G zjuvY<&OonrC2KrCpRBVuyA$ufoDLr?_SeX5ed%|;Mn2u5=H1R}vPL5LwG!ga;62_T zO$X{~^i%^5U&jPTAy%c`Sk*ZTFfWFj@$4F4`pV*Bda+RX<;KC!}zY`^nc6XewtLW3nuT#2R$Xt9p;CwdDYTm{_x< zmMw2TpZW*(DpPr~M3-8NrN|H00EB`oN*Gbg^D zJRSU>%{4cC7p&?~eArnEalAkHh|KRNz)on}^=lj66lF4@m#xC%@OUq2ZIv$ACb|99uh(2|*Jg7x$ z_#;OIa%LPF;>cmmMO?VyA!OBMA8zR(>S&lmid>CW@XZHVbf(`BbW;oxseSZq)e4!t z4rRI*rB^lBx7`~XJePj(rs7cyN-knf+5fy2GpO2+(VbS4Ki4$|RK_jxHyzxDM2`^o zAoPSojgBAT7KVL(sX@LWI!zM6o{n1k!pn_F(H(l-6SRwJLT7h^OO3T>!?XzBRr<^N z1Q$DVCW$&!CYd)$MaFC>>h!xewr{C!^RU0j>_rf;j-- zXm*#|EQQOK%V??PMXA{Z{3HkB#S84P+N)#@oLD_+^vEW7Z@m1Q;+ulI!bjWz zih)j)mL#qgq+aTtt=|FU6Kx=D?}+LmjhZI!x|LCPMwZhOWV$gkGj2cpaP9m60z!Qg z2@x}~h>JVNNew&clf`dOgUSVj7ix>xKneLErMkx$Db-wx*}}I-B^jiq-UuU2Q!VcO{yJGr?>TnASn`)0o7Wy|03tnuniz29fL59zhQZVhsLx) zc!`>^4&R%v%yBr)KVi2|EbDf#O!PuvFm=JT#xElSjpq4SFhSP{9%YUB%6`M(Vr#Sb znMiv(*VugRH6(d@`R7>2Lty-gsl;qwvB>P>pATdODEqh1+?*r^ zY6=U=6nq%O3>x+5u)npZFnTgmpnZeSw_a>rDDug?tWD=UvpT<+sn9A9qDj_ZJ1o{b zYtUDA7-2@tRZ#B6R?@aFa0St%VHW#)SgRkEC z8`t~fYPiUx{Hw^-f0Wo2efbu+$LA~R@qdu@?f?4M`rPB+=o6iH9KQ3H($Tk>v#N< zw-BY}yyb6KEbxlYeg4XSF2~?K{d@LL(*5VEUaO>kpZHPC>f=Y0Jl%|{?)8z!y5HJ< z<!FF)T-2uHkJ#55c0BO8^|3nD^^0M7m|4??wx?qbpi1`h&qr3?%NsFZ`#A zI~Y;ysD6`yj5D375;aiG7F`)7jKMw!Agg5}W=K#QJ57G5 zC{Mg*w&C-~l*>0JYk^^Sr76Ex7h-zh$!|9{k821l@Z(>)^QVjHGcFiJQXwg0IL~$n zfh|hfOLF>Hl3k1NB@toQnT@AasLiqrC)LsD#CQ;~MgyUTPwq;OrAeoLuFTKOCMx@I z8ukL9HqO=R#Oa3>g&PZty_enY1{$hxu!u;1;C4GTvI-P!H-ywOc+2jv6SW$+G4lit zaS_9_4GYgiAK&COFTx8<4fTNkbH^Qeb6n{5_wGK#a*d;9%0xZ3XYw$!TK6q%+Z0qF za)FkI7;#owMv^9*Eh@9;E&w2$KXO#XFYW8O21D&W4F08R0*9pf@MQhd;a1>o6w zeeGLYJYQ+^6Jg{OQOK)r;x zq2u1C=F?g<4QDhrDV0PXYuG(ZA#`HFTgJD}cvDQpP z7ZR`)07AVyp0Vz1VR)zU0~z5R__%bFwIb5refP~zhW=bUSnFsjJh{*cJE7$a&BxHx z1`hfYWgSD63<<7!(B*Yugr9ZpCF`EL^(WiBi-= z&%M%lu_#xDdjcRj*-H`ZkTI1zrMHJ{`t|Us#;UK}$&K)!vvjGkBOF@wA2QVBX*Vyz zg>Fs?Q8)D+mozX-ERj2%Z~Fof2LNK|kGNJ2Kkedw*;~teKhTZRzte3V$8)grPIrlg zc*8ie7xLw<`!AX6z5*xbSTP*jl@7mFzlfR84paL?5G6u)L8{j2_Kev8hFLwZ*6}tC zUurC13D=rqMc%ZWy`c>$ThZOKqLxL1U?ScAosI6cP4N}lG`>quYD88Re$^T2c*KvL|=eyW;eBKk8M1%Qp!cKz!576 z$TbWQG6%n(+GtJ21qEvhut#pBJj%IzlO{U_-O*Tt$exKTQa;Y2+)?#ffZ>bh17vhI zFWOLJUG6qhlD#D^06IAc0@Qt_mxT42-W~RmRFk`@i7d>NjmEd{evW77T2ACt#R;j( zOj!vaZN!+#@aAEtCMr=8R9&)}Qajbwv6#fjC(NZBIp$eryYgBTJmMgrKED;B&3}gIPcG8#VeLP-h zP_qCrR5k}Q(Lk1>q=WR;qSA=g%1*81$aQIlgjy&g5cn7|^Epz4vv9Keirp?t4sw{n zla{1XGLe>|XxF?wV$!pv+`NGHWh-jM#F_`TLU?fO8 zteVVO4e=JUUXz`XHiS-K8rhyeP=>0ts2AXH*;_C~D*o#+nL1=&*pmMoL+tzLF=po`12o_<3UZU|cc+lW#lq(4AU;kDKe>UQH z;nIpE;jhN+1>THc8%-${oq$G4XnmxE14RN5hG?+SXcT5NX^ESiVl&k%9ZWIl9Zba_ z+G{K0ev+21%|I(eW~Nf9EiI*^i?{@Mp6i1^by1hLf3?1uG9UZF^AB)JkcJ_Kt7t==*~rof*(JoSqW;L{?QID?IOj&OgdPDe^G3)M6T~+_-d2Dq zWV+f8^SRO32aOZ(5DwteLI7V8MC$dILxu8QOukUmUPzu;Fd%^w?Fc>rYnRK&-<{Q; zCzk+Yed7omph_W`GcxYG7HLZeoxSp% z<@3iHpIBR*4)7TW(stq5JiMmJAaQTHwk9tZIDGO6Q0WU7fSnuX@2nqx?XZ7$E9HwG zi0&TW=gdX-KCm)Y?qG42WtDwpb6G{*@4AifYV@t{0KgJweC)0}!u0s}0v2#jo?oiZ zMSt>&TRyh&>UQ|NuWsL-a+3+o+RsyV@+Z;Yed)co!Vcfq(Ks^rJ=gtU{UP^zW%Ee+ zn0|Gh#kbv)cMiVRa;No{-mhN&&QFjphIXSDPJ&lbDj-prtAiaU5fGVM10E$C0ZAf5 zSh#EDiL*gA59wh(jrg0)t@YsGN}jF28XsQPISbct$T&$VaHNoBf$3Lv{!T}*ytq*0 zi5M9WUkO<74%bOQua-yJjfwlS{c}3gNC?rvoHD(YPS17jF<-U~&oC%X)!oIK-pceUfLE_gTpWj@ z&%}A(c{OvT3FQ|SL}Xmw&aGL!2C*ZZ0#{j1NOY}Ppj%WcBFAFo1TGA}8m7#LAM2XP z9+Tt8y4zmecKT|HgIW|E$jY>2@$tdGM+SgsiN=#pQX`mQp`z|8!gG0|Q9u>9;lhSn z6RdM4y{~ShQ#VmmG1>d+oX3qJG7MVOpgnO%i!Ng2@VIG88r=gLGE?{)J~nzJ)O!rj1n=+PWM> z2F6Y@$%0KV$?>Q?)u`U~jLRYloK=amZEQ~Z=B(C9G}Z>n1f!=-OknNEHm;Bf9_ORB z3orRPrA$12Fp2mOBf>HklwE*i^Euc&^sT>lU9ts{G)LhlLClQ1(EznCn;Wjgac+?e-deg3Sec;$xU61Ok{n#%00WDS&~T;F~d~ zUi+yJ2-M+OH)!N9MBv763!5W2#f{rZ0g_A(D5(5+0i;_Rr~IxG@S6Yru-ZB~7KnTc zJ)Ombc$>tTaLPY9G6zG5QXU;1nUekeA00UsKf_@Fo49dP3>T$M0LJz^{IqckpFl=p zDg`l5?xXEldfqUgTxYg%B#vJw_Jr6G$vfDY37f|-1YAalOeh&EA#<#wk1 ze7I;c`#BZlGr=UQb|uU}7JVz*8cmOEWnv(U0MRV8Cm1(&`KeHZX*Q=fG_6L4C1n^h zg!4$ow;E-EbER< zf9@y-54 z4!~5Wz;b7Kz8`$b<3c+BrB4L^5Q6(lebV`-f7$OKS#29zH>L70!92d5J+kP-CBSObxdSPK?2?4Y8 zM!cPAxdHG3xs6evdpg`^ z7K0?pDL9BCcwc$uZHzJnbS^p(k}?}P55j`vT;~3iDBIw2f>1H&XeTf?F<9M*>H5*` zP%scVp1)jo)!6W!!12BnDIM9}4S{7K8wb=ID2~p>EWm5ga+})3Z^0?j&p@82Z$)HG zom&jm+}Zeeu~qAeqMGFR@$)G9lrNHKc9)8UxbC%1X8MesH%`!<1xSq zsLP3()PUg(wU7jCIXE$VCv^))XOdW6YDtJP)R{Od+%{qDnV%zQTKjZH>5KdV+0*kO zCx+EMkT-+wFq=Pl%;Eytko+p*72#Rr0A?grNw{4CD;e;Trz3@s#cgs6A*JQklsj#C z7=ehqXK@n1fZ%XaTn(fx*TFHuC@df3DM%kfvr!CVY^*?$LL(v)gm!|0d#x0>B@y}J zaOM$mD$h^pC`u}qkY9uqS`9dg>rh2uW};h$LVjX0k#I^V6`A?OJa{}M=d!$%uH3I2 z!_!FxAVN?vsmPx8mVI`I<}ZDt%=tw}yvQFtq<{bZcgn^lWKiT4gODF3=vGQobtL&f zO&ieW&31^=qZ->a<2XhM_eAv;TpN{>o!(wmEalQ7+b99r(fp=+lU%=g;HY}#Q3L?1 zHYrcF$rDxe8l4i2lhvzIRgXnG3z@k>&kY&+O0O1YEkomJn3u+d`5(pk;kH-7OomEFYHb0RaZ$MMkNkx=U7fkMZdNGh|jZ zrWlNoWag|f%u*!@PGftpvF3moJcB-%MHmAuX~u}byX^1(BCES)pz7>7>$7wAWOP?% z#_Bh^Wk}03;}y}4L44nLT4e$ zv5h#wUHtCO{|iS-eyb}^K$Z{r?eg(~1V&(%AAB=P{h0|gWr&4uKxE@y;s1}Si%V)4X@a#I+@Q@$iOF$vX1L5lYuYOu}a4nTz ze2yUEj{507S+Rbop`9$F5#fZMhNy!>4;@L_rsvfkgbahKSC$H}U1-E!zWslF>@xa8 z;1Ku}yn;u!fJ&0n80aLP=6s&WRe{z~y04!zl>z@kOwnKO= z!lJZRFL*aV?=9r{Bwc6Hw=*1NQCq`L9QNZ~HAWxW1Kh*(En48QfY=Me$rld(t?S_u zuuY;tb68AI1X+q$_%5MN3rpzkH`jT1J8xKEIzef=i5D^A3Sc+-ya`4;k#BB67C%T8k|w7z0S}EFKK&9X;i=XVwNBa~I~$oW+PiEDh>x zkPPdbN#Hf!G*dvA8v6{e0q1CgN5>-|8;cI2ln*QqxG1~7lbFqTekhJB0F1oDC1Vb4G5~hWn(qS;kw8^g@e-%p?JVGY- zbL7+8mb$$UEnUIs&PzRh^kzeMvh&<@kG+khJmbJ>LI39ZOt1LqdjH7M%?hM_YI-Ky z&T%5mvVQ{Se*qy5Ju@H;nN!(p$G8?vr;REnf z`fM2Xd->CLZXY^9e|z@vZ-#dcn?nZCS)gp3t$xfW6XhoSah)D-88{3 zP7&$3gBP%}m*4 zuMkXrJme;H8ubPa#{eroz`0ge9f-@=lf71M(494de;F>y85UruiQftI3kuUV((@G)SSY^SYD4XSYRjMEy zgf}sqgv}FIE<}U~<*yOmWczR4^Kzlg0+~?8Kr7Kn;uAfFC{Rw8k4lUQ0jy$yaUckV z3r`ykYNr9t5KO82<-R51FjRuJdB!!;NLf(k75rKQU(Urjqpe$ef|&1Kj@Ge)YeMbk zpLZ{jSP&!>QaNz&CjkHg+<=4i0i-8vDfV>_6%dH%KI%IIZ0TmP>-1Uuly5MtswB{W zDNV?I-+5@G{UJaZa^3PhCyd5^h+3AT&#&>W3%=YbeqM!;Zi7^a1H19|XYTTW$s#ZX z6R>Hrav)yHff8G&m+)K3e{v2M-3Krq$DT|xBS0*$=xBq-FP7+jiAJVM41F;AgCVFv zsbrGDvWhCEO+%*i$Ol~(RYL5hm*CHT;zltT`#}0+7J-@g=1rIK#2LU8xEB!zS59n6 zHv%T%1WPn1f;wE>h~1>)^%3!;fhX3SKFZ%5d7lJNE=+*{27@a^_hFtB7I6Rvcem@n z87$Re&i;1qX|`(EWpxzn-sHA*J-m7Ot)v9N5U0QYm}Sy|-rf6b4P64j5&_e7?x~o+ zu;^(@KlD(@K9l8vzbpiLvy8l2gYf`*S8{ZEl&WbQq*rR-YSq7ObJf#_IbgnBRf0JP4wPPOf(A+lxF!eT=aKrAk5?O5JmoKG129Wu#)~ z%dON5e^JGDPT<%!tQ8^5Qau6^Zn|MR%t|-j{3cFS3D14+M!`0-Aojes$?B;oC9^gC zPAekjL|0C`&{62>PVl`Xob)&p+3HtNW`{pM5^E6(n_TLGOO2R@675~&uE^xeg`Js?I*vw*o*vm%VUr6>UAEkE;_b+Y|B%RpDv?n|0Aa!-{!o4?WIArL+4-nk-9tf z_$zf6i2L691^?j}d-JZ|?i%dhexb$P{dvFfk|U2@Fz#3HTMHEZG8YZp!1V~dy~G>a zC{U!qh)BWF{|H0DtT2qLZW>$Uh^8TF1R6^axAd;1E>~bx>Ke@M+zxolZkvJUl2jkr zBi|KK=Fhl;ju-{HTzcWy2fnLy$yQ!4u%7K)W|ljY&^6KBC3eZc0N_e5%^EH5l!6(( zFSY*=2t$kLU7p$J)Wee;FZP&9F*}rtdU-r}Uc{*naHSAR8c(ofrE8|JdBu{uOsMCkAtTr)Y@km9a6BLb!l+NLZX(e1E z{|;6yEEDiglR)#pAK-TDwSxew97JqDt6%e{!E?gdt6Trm^GmG7iP7ubB-LO2L0!cT z1K|6sUKP1CcN9CY-)geU4wwAv6kXx z^C;$s3?_NdhZ4{BenKmh)-2kqed?7u4;9`3ae$3}t?qz3D>{rAQ!9>c4f%*HNP~&} z^}IqmOQ4eik+ozDqxxpdgp$IGj_t_J!$w65j03FFqkt-@080o`h3OyPANs`moc=QN z`mY_eK@Lckw?cr&vixM1Wwkf4O3T2m!|ntbpj5Ql7LxOfGYmig`e8s|c5>OMVmTt* z4U81HkW(>lS^^OcfDsBis0N@8Fb;4oMrVRv{LmJUUI&db8?O`hQT2Z6PqCBfEl0eW zpeF00EpgluGZ!6k$f0Yg9~ob~NtdE$dd9zYCXqu}Zvr349vL`>AR6+F`OrXm!nw0t z(GmS(HTJ}VB*#)M=-_0W4z%G4`(D+S>hhCG4)^zco-N`wl|&fmpaMs0vxXH*S0axRN8bZ^ebKHru-tsqCJ2@0h?B^Vnm|EGTC8=^&7tVR|{T6fhD#czkh zD9WWO)N}bf3LG3+VIp04jB=wi4sZw<%7#I>6{mC*Goi|e$y6=rhch*RC+eb^K<0e< zhQCJ~&;n)QZ&zPAMU8IBtdg>UF>+7NPUclAX2?;sYgEG8l`eZNkX-k%ngbR*NpV#y zBS)ew9RU4LzdUf*b%RFr=(1|(;>GzL6K-y);OD-SP)eujxaVq6@JbohMGflxPD@*Y zAy1E5oE;J;F!+62eg7N3t57a?p==51dNbYqre;;n_D}gg%2aoRpRpcmt-?b)YEQo+ z1dATYR~Xo(AaS|eGt3s{k53#|?belc%;mfQ-NT&^d>WJPgkwAK3hJsvqg=1M$`M`E zO=DszB^YImjFK_-OO1naK7@WC(B0cA$EqQJXCW&NWXB2|Qgp`I9h zXhbm{kRPrb&r2KUD8F9!=az{))cH4zT0lM{;w>sc1SmH>Q9r)5~W`pGwhCa_)Q@P0 zFP-1ygjVVpnez>>^|3vGMR}&i>I#;)t=Qs(8*AA!VKECIh)CgYUiat7ESmy0(B{~o zAu7bu?h&A=nk}32mpp9h$Yu>S@l}!R{^k*6Gfxzvml(5812bw_DjVbHWsw%(PV| zu!U`&>i;~-4u(6uU<*!f$~EtM1a(@dIw>~Fa9?IO>rR^?EQED|qJqi#Gnc#CfYgo-$;As#=d(_}xAP25K471RwxE{k z>TB7=1O1Kp+lmMJG~Iu8v(@S+^dTA47yRDBwvOcNod4@D(oqa8XE0-8JPGZw_`cHDU9U23;ubl667OE@HfL9`%@fOdTC^V&kDU?3-`RL;z zW{>-sz4Vt`MiKTIhsoT!FMS|7dD;8n>&)UrVji6C10cJhSfOV-L5e?H((w`kTB{ro ziS6BZ)PloZi2;4AXg^4rAb*~ahq}IqdNRW+aAPjAcI;PbHbMBxr4c=ECi|DxYI-B#PH+>SO1Q} zLlM`AdVuEfHO5PH*%{Ij4OkF6oIAhoE8a0MCd(-rszn9>AK&HwQOEh>sbU*ebXIEv z%<)o`tTY}=kAX~%3|m+Zxk$YcQ?6WQ9ZLd~9>B^>LF|(FDQD)Snj7-fxK{{ZlOC~n zpi$cE1i$tk){ZnNJURc=dJX*=t}Eryi*bgB+WI_)am;#dkjI>=!Q-!dRS#0U5>!vd&&u|*-&Q_RUQq!zl@o*BqbP$82(m=*cHcQ_r z){oIYJkM3egneh;$Ds!x>#GJ80F@bkMK>GY9OLWrl{ft~ippb&ipbWbZ=EKq@1;vp zBcC&9t>iY6DyrxOk)+*yGRs~{<)c^K(I0c+e^~GKHhWYM;Wo&@v>lq=!mT$|&I|9r zQ=3q_MEM8*;uZMf^vW@Fi8+vQfpO08p(q^}yCqRwQ3IExIvGN8M43yH`BC$>jR?O| zQ#$T}jGW{64mzBx_V1s6^NLPo{qT(Q1U!1-$k`K*J~DIh$k}5$%=IS=zBqIC|G{al z_rw&-zdd|+Ou7G>H*eHguD`eQrQ>6tx>~-6AKAb2r8a*r{-&pJ%X@!(;RM;y!Lzsi zg9Bv0{~pfBee@9>JNwMOOPu-MU)OQ9A5{L2UU{8s_OIqX*XMZLAAGk;d~)&P`MAF$ zD1Ypk@Q$zc0-SxVd>ush$7DU! zy2Ld#`Q$@yLOT?@bXmIdCDzTC9qVv6x>!Ta(o%$xN$!5xPCDOiHC_JRDdf1{B~9{X zcd7R_#DuT=cQ1)BFrer79LtDhW0j?Fo}-IJupa^$dy#tMEDfwn(+b`voS7wS4F`g5 z@Y1ER>v6I~;4#B;Sc(_^Utz>vfEKq=MN<+YDkfx>#ejpOx;0EhAzQ4nec+yy*3mQ8 zVuRg>`KHweH9;__9X=8q56OGI zSPrzfh$=xlwFXR^2zgBZFb;GRZP@@HRt6dMw1%LMDfmz0ZRxO*h1%#`Cb5koNwE5+ zA9QE~bIP-u2g!{5o9t++x_km=`Lj%GAQ?zpmsoj6Y`%wCet9S`()=FsbZ>gMf& zQ~urSYNsj3?Bm1?dUTe;%fJNg&@JdyNFYbsQ+uQuI7TM`c#xJjP?ilCGVzl)+htf( zoBV`e02#LYR_u4kiU*P`SRu?A3F#PolGy?g3rS?s>YZcPZb!Xz>wBdus-%6_SaeC;Bm(20aQ2;Dp?GiZf6yBML4on zpyDE+u|^*lT^OoNa5Y@SP)q%jbq`bQ0;aDF>(S-g-~FDObDNx+&hzZPT8edwHhU~F zYTn@@XXC#B2v{n^pu?7w0CBu~BF^n0>qiVi9e$Zb@j{@U}Hslq?Bs`pL!L@B@ zp9HsTzwr1}(%U>O<5)x9h?7eaLN?VwlzgMo=@PE;XbwAwlst{H@?iJWM~xr=I+(@O ziA&P zGg$xx6-uUg4Nho|P*x&apLo>oNvERf8e3?Lb}6;a%B&>!d00Tzm z*^eIMNqK4bK^u{TD;*(4MfrmQ4o8Rrfdy9so6_BwN_EeN=-`#DafcL9GfHx4px$y9 zPFR`VBeJv0!Mp_IlV=K-jSrWH;o&Al;IO_%a4JPkjgvy_)Ti=X?iZza;FQr(q7cZ% z%8Q*JETuR8`9G^k1H=M!h)=Gaj=G+*!Y99kIYr>y((*+T($_trA%?1+a=E4*BoIDz z|Adben4rCKC@TlJbQi8wce`P()TnG2#k9Op#!D}8n84tY$fswfY%V5UsX~Ff$jDWi z%!~tS4GIKd?Pm`aC1ySEvF?NiqQzI>z!eh$sF;diNM>G&0;*hPcj|Ue)fT95m{*G> zNK8oTYKei=&4ViT)We<6y`@-Mf{5<_5>zGq;^n#^SRMY9&KeO!Q4y1es&lN9lA_l6 z(*t_X6KLT!b=nSyRB&SH;TQl!)o(q@385=F4&yg7NvKW!^zMu29Vqy4*rqnPhU#b@ z^wEu#y^8ikj6w9b*C9#$n%0zu+=BzzYPg<4pjme3OrXZstbobrWZf z81f&Ivbz~HQ?05y(w%O~tAwUBH@L}$CQ4ig8njC;ihw3z3pNb9O1rK8k@=sy zDO`U0@?>pg)<^i;JmPKg2@Wn=Mv_KOT%=6OzNSL)4J`!3GB0Di zbCM8GPAxGxP?*(2o@riQV7!)EYA7C-k*e=@o6y?8=lHr!w9 zv#rb9Grhd%MMdp!AttY7w4b0%sTs%2nUyGFACB(9#|Ey);=@8bhA31YaT2-%KV>_x z9>p};u+`M^EndCQuivOK9ual%PHYpC$Q&QV&HGN@%GZbZ^ z2!~vOnhZ!$L!>@3H14FG1oc&n{VxY{@mQYrM0K! z3WviDjyA099$UVB_3pKmGX)2yo+;j+aqwr@I#T4TNv+^)%H(9e4%p$9;jwz|ob2#? z3wRay4VnFfF&p6^hRW;BtMd)H?G5MJ9EgfD;N`dsa&%x-{(Y&=HEqOk7RS9Zn;5@w zgbG=@yZV}U#o;CgnjUu*%q<*U>@@Hh>?|~^oz`7bv^>{u<{R_vl$_}qGQwp56)c5o za_nm~AHDYRiv3JacCgaSNpKwwT6i0;ciWXs&TDcXeT1-kRh5_i^k2p#XF|fhv9K|( z5Ibo+!~(J|fk4Qixzgoq&; zcv-%QRb*Zp-XbLRV5`I9Z~naff(}L{3`>X!Ut(tx+$*;&-nMswiDXY$Wo){6C*Xiq zM;D=%7qvASC_89#hU17*oSpBG?=#cYWq|j7rp6hAr#zSH+;Z~b#Qk0vuIG@V7 zXkLxD$yaK&ZWka0!GFF0-3bl{5XcAf!CEA=Q_9 zE2_{{ahR#Pr#w_9*Jp<>>kDXMgOYrJ7zy~)#)#DvnIr4L{+xUW1>^B?isdsWPNn#W&=WBmP!8{5zA+xNw9 z9DQBizUaEwy2JU?CGH5%R|j{LM``XHsCjJXP|VNhjLWa`_scaV2PHYfddom@&&c_p+O6*&!@R%i%dD_QEp57bt1E8if}7u)kEI4yHVlWk_K+V+Tyq ztj9Q!(?pC6WrwF@iM2DWGSz-=fg7+g*`J$(vJ(5a*`pUzO9EhzU7RZjxYrt$KhoO z3)10UzP-i!jnWEP@!+S0p0mo*7F0x43>@KP(!=hy|9gRK*jeHou7H{i-C6`@~Su}Ozcr?Jzh&I@Rpd6aJjC01c zzw=hTy2N`?6(n5^o}WNr#s=XQVG8PsttqrAVhI6(&mk`&o$GX1DFDl4BGr)Uk~`3( zDg-(N*D^owQYmX8P>U>-aX~gmo#IIX(w7x>+PA#qJ;BkD0ED>mVQU`)ncdo1qz<*8S<(x;84f84>l|ocJ%HnZ7u?c}ecUaOhqc}< z=%unZ4A|(Vvr#>Xfh@AUm>^Exrj`|%A%uMfrchIZpkd%F+@)l8!Yme70G+q!fS2Bx zZ-43=y?$*4Yv<&>;qu)D{a@O&ec!Gu7cX$+P%Ym=Mba`vif@o%Ia~El7qCaa#tvnj zcYUFkhcQd&7hUCAM>jbm)ms2~rmp~3uDF6u1flerCUIN_GW$|zM`8->fm8gnP`xoz zq%lWQLfeQg2VZzC?vtyR$sC(n-`Z7XWDA#QVP)B@_hu5p>P&X<{(6I3nwSGH0gQyp z_O)Shq63Ok4A5J`n(U=onWQ8Mw6xhP9GXP#7OrrW{xHT&gUy*(^b@?3gu`A9r&3Is z8-ZRvO|wd}YG7RQ$A%G(<*OY%|MY*z!?j|r$Z@4-v*lQE*yb}6lL%4Z*7Pn?Fu|Ux6iEk|Av6``;wP zfN)ub*1yYnU@^U5z z{!=}*Ap8Y~s|=ao0}UBRjPo)Kh+?&_+ecT4K%Fn8+la z;jPJ^d-Rp%szXn)yR$8UeNHMa-NZLJU-Q|#q1_x0cwn+rl>h`iiTO5A1}>vb6bH|1 zr_uq)q74`|KwgcC5<~{4ppyTS9)YjaKY!1U3!#$FZjh9ZN*I90xmBqjT$42?GpLqU zJYWC`>@OL_MI{dJV{ZR%;*9}6v5@ERiI{sNB$rVyTs>qz?5jlhJ{4o zl0jI~wS-N0Wgw9bJ>9~~;Zk!c7YrbHTqjE`m+&g7%&kUDMA@^R|aT;b7WGPoWF zr6_PY*wSFG2UnJwfm@4m8et0Hzw5bps%0xu@U5! z*w!=N2?NtSWxS~wn2psl!hp1rbSev%LryI~Nhha}t)~&hq)KhDZNy%iSqs}KLdSC~ z!4qAPz6N46ai^~H*E|*tt?pQX!`0wiuXf?)i?#!Ulf*__?&fPDgc;OPIrZ`Ppa*n7 zqbtg)wCGx6dohliG4oGk4KCD3`Ur~|5YYW#FbB414Pg#x03PmuJGXx5Jujh8NSBca zK`v={L3TAg^2l}Is{@Y6>aG}7d_1C|Y8GU4&~?w{bEU*y8UX-pz&UM8Z8Sf5I%h^w z7jZhH&-6RRcmG6v3keq>VR%(gPKIm>uGD7Ev9;u=9`y>|P}94FEqcp=D@^VEtY2Z| zHJ~Z|jR#D|S*2x};f8Gutwxo@joI&94+ir|hb=2+yh_j*LP&lvZzE2H5Mz-51NtzC z^|pbnqUxCX7jC#cJQ1?D8(DN*Oj*{C>=BE53l&VDZe(liSQy0Rgfy-W5h|=>4eC=av zGn8Ef-zHhOD|q`2?=Oy7Y@Y8;?mU;s)edkUu=V=gmxs$M_u?9dgP|SPV|xtu$76aG z`vGm_^$49{D;(r!{da{ggw7+eVW-j7M)}Wg;x9l+^eP;|W}oh%uws(gz-RI&>zn~O zn0H3Px_J^?+Gc%0E_U;iXQ!^5#-=E{;uIO@uEb|@N*NcXb}}~QEy)>9NJo;f5H{-d zXTIra+vl*oIfZwRgAZra*oc+U=*UW+Ow}7Z-*Eg#*(;V)9R_F2hI&;ObrCoJ6<&>& zo1Id%j4 zul@+Wqx<2M(%LD?dS{`sQ$k*oodxXII9R$rmm?d_!HL6qqjH6-X0H9d*Z=ZoiF!u+ z4Ii=m1e%XDI1vc9g$9~F&_kkQ3(4Itb~@BkC>j4Fn4ml|;MMg$`CF5mv* zVhcD->f;UR!DGg4p8BLdi}-lu|Mq&Y@V-(7G}E z=YA0tpIu_jRx-STFnrYAR=t~)5fdo35h7b%4s}Y0W;7`-U=(H?w2@GntN;%38Hfd` z;Y$lwmB`>B(}P1Aw{VICBsdaL;UT`kr2kX@W+L^yZKGLQzLCJCH@7h$lI+P`14sFj z?6g2yJ-I5;`^3T$X9|jeyvb8M6z7;p)2u~spTRp~06H2K44W@iOY)A4tZpW#wdGHq zN*2YVdJp+!KoeDZ5aJ7O_yKR{O=Fo81ruggu=HZD$x*7hw*+N0N0rvcB*a?#G7_l8 zu&tlUT>Db@I~$fgTB5EUV6;0%zPO?n*?a|oJW96y?ycW5$FYP*zVFDK zPEY*4-p=WXAM5NtbkS$>AD$}sPoJImvCiH5M$V47BHk@G%YV}4k>d~l-)iU?=a~8K z`<`#g(eaI+!&~y&TOXH~m2^1Jl>&f3+;{sz8Qe(VzkijVFfR@*#3AGj=qhU|4vsydpS#ka6D)p}oW? z!G^g}y1T>@7q5%I((8IkraCgaJnq)>zV*L^nZ@I;w#uBQLwwNVF_GlA6`a?DjHqu; zMZ>wAXe9Xk^edK39DBoCFJ^@^$vyN`#3lKyMe7FRQH(}vQCFY3 z=S`ns^@-Id0nve7#lnYLZTrN$KyS8)3SufVEt#zZ+;?(2gTm3r=hL!W!Yl;Vkv&6hT8+y?bfjpD9di(R8s)`W@_0kUQ8S8)BQqQ-JhSxG@7#ItD`ceE6s)7gZFPaq& zG^ZKATQK@DdjjcqY}Ub7qgPA1*iqv3DHbo?P4r7C02rtGyliVL*Rsx~->h0wUrP+u z1>xY1D+u5&RX+n&3%!486z=DD}s za}uvRg#TwTka|(?ocKbuv#4j<0y1akP<4Wj=JDZF;9#xru&P&74a^38Fw2F8`J8zY z-l+tAh_yO|+Ki~k@9=P~8nhk(p}<1~PdS7Q4C56uo~%!uJ2lg?%%Quy#qWn(?|A%* zBCekcIi-h>$=VE2aXRc}FczaDR-Tm|t#Y`qt}~Ni9c%hmvi!>$S#1!rSg|GZ01|al zB`@(Bj5z}q#`aVPLtT_%7Rurc_$}m}W?pkQ4=1pL&3yC^N~i0whJ)j zReG=RCYVFiwC#Ac%|r4d$c`7`YsU)LA`zm^;s)g$08JBMc8Lh zV@}FgX2OuX`jZ+-UGMyBKI&@C5gZPHt819^pe6zjj9c)=uZRjIkyAe+cn_YA*z93d z!N&*2FLLk@_)DNuD1)&9MK6V=S5fY@RYZG%hfXK%WQV3YQ($_ChVB4r1mvLpq3b{9 z*#sTQ2JA)A3ye>qKT=fK;SgsH3R;7Yc-fktve3dF9R{Msh5-jM%=EG;9R)dLOMSQ* z&XJ779E5PA9}yX(dXt1KL%_&kEJfDAqqGID-TlPDuXBwcnaBqivbG={Y6uJW>J`}> zIiLtb2Wrq4nv*XQq5%0wI@F+DNjgRZ&nq;j3voef5ikZw%mvo@q7LcQQ)QY!X{|i* z(2YMqr*+BlA_)W~#3Ni~HLiBr=674I!Vj^~&iLXDN`7HRY=WsYb}YDZYDSw2*D6B+vyd5ctbsDvr|9;=?C$8{Dn9E zopA52h>@WiK6j8%KF}w?J3Od%QOzO9<#Tl9VM_Hvw0r1K2^EwmEIQo&#m7h4_;MF{ zt!`ZIGO4^($5o*yH@e_v-Dz8MCy8&@pb{=Z3@QBX!vD@Vo6X=_Fk8MVG#Q#$E-$<* zWHewd)rVDMPhAWGw_;HHBUc2pTgDu?Q7xojqExG0Rvxh2j*1M|^%z*5_w9fC3N(2R zaM!cxeBayb>gW)sAKgvVxkM&G@^jh9dK z76&moDV>%5;_=NfO{(m@tFtfVZs#G(ttCPnBb`&*J91i8^L|+6!gJtqb#Fa%7h}bF z%2Rsu{#kex6OP!2)0fr!4qIKqCmv(bv||=cjw8iD8uSU^Vqmo3)D$jn~96`Vi#=#$wjMCy)ai;R(wAHMQznB!EltFP6gS? za5i8UPg77YSKFgiwx@KdQwsS7RuO`DW9D?v>lzD^ymS$c&<6Ha{pw-@!!Y1nQUt-w zoJfSxiHJfM%ABR?H@@-}4uVpm@oCkrE}{0TE+EjQuIpkL3;0Vfr_;Kk7mGUy{=^TV zL?hezSLSEZ$n#vyvD=Nxj-LMyE>=1%GmO!i5&;fO%%j*xvZ$Byr44>XwL zPK>&r8wxZ9h*GiGoHuP4fGdre+AJS_>)As?r03G_ zF2Abx3m?DZz2RwI#aXZKKNp;NZT9B+n~xI|${>dyn_au(rk^#(roSm)on7jk>wRGD zKh)oL{53ay@L}F!&1M_h*?#*+=Ht^3oxOXJ(XiB_Nb=8r?V5Kl-_t|3Ued-pu6a$^ zNS2Yr7fKxbr%T)pR9~|a-D2t7@|_o2IQPeIeYLavSk78!y9KGi|DFM3F2uFi^O6Sy z9fAK!XFW(1`I1+X5DG_f;R%I<(qe=Ld~%jZESk?tnfQ+s@sLt*kpH@K2kRg2O($=Q zSL3CuZf-Y?hq5HTbFpCvK`?vAQY)BUyghF%_0II{H%+gM@(%Oa@S5bFY`y-PBFL^f z8)r*M&zsJgo|(RB6Ea*eeQ)pf;+0Ew@$%E*Ez8-dEcoUm`2Qet^0wlZ>11gKh}U&) zd@~*Y+Fj>wxcO^WIW=$H@Gm7fzjoJOe~s(^()`yJL1O~!!5d=&?17;12-qD#V-d7F ze!Q^=+5xG!{X-<7X^__Q20r1nq^Ou?X57Lt_!NJATF@Xb=31MbKUd z8jGOaF*FuIyW?jpg7(1ASOo2bps@(r9YbRgv^##rB4`i%j78912pWr^-7z#4LA&E; zEQ0pH&sYTQg`lwr+8sk<5wtsg#v*7B{ES7=UI-eCpxrSv7D2n?XDovDz|U9&?S-JR z2-+P(V-d7Fe#Rnb5B!Wp&|U}{i=f>xG!{X-<7X^__Q20r1nq^Ou?X57Lt_!NJATF@ zXb=31MbKUd8jGOaF*FuIyW?jpg7(1ASOo2bps@(r9YbRgv^##rB4`i%j78912pWr^ z-7z#4LA&E;EQ0pH&sYTQg`lwr+8sk<5wtsg#v*7B{ES7=UI-eCpxrU_{|6DIIQN83 zNC`?(_{8&2sC&gya6KpR29YqhB^X_k5M>11vc4gf6OW1OK!RzTi0~MSH%Cy7*bu^l zP#;9<$_PwO=u(1h6ZM1m9bSj{CZ57TRfw3T7*g?@K2OuEEA zQxghCLckPvuMlViv8PqVwkjd?Qez3cs7PcUF{}lv!bU0TByri4$ln4H774AxLtr7Q z2M{*^(?wW+-*vxEWLcU`i5W|FMMx(YkwW`{1Y(2$0Kq`Gjhhu0Iz=A=v9+Qq=pfir zlNzbgkW3I0imRQfBDN7jhiG1cSF!L^2Z&QtF2x~HY&Vlue(K#Xp&&uHT$w#jLz0q%_5p#>ECOfq$ zMVD%b>04Q6ncyCTibOA{^-HK7T@E7dDY$bP?{#0ijF2rJ;q7ZgXbEePzxw+{kC=ms z9VdDzn#@ji_fv6Q2(Q>CXpC>@C-Fcc0$F<=QGKIWa3+oQx>;C{BSIPLvBQO8$%wyr zs!(aIiiwP#2~5Zb?}2+FP4;bHA=neIRk`-6DXRS%UIeHvv<#JPeKf-h^ESrz6Zgr;q_J4u^JKqfVQpWjuYb z58KJ4zMo)11Z$)_cMx_m2##66W&jd?(~GJA-z};PJK+FvV@+IX6Ew90QB#HPp~<1( zC5+@c;bVxm1|*8iZ3gpeM9~ZrI;=6uNF#puAh?$BjSM~_W5@$w9}U!WaPyiGxRMzC0afk}=2~iGs*qOlK_ti9lOMG{0s>yd@qb z#=Q2qZc-%i?M3ilcv)IshRog?J)c~@+Y;OKWN7=yWy&JC?I{Jz3d3&A069Z`s|{ia zdz&<>2MBN3Cj?{y-mVO{hz82|47La+YW+LCs9Axe%maLZ;*A&)MNp>_vsD=3G*A)+ zj{sJnJ6b0w(su#i_VlB_VvpBrHptc#Gu}Ip5RwT2N+ejXufTUn4!3)`f(Ukk%(jD| z6Rc5pS`B8qq9sAfh^R}1Ch&%MH;c81QEwXSfoauiM4)9(sYZQ;z)Au_vn$|gWI-Yv z1KUvsF%csaW<{Y&J2fVTLn?&T{QIkqgW&$Df{39uAm(o^Umz+s>{EXMEY1|LH3MR@ zfRkKQR>sB=I|wXXU(bmGPmsOjn`4EnBn0TtgsVjHg?|Wm)$-eiZR_>|f>wf7ra)Hp zC>zcaBa}+-q(>4_al=@^2A5(w;*k2L6H$eR)9FDRWwY^Jw&f>Y8{Q=dY1(JLOs>44 z`5HgGdbt)5;@lGQlmNy-cqrZu*5aamcYh|CO9;*GUs>eIS{%=b;fQ0E?2n16MQE(5 z*FM}g-C~{~xlyIf9LyY=5d#&*4OZ!;18*yw3=>M38OnFU_5*Z4!x5}-1d%B;y9f)9t2`+QfVn}8TE`@BuB)g8wD_qMbSW%19n64 zM|li~BJ3o!h!2`7iYpla&RC|U%uFD-Du437JyJ#=C9JfIdO3RlHGx*GM^I9_LrCW? z;$#$JmG*BdK&Lk#&Z`ell6S;lU1TUS(?>pXID*0&L21QI7NJ)f4_)y)Icn*yt*$^izFS*BxU9eDxyg=d80cO{Ll|};P%)#J{!ylB{oiP~wkQCsIi=7`Ad7=R ziCj=DP>l?DK1F^clG-J+v`G{JV36$7CHycQA=?L{po1?$To?o{KSSXRY;OI|TQ5WD zLO}9g*wH0c0CIXrS{Y5wsj5I=sscLlC2|-v!VQc>xMTRz!C|2?d@bnEIryYa&7$s0 zDJsI{N;2V8yz~F=FVf4P51bAS91OtXfG7bfKT}tZPNphv)K$KzkDA2N`y+Jq4@|6?a|jh7E85`%^mhJSycT z^$F%j-Hfcn>!@N{B`<$~!UY`sm1?P~*LZO{u%J~2stAIA|K>c?eHh(dxEQ?pK~*~x zR4&R=!Z0w)ZYzh7skUeZoQTfArS@IG?g@VDhnvkEG$28;plO<4L}^rTP2D;on5YWs-G51i1{1dWp|IA%M8tS- zl}FD#^Oq7-etxo8B}60_;WV|*7{%XB9IhmU2qalfa&%qvO=EpVl?5gd;_s?{EggWx zh#U2vnu$t?kYmWBSZQD>SYyRO=Fo%gLnYkqd*kIr|4c~y>5wq-HNLwHHaFrl>Mp%z z%MM3q1}R`vXaiPNx3%ubfD~*vY9n~itwtPBmI6Y4i$TB__xyV9oi{{&pMS^fyTT;) zVS1#)5r+DpZmH8cn!_H}@X82pbTSJ%ax-o)^Pyi_13Jc*SvelOVgo&9Kk$!x%d}9z5 zeK3T8U{1A7f{P=oh~*4KG3qy=(D~`^(Un`4$(NPOB7XsK(m^#N6}Iaba+|E$5kC^Z zocWxbNDrjj4PW*iKsH?Rmq#c#jkvZ_@SX6)YDR&58t#Vs!Mr!SQ1w`3HHOS6`tv;! z_}_4!FM4QDYbtu$tPG~SWOR2FmJ`3}FUr>ERq4h5=E74*LA`nNvIp$%7k=-7{_mf& zl@oQ~Js*Y-*t-86z2a@f{o&7CW>+@r@iBXBv(gGu6mVC3`;>SXBC*wRB=hgHL;c~P=jYDeusA;Ln z4RFIpukoZB- z2m`7w)(H#EYS?I2lf;CLjo83=6_P^`*l6+n0t}EmX)HXTy4s4(Gkj zLhP4+qpZt|D#4$!xcWQP-=U_2tReS|s>ZOXw)COm&4V{K4}@2S-jy^;n0RkRi}p#V zah%YTiOmP{i2r-@KBl-d~1c?O0;4he7TZAnQ-2EH5q zpvfp`@)dgR1<7=C%Y*{7#zbA^X}eL0=-5IANudbg$62dUd#e&IfB@DB!@2YKeL1}+ zl-=ZAEIf#IA9$oSbF+)&y`$N4-v)(8q%qol%o$(!z*?^#%#mXjX*Z zb;cMA#Y&1CUZ|!U26Rt(gP3^IrkY-Tp9VE}MFJ)yxdGE*8bljG(LrO-6L0?wcRaz0 z+_P)`XA8cs6jB?0_ZjBdm9X&bO6>{zQ0O~hpE^`2cy8dGZ-cM_eS|o4n<|;O1_&@I zu#i0R5fj8lCc{+1@DS;PRuy1bl7q@tHChM%Q%O2(Q#x>1Z=vcfP{4TLR2_sMR&A5N z^2ZBEe}}}6hjlPXRXJ;plc9)@a_T^IvlTk5n4|(`sl@PwblaHXrYU@(ZH=-qk-?LJ zP>cjmH_(R~KImhW#DZeONyYVfNN6e@kk~}7G|7|3L)5i7C?b7zYi?>a0W(h^+#3E9 zmRr`4;cZ0k4SM{VeE4MF7!i?6D-iXAp;X0689~Lj)MT)4PihD3nwwAG&y5) zk=X^>3E7}dct*x*!UVetItxbWsJ=<_qV@UnPj!05qMKvl+m zpLLP7#a#52C#VLE%H*l!DAIVROj;q*`+ycrS%%?1X__w^h&_3tR`dp&BoKX?SuIso9;k&Q*@bjigakG5TOWQ!SgoLQ#EmS7gn*y4Wpoh_5y!tAuCs)OKoT(+AM3w#5$8UV0 zzwc8=j$Zes@Ke2`@BN9+iGz#!eDslb{OHFzckjFWU;pHf-5riTKlFp|zVGozAKG{A zt)tKWL;LpM`iI9p*4clk{QThcC;Gp0`;&kEi^uL8eQy8x)!*BB)4oqV`P6lz&+t>* zPnAvlkJQlT9#OwOm#Ig8aNqNt?WwQ)p%hGynJ9&EU}>+w_yLD7z6W)OM91u4z70Z0 z0~mgWg?Ru0gMq=^v(A`lVRM6zSBvXQD)B2T7d*0&y z0=3tB>nno3KiLU`H6J0of)HLUtTd1y%&|=%^Nv{tZq7V{=H{!%T$pAMR6`6P%wiVx z$PcuxTxDq=pn}DwFY5^M4e6`(uMMK=g2gPPAmJxF9TxFuAco~f9}Sba00kW>i13Xg zboeaJ3@ZE(Ymwiwvm0nrLG;Mc`Q%i%6s#Vx80`+*Etc6u{7^W1D&&5xcV`x4t3U`b zFpaW1z$CMnRw5?$BmJ-&Yz9bvFPx-%KK5_SkIal@@Y*a#^aP7+J1|(*S+|5C6$(Nbyp)3Xtu)_GDKdEJg^`gbtHxI@ll@~iGqL+Xz?*NM}hc(Yj z19jOZ(PiR0YVyq08lUkbmVK=RQ2Z*gRr$fpa!n|MFTo|8%8UtKHv+>NU-kl)UBJd@ zn97C#tQ8*$0dp+k9Ng1^;0mAG((5*&aJd8Bw!+mOHqQX4ESm&2hpGCHfTsv*UX3!q z1_G6`?vTlElIB-IISj_)DDsV2qY36Kh7AY_qBfch*h-njWB3YbLA#v<3y-WOwqE2c zn4u`@LC3q=Z_{z?2Cx==7{q1@8%?s$!iUg1jGkTnx!Ve~t$-C_-eqvIr!knaC+aKb z!kdv=tX2Ut?(+xMu)MI_&}XD2&A1MQp;T~X;`OgOl0n4y!*vZvj1O87AGY4)-3NpI z2DV%10@k6rjD_HrN=_w64A5!fzOgclGqAB!#p|&axU32L=!97{8aB0HqW^a^zV!nI zbj`!ndJU4JvONg+?7of)VuigdAgp@%67B(_`lsfCyk zY=$@=qGzRba7OV#eE_^U<4My3It%*6mS6%{o}pmz4`2k6Odh#mL90b6?P7>U98xE; zj=B>DF=9`(qLjXU{@e1dMtrw+pbk!R&*Z6pbLUMpmPh z4wWF-C9hJd98B@kTJpx^+4|E*isM-B9a|ukXx9?}T~;eQmusEUutCgR#M(?xgb5}Z zT@jK83ZivWYX;Mk2C5}eL7t~OfZzi@2X;h-7fjc`r;0Fz1Ys9D3!}JO+oGTZALD=u zOMGenM<}Ph2nC+*G1RHwHN;{G6E+4#!xc3dT~QT9UU*=c!Y+j-eE?>=PI!~a5N?uf zP_`!~83vZ|u3diq6=HPy2Vfc;aDgm-AeFY%8}(D)U{nmq@8u!H;t*sRH9MhzK}t}EejL=eT90+D8%zA>azny|JAwx z)g@5e9b-$is550RuxBykRnld^E+9DJJ_@@IAmANimi+?rNPRa9f#-qWCL3EpYFw>5 z2)Kn8sL%mLOC1_7EtD(=+%YjgrVFAi_T31&gpX(m?pc!nH%Sd{n6$;Jy;wR?+wrX#3pgV1d7rk9;BpYgBBYiD`UZtt;g)3GJvkE zcl~hRtB#$+oc4sBWAB^4ob6yt6*fSYcF$mJU3(~Gf=0_=vjK4&SH&ajA#zhmhcPBF z{f}VsVeVVGH|!N3sP~WL=%{xVc6ROei%+!7Q^l#IPpkImVy7`Tt*Y!@Q~8}& z%!P|Gu9F zSn7l{+=w6j?+3r`7?LC(*{&D}m|*zDKwo(w!Dx1Wc!DttzC3RLmy~9yHj_jffsqcI zSV4vu&#-4Pi>x;_d$dSpSA*x6{9G0+JK+K|2PVY0ipfg`-RhvU+rTsEUb2@hP!8Ln z%wLWw3`(Ut>yFG+1GC`&_21P(IAzNbVy#!}7ITQh*k55-LW%C@3(P8ygpD?;yajjl z5k=d|R1zW9W{yBvZz5KG?C+g62CXLRJ5bLwWen`b@|ee(B}}?8hv|#w>um^twk3Hn zh*cP^EtNHKIH&v~4?;yNpg~!8^vKhXzyFz4I){JM7U<}HJfwq2S04FN%KV@9!o(a!zZ1#_R5pCA-f~lD;ah`hIQG-HLbJY z29s_kCx{M{z_!%*c|7NjUOZnwE3Injaw?oT&l7&MJTI zUW%d96EfeSxZT!p5Js3rV(I{;!W_baUty=cf9`R|Y#*7Ed1bOT&3=gRgvNL}ELcBy zGW7vhltJt{cIDAdtTkDUT0#B zq#XBz?Zi77+r_lPtm<83Vz+>rJZrT78&&FkXF;SY42TiADZ&>IE>@-4KXG+!)!*Z< z#s1bbvl8tFva<47^JZ5qQjausL=4c~4jaJeVQIB!S3rkd7OF9utM8C7OGtr`eP z9Acnk;!WqRiL^=8JOeRQ)IAFWinFG3s)LI>mD;JV(>{OuciuMtneE~C+&2Hf_V69I z{m29TjV1T_>DzwzhR*S~*Z<2$f8_X`qtD;|=nogKe}3P-FMi|BXSnZ^-}o>7rqlW4 z%gfJq{#k$H+~v3b)sOvRvGe(*?>lyr-ksVn!yECM#u*Cl1WFjLX^Zx;T!9af|=b^pF+9paW_Qn@>?Q zi_zw)U=B5tXBaZ=BS{C16=jr>hQnu{#VduZ^_k+RLz=ss4be~a24PT!^@)Ffofc%ylJ3ga>f!%Nov43E=D!P`WCITfkU^V z9RF0{#uu`7npV+BQpO)*8p9ZRvP2P98=Ua5yH^`gbx#t?y7voXPH%JiE!#jW}no}#Ke zjnU&%|LVAjR~rlilL17h4b!lI%bLdTpd`iE#-?lR$@YM7_iUvi+xGuWZ9Dbfa86@^)8MXY-ZT!~T}Ctx(P*b)WoW(+dZ zqJq<0o8MKInRHd$Oo<*s3c1UY!&XtfEi;gqJFP?reE@4}^?^eJMbs5HiU1e7O75BP zOW%5Vkwt#Q)D~ob;iVvqE90p*Qy?ZaoJg*D()($Yw&jyJh{*>wEuYk!R=~WhS7`;= zjl5*;pj_ac=BWmR=C6JxVzV}HBPGjJ=CJAd>+Y&T{;UYM&ZL;ZWPJ!4*yOsHEFszP zxRwu+RJs8DPk$a$609|XXN4)T#vBrp?rB*Juv<(MSjE#+1*s<6AIK*5s6fr zBNV`aN=0@(j$&3WE$oIv^uYyn7HwCB_-{-BJb-V;|?W**InVNT{EVH8d85p^8 zCiS;EP?Ii(!AxSFw%O6l7z~cg+b=bfI8fHG__5xLhH+{i(cKeSTv=TgpTbdD?5`Qz z2Ct!gR(&Q}7j4Z3gBjpkR}Eu`nxg$Nc3GlzLKq5#&N=WPCxCq$PxicSKZ`A9ZpukKBF*WTM;4htG{P(9 zdw>08xQtj~F2P$V8W}NFT_zX(awL&c7BBKMk*i{>jyI}C9L)R!YLKZ$U4lVXs89{& z4av}?FGa#c6|_H4D&R2QQx1M-WRm|)P0t%>Or`uN37}_So}VsZl&TtavFwkke4~)M zPAbV^J_S`UAgD&s7J!k4JR^WtB}57Yfy5h_@Xw$7@2Ou%w;MnV0US7x*)|dgFf*U! z1%c77sF_P$hiC>pS>_03qM?ED$kNszr_Y0!kw<@pxsY6xLQ(@2DzEFwm*z9c7Ij{k z)M>%M1(M5l1VPypsjhG2hWmv-{$c0vnJkc7v@ED3xZD*%9TcoczPi*WDFzEB_+i0c z-t_r*tfc6^G>cMB285~WyqYd6C_m{4^>Xo;`vE$GtFYq|g-eHuvhN~17e7=9B^<;^ z74jro``+_fIKo}DnATt*_al{E2{tbu@d*kCWlcNi@X$dV9w}#FV16)|aqbs&9RRYP zVZl`$`s6=|Nd5YsoO)TN#FXkmiTXael%fle;140mUBar$yPkkTxLFP8Ctp;u?l7$$ zf=U(93=BUhg&c?8*gk&EWhn!5=-NWsQL;us|2T=4sf5580irJimTMKDQ%83M4T+JO zlQ1dK=zcha(ku+lI;@Ss_BYm!(U9)uL+^C_r?J z0WF)COO>59l~GMC)2Z@8s4+Eo=}_7{{d5-;fOU|s>qn>VPv{{}V2?!nEbS7mkIHUF zR!@~dU2>FCS6zeLh@uv|m>cO{#82gdWy(=OwT>KRf~o*vJnPmU`afRA3;}TLY}ILt zhUrpRuv5v1V`y19N9xX9U>RyLIIUVhr09KxL9F4!rcMmT(Y_iLwye__#nI8Z)t60y zQIs*$u`;J5`UyJJ6q5wnaa&^$(*jlsG__bwnrnaHuJ>au1dnGyo9lxfitM+)rV)B8&wYOrQc#qJdG4h#@Zmjeka^kZB-> zR~yh@H^j5pQB9_NSX9wD*gHgzXYfcWyz5w-NZ6hct2C?Ej-DFasBvafhWz~EU%q! zR4Xt~wpf}j44H{BCCRI@wcftO1yZUH?ve6rgj0ZveAV8zZ9uH%xI~?SAa)g=a=y;+K zE(3a^7DOFp4kPFH|G(c_dslUL(jAVT$9ec_*IM88y?yU@d)K$V)t+0L81CGwJ(F8o zUw+=__wS!5c=&PfT6W}1wm#GZOCh@h(M4@Z(@207gpRkQ zC%~p4OP4ZWkH9w9=`^($l5r4jNMaiw>m^z()~82beg&eCI2&d6mPss@154Awmidv& zpBW>s||4=0;JlkWovim+NXC|A^{_tm{v&_3ieHlU=9Ow=@?02La zwj77OyRzB$-_j2;szuN)R^z`CBppqY?gD9QXC&IUv!IV7qs%c9pX}d=-+hF2UGim= z*Op0vVsgjKiPx>DJ{siV&zwisN#)9RiR#*ityrm2V8#v!3@wo35hPbOhHga zd4s2}R!k;2(mNMGoaJf_(9i5{e`UwR`Jj<4G8jl4t==_YL{#3=CtN7EB2|I*w;uf%Q}1dioyCQ9U>~(E7>$DV44qjGvwON zhxTdr;;vUc!tTYnS83nk+`fP8yBD9%e$2U-YFFmmOSK>Kjc=a6ee1=C&Muk*WT}7M zSTqmvNrcxO9`KA)>o)3%4#P6nMk{JZ!?Kzadm8tu*}3&Og04k{nbNrN(c3YwsBLkjvV{sqlu zk-?2O0*j*8P*+ zXT^YCpRH6`$+}23pL~DOIQz@bWW!$Gxs#>V3K*{TRM@M>$=UslVqv9mS#N@UqWNSw z*F?Tpf4cM)%;U8Y3)9c4R=4N0W|p)n;Eg*e1PbIQZS+)Zl_(Fk^PL9^Ff*Vt1~6C5 zJDn|9oU&rMRopPkL-L^}D@;XMY#^N(`sCe9r*EM*3d!&~EGuwGwgv#T#FI}FZ0nU- zWt%4_D2jp_RRmGYYjdnctpbC~dYw@81{4 zU}FJ5X+|a{*P?=-9KAYA&2E!j2s{HJkery+%8MU#nA56A!p;(>Y=p_1=81G0kG|qD zFg1D25NiRiqfKLP#At&!#DS|-O;NwR(W851wx2OU#lX?SCqy`DHakP}0hJ5?*i4lK z-0J}cntH|A3aINa~ypi#7$SB${n(st3$~G`(U}h$wY;Iq|-$= zOiHK0A~U}ao(3o<-TkmL?5NjAtuH_PIZQmTElUYBm9znIV0)W{frTmLVhPCLly{y{ zL_iSaQ&2w1LvS1dw_F<`_U#i`AfDfO!Iyz3*xJT8bKL-#{ru!E`&KbCXPI7#&YKL! zlQXvn761zH#_2`90+Rndk~H~|-6T%oGvrn)y4 zV^q|*69wd>zZ9+5L|*>AgT7D+z8p=?JVFHMMSeIzHzK`wo1D=^bZOpANR?XMm0g^6 zceTFqeZPzn1S)~g`GYGiawB98nm+p~u>syBP+eA=0%QQ`()4tYXa zHp{Pm?7wOnqi!H?AVcSa81)Q!goqMMX^339QCJ$Nf6*>F4AyxzT#`P!<}Ud!%Ew9w zySaUJP6cZ>E)7LOYb8W`>x?50)|kkn+xnh&h9ZVCGF2(Xi!KP2zCV>So(dTqMdeTm zRf#U1omcVWcehYQl+CjaKXg_HcScX!hY=ipDoam^G|)JLZlAcAlOV)`_D$DB7cV)| zx?^pp6)}Sg)vek=WIB8ZWw#8+u?sCZOJD$nKcJMw4ejC`pKQ$De`ns|;Rw^TyI9Su z#pf{1i~$oJ_k$uT0W14FqiOQujZ{MDz={JUGckG*)$q{dXb}wIxZjFq$Ln-<(NXnU?GtAu5D?^1|3F;;4al;_$aIo^noW#_Hfux; zAUp!odhp%P=8%m=?N{pnaRy@*+c2~o_olY{Us4nY=*7z$mbz1OeQnQToj2{xAl+U4 z9-G-ZldRcRi+$ax39x6ooV>D%NuqxPvxGB>odX4$yH=Wuwq+F4D>pvB*k7#B99b0J zU9jNNa&bzt^S~eGqA1>BI5PT)vbp0TtWjrBUxU1%i9YpI+OC5XsLZ@~&}({T-{G`@ zs=%vv2s3;Vnk1%w7J1a0eG)BMv^r%Oul%~yPNoiGD6ITMch}!GS03DsaSVAdR;gF8 z$S`KWtG#;cF?vQ&*NZF@Mxwi78KjYpB@{6vh-j0bOe2?45I5QkEtEgJ_knkHWw>`U z3VA>g6tm(W82i*}EixxbX<*8T%#jlC=#r!(nb7@-Jiz->Q$i@0OahWcJS@)Yv@SjE zB$^N6kX1+8ORMt6DN913WPdUw5cubtbXB#3NNCW+$3Si>+;ovpjX!x(UL+OPMYWRR z-g7@g+jR@F$qOIKtd5Q7oEC=OR0FLmHAj{>zze@wwNe-zD5wc3hU-J=Bj=VUS&&Bx zw!69h@^=knp@C_s22Uk?)mSBp)T%1nnTnZH_Zr3qXqXoQ-O474BH|)ygg1Or8$lqw zIDGa)FvzAY8~PdxkM#~-O)kAzI^aI5?Ngd1s(EoOWTc^4F;rnz5r~M-7O*HQ+ro;v z5u&j+D;!`Htx%nrX9S2vRvRwAvP#k-D^dop#?-kp*C?yDi>W%YnZ-R0yXU|hL$^V* z?l;*L#x;yt$Sb{wN}aGN48g>vFi|*qp;d6kwu&iHd&}~}AN~BPNcoC`9p%98v>0lj z?O^m1orY{!WKa(VS0%=!`}$zOW4;QM+^O2@^#dne@WjlH%TLlSugfR?vG4VITD#YZPiYTZal3Y}y-EAl&V1QhksE!L zoOV!se_1{$(HPitBpU69$2j0 zfjzt#>#?AY{Q%kuhEt(Js+cTEh9oQ22=&^*`*sZ)Jml0O8WqEm(B^>}46T$*D%>Bj z66^FBDN4=wSuD|qqx!vq?P2{E+pq>~ypKtWo;OPS#I&B4m6$B(jA=5U*8IYVIf6ua zh8;3XI1CD2Rpnc@e)Z7DSV!eNdx)uAJ^>9jH8H^g1{`u*)&-QKdfc#95C6I#A=XkpJUrrW}6G$=8#sr4CF0Y(VvGhj9y}I51xI4iEeuU z*$9J&05PkE#-f~Hg-^#-_6KyI1^pX)zTf&c5*zb9k)AiSAI2|u4tp}f6DOxGDtSO6 z#elWE!A0|eW^pFSafH2Ca#pf+nq*P{Dd9@|3sBizd+E+wy53PxcDnGaxptqqZa(=* z z8E()rRGtdvA@YJ|u>&pSnLXaZ%pOlU$z>=jh}nsz1u%jd@Bma`yuv570}H03;y{xp z+(q-czR@BGjhQ%hf=LdjCDsaFP6W{p{%t8ymz_ykh0uv5T?U9hm>;WY;SFB&!~nGY zMo=XR1~d{)I50GoppoD-9%CDGM$Hg(uDn1@F5 zTx9{`m;+Rn2mzizRxafOY3-y19BAOi{8;Xjjvbj|O%+?x3>Yoh! z&>q1o*emEb_g9LkfKaPvec#SgX`V!q-<`DDk7u&r1G%v}8ORBC1c72eXfR0@ZzT9i zR^=8w%7x#}d42hN(gM@%qfDv_njFrL<;1Xx>mJvbl@@gYX(wt5r^04@TpvFFd4t4& zZhdA#i6MF2A5wQq2wWH~Z;wocdKAWieq?z3P?g403_oHP!g zgBG7toYj{EED94-d+bj(Ju<1$iG)$1)F!@u{%&~68z_HLUS%PGOI#y&^^}#C(5_R* zDJkh62V{}Ljp2;GK;4g`V0lE6@~;2rqr-G&2mK1Yow1bQ)4V^+?pC?v3d01bKnoKi z2}){(R2IRLGlmfw!W38#3&q+`zv10ob(?nF4ZlyDrEjhH6Qr@?o8SqdSPpbym=AC@ z+bKBRx_x^HE@$SzQUzO3t|b%Ww)Qd|z|XqU)QF|L@c0yLh_?bjnu*9Fh>W4h<{5;D zVVyW)kv^+Q1;n=oZ#q@x8wf>>MC(cox`)5?7SO8)Wii$6L(fp-N=djcq0e@iRJ3RA z5<>n320fJh!(dPque6a1)h;4XQX=KNom-PHsMk=EV`L&0V&O-#GG%}i;#?1GxKew% z^4~s3i$@+mGq6>sxM_P56iOpz58k3RueK_QGx(EXh$dRVwj|+YzsF53@q=_kq1AQ# zwBDl(>rFLn3OVe8RTki~5lF?>KK{gWhpj0crKVj7EdAJ&?cP6Wo4^!X zj7&D^)4K)}VM`!KmmEm9n3~wfa0$_^-kYxPQ=Yo?A#J@$aocad2msj+znT55ERVON zyvR%Y*0b6BZ|Q4s%yflKM|ZP(h9RXr%LW?iG^#X7g?ext0(9NvXYRbk|cj6QSlVDpn-Atzdpu@qrEb!;yCCoYqNh#wTtxrH32pmF=8S=^|4$e zs?^UCArTU;;)nRDDe!6nCH6@d@w7$rp!!u3aKcDMPzh!8Q`(Ip!$F@T#w8%H>EAza zzn$I9P9kK)47!pJAf#X7#t4gGP-03dP7c8a8^62>q{@r7R>ZnqW9 zdPT=7B1$zzMa1qPyef$7;Zpo+?vi3ar&gTe$N^Gn!xbDp2VHa+Vs11YMQnP|_4@1m#6A zG!%zlaNm7a48aX;=pX@x%^aF&Wpw%>2ht%!$ z&MlzGw%^rVGJCuX&L#yL)Q4v}0H;1;8x!5}9UC_OAPm|Mu98J>i9p)IqxvBp)SI^bh&qXa zTgVhi7);^l9T)!UKfobpUz-09IvMTvb{=uc*{;#%qTlO0{qxk`(%~q!FV9@Vfoflx z|LRWd-MKh!I=d|$srI`!yw!)d?b1PP$HaD&v(E1Rq$YkkyC$a-=g}&2PimrM^EG=m zy%ic&QC;Y2J<)wAvD-25N^7dH=k&Q+3m2(N^rJ+RTN6_I%1iX7A5RcKiYujg&Y@7Y z0LCB(oX5s!c^XL@y~FG93*rmHCI|`lq;;jd)M`#8#65PBW+z_q9jgu#6JhN zSn~@Zq;+p7*~|x6sH99&i*x#(h3osfe_oa+sAbI#Zly!<0_|NJQVzfg{RL3q>EC=Z zCsk)hG`tIsz2UrJjU~XR%V?Hbc2=kRAZTZolaszfsPf*#a@mw9RQtKMF!lQ+6ZTNU z`a5q(WN^Ua3{uj|Ya#?`^4=IPPG-e*yj|bSZrVfafhPMNussMudP5VqB96jF1U&L> zaO$6h#g2|fcczmL(hsPd$b?-jixoXZrIf!OGiCHc{P0*z$FNq)B;S~WCBxGN&ckT| z9j=QF~0ceaM_vQph7pqn#1t^MHs zmrkOqVweWgiBp?$wO-H>Iqv!d;7hE*xbTjQwoLm7GAklQ;3W-Z8i@2lVY#w#``N_%@P39iNa2F!061VifRA{^mM1|AF0ZALHrZ}%x!x6=YIP+h_M1Y@*&VX^@bIM zO*o}`fRLl3#f@+gxs8Sk!Pi~ze<45F2XVfZ zfm8c4j8X|lxTvMXiaKe@Gn4_KLXgCp)I^a*{?%LFfy}hV*GvI)`V;I8hyYZ%)S)R@ zq&QE#@vGZOQO1|18AJ(J_N()MRQB||yaYv56agH}jt3+1Nv?%y2;r$^(SfTE=Z6eb zVXcM6r$55rnp_D(!IUCKj0OFIStb<}mp)3lM0! zZ1Dp_{4iwlmRBdLk3<_IDhM7(MLl&D4T;XI&`2z!JE;X8Qb<-NWQ$b?4;<=woC;w% z5%1mguY7T4a5txv_m~GzkvhUb(;v8YgXj4d3AK<@HNih{_~8VNOWo9mW?qgIR!`-k6h-dXjg8f1(t;wM{f* ze#8PH-bsYxr-kFjT{d3kMIuFt^@~0_oM|tttg@lT`(s`n{Q^UbpP@ zZdjUcFKQaVgXGbcn!QE44>*=d-cZxseM5WY#;xt{1a-7pu;*s4H_UXq+E63vh+G_8 z*y$~ah}~?O;mE4a05NfFbaN59%f3MH8pDd}l*6X0^xaF!u)BHF{D%kk&?U!9LaeHo z-O3o^H*e%;TRMtUsZiQDqbg%Gw`qg)$_I*Ac@x3UPQ`~b6InsjW(h+qepMlN*MG78 zb6-FhC8BXfX|qVL)5uZXsJSq&Rc!~pgh~!ivhUP>)Fo2URS8Ky<%*l+3vDQ}V-=+S zl{E+~FMP@1F3@*u_mDtB8lpg}PXCw`A)9a(NqB*4)0k+JUtKtNIg}^N9pV{;Ng#Ps zxwvkS2gm5O9{lO&$XF(M2$LGO0)j=$L^#qZg~ExC+J$_q(>8EO9?}J*;QE1ig^`Ma zkdlN59jY1&6kt@_A3fru_BRY?Lc>eAvIf-{&F?5QH1oln6hQxgRZC-)6Otwd1hO8H zTR!L|nOab93dzsE1g_0IK}kXC@YGJsCTEEFfg6S%d!eMDtKsTwP?;6Q;}`xkJ?0e$ zJBp)GP6%sZwm=$hF}nZ$5m%i{SZ+UK7lf1oB2Q&{StIJ+%mgakNLK6dE0( zq*kcSHo6zsg;tlKO{X#qR1ax0(mR&6d~5qmY@9jz_8C=8HK1!e&FKep#>SYdCk+yP zq1sT7Q^gjc^a{p*fiIjhX=JBRxJ$bm+FQ=LYf6TBTuy7$OE7Xvs0&`A>|7k=iYa5M z772cLYPe5<4O6u&TN`}-B%cSsbVak7{9sSo?}e^fJ(JOyKGqAvF=*D!4*0@If(kKd z7gBl-HdHVi>&y+_f#{?1yi9A80&kN@9yER$0=aoIOil=)dW|0QC(h+w zqC9A5BfNvap+`J;=?FnS0gyRl-!u^v50?YbuZyVLN4LG5Mz$B)cQ@yN3f_0;9GRlv zMKy%o1f7IWUiv`!i`UJ68*_tRBk%OYUVnVHnD=50DqOr`lu(8gRA)I&Sdr|e@$Dqy zpr+KzNJG>H+KTL)?ozp{4;gUaftLgO`6|@Me@I0(I7*ni6p7U2SUd_#VZYEhel$@X zosC5{7&CXuZ1?abFYtXKQ6Ce+eo8&|Z9!VJ$w2~d5p8hh|I+^+6YrHsDwQX1@ZfGN z>oJ(2cT#3g;m300O$F*ZQEPwn2#9h`wj*yG@zS?^A||d7$`3&#YJsmj5!aM=i3u*Z zyg$>CE`}2ss#3l2WA|+z<7TUigQ1F%bd+l%$|+@YltDx5$c&+QFsGG(QZD@Zcy6UD zcg4TMQ6zceHr8hpa8@kAh7r*QlSG@ea+`m-;Pa)RF&-?q;P*=c)2W>tM zR8%dxrEZ?G&bf7t?cshJS=T^gOgSswd-a#o%g*Q_8fJeF9rQ1SA?nHh@tT* zsEOod2xs6T$R!vZui`N~fU1GBfc1x8{2aWwp7xb1jcXho?96CCx$?0a8&HEhNuV0p z;Es8Lp3MbZN!G+L59?qhQ@rFo)$mNiAuiqQwhKQ}YJ&;z7Kx~)VcJv`tW{Zcub7q} zx}yT2JACpumb)gC;h3@D8Gw8E`@;bzrV1BVyTP#XRri!o-q*YbJT_mumCI-(2=LxZ5K*4e}(u5>9QB-=? z;0cq~7o>t*c`!(_$9(bfU|td$ze}G`vJMS26WW0LK#eGsi4^& zv*+bNqXJSHqfIuXe6Bxv#l`oyVuBwS69(O?)>dH}zt_zoMGjnJFq0f8!EJ28e>st@ zuY8wM4SIuaESIstxhfoY%F6*MIdPgIceCiPYmn#>VghStJ@R6fYq=_^z>*GG09;8fTzrrbT%ITNEG!E6$ubPc>6lq9V%XXaAHFt?)<(j@Jp3ix-a%g z8%XNtTJ(idIonSaCn{7Qsg_)erYt}Fx34{!5wUEv?;7XIa_f&UyTm9cUmaz(bg5%P zt3gmCg;z@c)mPm<9B8aUA<85{Pz06@6}16TA!s}xuap1|RpWM`2nT``XCk&OUUDTy z96ixuFL289)HlEZ#X!06lf{>|C8Y*^Jz~ia4n+(o-Y2YTEUCNO|2wa8=_%GNIx@I& z?7N0IU210xbx6Bj_11_CEZ*wiUR`^zdE%-nboSD;Ay7>~Xwb^-=V-%%ir3ThufO8l zQjbA8le1@Fe+u}0aLf3{rQ{Y4Vk>Xl{ggu%R;54${e*v5_!TKxI3;q(OR}Dfq@N#f zUHM}6IWMei;VD)JI<9Z|#c&Xuc|V^zY480@a=oL8y;Ov>M2F!@G}WN{~{0cb^UnjB|ITRKX*G% z-hA|;dwr5!r#E>IgMWG^GaR6VI)RaGL0k{i;?fhCP4dD>w~7wv8H68GaQCAcOmy*J z2jP%G7Q2Y?lPyF>Z6P~qoNDbiKlO2)>#hTq(9$%Ul5EQR^_gj1U3r1r&muO^fjAIJ zdJH2vs3~x`-K&x$7H&|Y$5j4zoM}@Aa1_nQFZs=o1_o3=s4@<*fBeEwQx~&h3e|)~ zwR{mmq)3w254qr6QQpc*9>o#SUElLHG}lk3!hXAf(PIZ_pw`4DU>NtLA;EPeEn-N~ zC2#UCZFUjKrP$NC?V|rD@A62helB-xRHGC%5OXAuaKq1cGZQIJj(*UrN*k0bZ$9|I zyQEq4xLl9Zsfxu~;Yt&qc!8+MBOUR`#MR3YGKcPY?aHJdFM&|ckyN1CK)V71Px^pL z+N;c}LRmV|vA#e5L9zNdm#R8}E}Pzk>%G}L&lwh<{gYeoXD#w{7yEqGGu~p=r#(uk znYSG0kQ0xg{5VSl=rhBj;|!g-rw9u0Ugh`hf0n*n!j!v1pZt`M;wTpe-BDIv`L^td zNBm{eyO4z-gqR*eRj|8-P9TI*Jjkn9|HvEG_wVPq%(uS&vH9yCFWm9)A6_Y&@o-UdXJjS!Eb3os!^yN=Px@dEqi;BzIOF{cpO8qllz0ZMDh&uig<2Bo09n8 zg_&`iW_ij1eH|3e9D$B|p3)2TXav+71hot*m%iUU%1j7uNx%nrXVOS&Doi%T?SQz% z?tmt1rGCJsZL6V^K*rL~5F#_}d1}Cv!=0xKmmGrr&d)y=#>@WXT?yjY4N)X6B^&C- zr~`Ds)K$FB!^X0Z!V#E_o3D$qtdrD{gHDGdO+fx?>6y$R%T40*`d%gN0#WVv>{oGy zo${DnMYt1oiS9DoC;fr)jWZFC(4i{Qv!=Byg_`O_pF zp2cL;ocwbC>h=qC{*b8%j*xdM-b|Vv#PBU9Gyg(>Oa4Lq>T~e9KA;%PR4hEIV zf8N}F_}eer0x9Yi)&2%f0TiZ>v~MFyGASZ9T*L%OA_WOa$ur2COL;T?!0w5MSk%+5dOr*x66ANX4!dI3-kfHnA|$+ALiWOYCu6Ce__yk*1-;uHqw!lCvfpcyz=Uk25* zIK_yzF=t?ebXY>Av>Z8=evCl8T>5ezI%W zAKWUH&49R|4H6w-18pe;g_*&FB0l(&^!F#skx*=MbhUsLCgGG%+d=K+@~amwsWSLK z(tMpix%Qdlab&^~43dPZdyoUA;`6V5<&l!}R5&h9u3nLkmp{7S^=;77Ez1k>F#6G% zV{;&dW|cDO#$kxrX_!M!RNhzEC} zWLX~wfSjR{?j3S4%t$kdKl{q(^u6^{3+fuJJ!QSfJ_>FCGfsJx#9kSXikgII0HdAZ zMmt2`|xR|~#h%X3i_>RD> z4;?=H#m{tQ`;mquUb}iks$(jTXI<6IXvjcETVTdO^{1;80jKrMs4F0%b@40CbV^)G z=}S5B%E+A(C=xEn@}juxO%hkS%?vttP1|yv)dlD<``J>I&9Yy*G3WSMZRCqC9Q6x^ zw4b-+S!l|LMvprZby|uRM_QbqQX#!_~W^<26kF}tXMzW zKD^@%ygSPaz~*3AW7+uUpdC^+EEh^KS36S)?{Qq1qL-(w3?z2*<-0N*Djxa1qY^-cM&w7uxd% zO)PI{v?R4qgX;&s(Aw-ieC`)GnGdrNPvnPeNifYu)`y7Eo?4<9hnqTye%!h91dvaM zaYD&-4J`DdY@SV403y`;nM?!t^zT38fR^7ix||mn5MIS~9WRjV&=skMt|v#Z;pLVV zUII!`N=y#>$;zoO5D*@APenunq9|#{O&&BS5d{n*Ezrbwx zX}TiK(GFcF+Zn?@t^ya2*REJmdT*FDxlD zAP14TLK3A6(khfd#f|J@&2A~5$nN-Ylt=S{yrR4Iq+Cb2Y4L(OsApO&)FaW?5Ohwb z-~*9oS7wS13Eff5qvu}_eTic2wvSp%FAFP&T*x^5>1s|jl|iI}iQwduLk*J$EeY;~ z3ybm(zxfoYg^Xm9N^)TutU~Et0UMJKV13 zl{|7a_E8k2r3Hn$u%kN>V6my!F@;=S_=)|KRdyk?+t_nMqKlBJQLFY^ub8BMY-^`McM6vp+ZpBO_xRFr!Ms4AtK}w<~ z0Rxa#O%<+o4Z=zNcrhJe(FrH8l0bsxj?e3ujLfuYo#RQlw&tP-Bwx zXln8zN_t8(Mk6DNk9Z4W)t@3)#cMoKmGXY@uHRP0%`pCQctkdfF$K}OXSR`=??W+2 zB}bi@!w4c$y@t%>B`11F6|RbiVIf`B6bIo#h8hNbXhTA)MB!0eWE{05n6(`K;6nwk z2=k%mArk`lIoLyqO1{+xX?=xw$TIene#nPQB+n=h8|ZHQykLb{t!P)PD5|>70X20f zj2~4{DeIrSsnogq&C5D`*-wj`kF@3oOWA;f(7xQ&7K?mR{_gTh|HISWQ?%WJO!ZER zyAin!2S=QnuNQo^0|TM)X7B|2EVT6UTf{nbU&}A=#NezJ8biEAOzjP;w}{09ZyD=Y ze%c`gy;q}KTye>pkr7iEoj1=JX(wlBE_({7GyV$!0+c)DcStz_CPs|JGAx=nmqHxFnGB;6Pg~hJ%t* z_jHil(BVK0J0XJzGOY~}4FRYG+7~#$j#ev<{4@jkNeH1N5avOxT>?Xy{@WoNUJy;L zeuS~oD|saJ2iEOM9#C%o^Y??%!vD|92{Z(>|2 zM{$rFl_>d&UCTRDKnx49(5kmnSlCGN-M6)?x8CaQ7aI65C(Qaqv)DQ?+gMmp*$p-c zg5&0koRgdMu|p(l3x;l3H$}}*DXXq$f9=phV0)D16WcJF$OdS6^U56)2N-}CIhP6U z{iZO8(u=~17a6r3XSQQOjmLst<{ejmY{kg@X+#y&tHK(@^scbs)ThF=S3^ijD2mr@ z*GZUsbf82O;sUWXVi$=`IVwADo*_6c3hlViR^QTZ1jAafuz3Fx%w-5-|D@R_zpH>v z8XUl{suTQD+9*)#Z+zRgc4NfJ{Kwdh5!2j^Zi*)eXHjNM{qyI$^J(9IXp&`A2r@MTXj;7E5)Y@>Ec$_b`}5!YDdhU_Ds7Wqcdwv5#N+ zJz7I*5kFubB&vslN*cmloOsXs!YR%P8!lSK_B}08Lo$a2r02yis|(4S?ATC8pg9JA zXdBu`Goa_oo8r3L{i`2;2JhpjX zkh8fV;2TmfgEi2h7X<{|5HgwdGw)VUZUB_Pz; z!P!^H^cKicXKuk$^hQ7g!2>%0{ZP5rc2Q|N5S9S1!KCc8l-`DHvAr$|hIpy#yl+-6`=j6ji|K2rnq#Uj**XFPZ^JN zfPws;TSMMyT8biG1}X`2qoFh&*`jLcUe``9R${t zM--|09XsqeZS6N7;w`Q;?^tmZ<6n1iGL<~yCPC4}jcAOsq|ee4bVa4&BAg{XQ{Kdr zH`jmt@gd8_XdBs4>rLw<6}AD9iG{-SbX*J*@Jg*2B~S&0%gRe3MFL`|+qOeLaX4wI zavarpr~ooLNILy$bA&_U6WcCmB%n`0!5_Kz{R<5uc|$QF%xvbW!6-d^f<0DF5WyQ! z39K?EZy;0zKJi1xo~^y;AzlS?SFV(Bd`Du;B@zuqB85ZqR%fYEk!XI^R_n;{P;U?Z zxcU3i*8X=eJRqH^+WqqNFh-8(nB(1?$VKD~p8+_=no}mA1S2zMD@u zFgQcs_`_~B-mkt>syq@$%^KV!l(uom)F1&^eIze&Eg2xbpx+(^!VY115UPwLsQdHU zXP*0iqzy6xp4>Vg<{D`)kzzyw5L0TX3P+U#B*k*W!8pj>t5=O4$uuD{cQu;O0CMH; zJV&#Dy0NPG%;{r{6PD3Ll?e}&2a0BC9yvi@J2OSP>^y3)ve48{<%HhiyKVlrL6~n~ zu2*NZ2dPriupuD25Mdq_9$__DMm$TUNFdB5`Se2uN@E7{%N;P@F}+8brW#AWRqu7U zWHLRl`D*7Wpz6|MRFjl#-C8WE1~;D&ac);&;xsblJMs+^q{eTNqF=w@Em^jJzzhW`zJQntkl;-> zq;&Qclic`GL?z=*7;+Rl#SAd#P`yXzzjQta#9x1d&TL=2;h%F@`(;bIK6Lnv|MG$P z8+U9zAO7ZfohSdFm$lX8tnYYa|A%*+`5ked{ODBqPh9=jpZ(I!Kb5YW5C2bH=ySsK z7}xrA_`^?z>8BEke<(y7521fx{>-lz)`{_fd?m)y4Na5kP*sJaTUJ|${Sx?8S;(I# z50XQTwhF3dh;-xiMa9?dy*gGkdIe*ni*Q-D&$*2`Hq0$JEkas0?#j{PCR=~euMKE- zGbLL`WM8dkLmPnTslFhXUi8Nk5&?~90GLtu(`e)g3=;#MCsT@U&U1mtN};^D_J!B} z)TH2fs@y*MdLx@Nd|JBPLgbGCJz#Enq{<<-pt~qNi>b&W_xkMrjIq38kcg!OqMi!L z;G$#PG(WDuQ%y^yCR2bP#j3ifCrt|QGG}ULdmtc4DI_|{#hvKdo1U1+&gmCt^1KD0 zo$QR}9M^2B8GqvK1RZ2%OQkOQo0^+gT)Jc5!o)&jYPvCkp8U4Y)-SHMe{O~6PgmU2d1tXQxPEcRHT~uO^l87@p6KtpvUR9?*3#nOvz?uH zy?57*t=8PNFWh%`cWL3ho!-)!Gl!>7@4RR*w|sr?C5!ndr@yUr+vke+P7fAV+pp=b z?09cySO3sEZz=XIu3WSI^2PSj#}B@EFgv%rD312MQjlNWn>=&1{p#-FSKm1|^MVy# zF5K7ZU4E!I9oF2KJ+Z-!_F{~ zTAiNfj<3+wf$?*baBEQWAg`JL<0!@Bu*4!-MFmA*X-PB>I~6aov%mP<102<+qv*8= zV>O@!0r)mLK#e(O8ETLK;wr3_8~N1&iFH1qq&zfkJ2ZQrj>YNo&ki-rtn_y!^QsL9 z!&>6`iCOi$O+&lpMe3(@iA}W8zJM zvb0i8Pg6s#!H9U5bB7<9L|Zs0EwT@-oE+~P8B3M4>0aZgNrg>{J07`mrUCd=Wi|AW zzu*KV@;$uw-|6N-N<}xIgh9fFC@o2Q2$dZ~AyNe_TYFTMBu*|9a3B1OuO%uG|1bg?;mh_}dI_>c}{X6_US;#xX{@M;Gn zAoD+}^Z*VptEE!yz&vtNhUmZZ=Gx2?&lvz>bCry8i)=%!fB{_iP`S`T>%U-*mlq37BG@4xa)u9$RcjlNk$jdeL?4SFaLPE&qhB! z3ElHazpkXfJI<{8^gb3VbD{pm{XFR8hjUOdATSAJR>|TzI?MY{tS zLdB(jbh9>fVLA~?meDRF=TZX7Y7%xcJ-$UX0PwC4T*hH7$j#Sk6#cu;;5GbyTGoM z<|5GL^Dd>8Rb*7{Ba&{iPf0I&M1~7He2k#VF(cDK1tQa;`#0Fsy#%Ql`;cZ>_R1)B zgSH`+&97hh$J$haX^VXyEQ0jIC}_i=EMT^RMSQAuZ>>Qi#YMQnwW=#B zYZx`FqB02u2$jSSK~*M6v{?UxKQG@8EjgbiMTt~py#3^jIP5~Q&{UbK&*WsbQUg%k z<=$8X6_yF0ZPXGOAd;Azk4lsrfG%m-+4dE=sZ$kDj5OE+#cd3)OV(0UapF`Yd@7?{pLyPM)z|VsevEUMtuIna zaS7A9C*A;NeRdZ?JZy;)8f~Dii^~%hKO?^XumB>8BJnv4o3 z+xQ;7Qhq=0dy>2~h2#Nl?HYn|F@f zrZb?FV~gzhchXDotwnmP%F0#kJKAXb$}!6KtykeJSz&-r}tGE=;f0`{9IkJ1n?)X6RV5j zc-*CdOU^B!O4I!tx9VB(zB)?VF~?o*wlN;#bYZR?)~Yx|epIxm6Xm&W_FWwf$^IC% zxT$%lvtgie8C`-_`MgY`n|C(ul*OOZb)?z35>n^Jq=7LHg~(NDrh-mnztV1%=B32-5^wwSs!ub*nDrq_%5>| z1Y!z|QdL}nTtGeEg&rA-c-pqmAj^;ZlV`_dGN%prJ#9Y06=O5EOrLh(A*(h{iX6TL zfjkgHjhD`|F!f;U&hr%4WN1mrF9=Xx1vEZh*A}-#Osg6WfAF%tnbA3rAmF1KyhJZt zOqp`fV`o-vPc;m$fUPqEY9WATO=_t>REVRHt)wK9>}9PffTn^6JDh^+%2M3>7N4tI z&4vP%MhS22HsnaLAyNW(YJa5Za+X?WfAyc(X)C9<*uc}C;@Igx4^sQ4BRiQpiZT5JVt*j0k{Bax z~4)MQ;6!0I7kN;m%1r-AG!j@aOdYqz*^ zPG?C~*~S^E;*Pv)t_v3iL@IF1DQ?pya6`jdE^AxH(MDk-SF5j_|qF zufOM?DZlEc=14g=1QaoH2n<&gDMmp}yPm{7dhUB=qBfRefd*kpPk;oUr2$v%CGkD5 z$UEi;=3(!k$*up&U#pMZ;3`&*BB#hlrBL%DnJIuqR89$Wv{ICCJSff9-g@5_t-~m~ z5nVo-cvJxDd+HS!lmUlRw|AtAG{<8jdCA8>;8mvhz@J7HriE&ZirFar{; zu^7$>(}&kcYfqRMaxHI&+ueMA@$jC%;e`&mb0C5=oIElTR#r*JS_mnFghW?IQ=*w@ zpOEHM3Zl|lWQ`nt5u!$5(GuVb$ozliBNJXc>clNPU~>b|NLpGDW9?zHtcQM>9art} zVcF&F9>lnIO#lLb47JBtXgWJ_!(#elmu}TEcCk2px@#h4Tdgl|V=iy``%oBs!xo!{ zsvOnnFKjZno*m8T7&~^n1gf?S4GRit6$Hv^H&50j_4@uYaXL2UdQN?T3@1Aq`-wi+ z?@tiNx{=~+EVc$Acn?Yh}Zf1S+dKJ`AA&6{~*>8>+gI(;BOvA4y*<@R5X{V!R)+MhEG8%|Pz z%|+^dZThljwmIz8wJSR#*OkpTFlA$AQ`08;2&aCgSrD!2&`4*e2pWVMWLjfVSBn>J&(fY3wYl;L zgF=)&<}60Z%c4wA?Q1uaEp_U^66>b9XZQ6`JqA`!m<180y!_465KEMZ9G|QW4F|I2 zXwmc|O%JQ6vOuuxbQ)a?nbe^Mh+@~FcRg?_d)V}tT_d(Q1CN|Ui%X%f^eG}aligJKKS#)Ke1EhA%Nnv5SC9Jv|b_BnR@y{cEZA2HMz(k%e;XB zUc##PJN1N7qXI)ys>{L|w>MEBQuJVV7uyEfjR&Vs_BN)x2Rk}F(vX$8G$|rRMhBGD z5X4a9^p<3p)5i-5?{`@(yV-)**B&Ku*lYH(sl+aEqEJ+r(rt&{zVlfU2x`QD1euZWT;dK_9dtuf0*-IGiiOoIKS>l| zfE1WC=^?8pKZ2pzThcYef{4M~&F(#yQ&w?;i_q*(7oz5cOC*%&B6C{-58g*ClEV&g zh5RLLRquom5Q+?eE>v*WuX%~V(RzAT9`xB9s^3L}K@;)<5E7MEQ@LHm36xE%Sh}n< zgnRr$77d1a7I!4!OcCrx=%!b&cLcy<1SJlEMz55t1vYD`RJmUg$RWZYC{j>c))c`- zmN)c)DpHI*)~@&^a1jzX%l21Jy9X#bm?{a6Hk2o=6*C0WR8rryPvn7-5c6 z)fbpV37{*2@LCF?*=i`$Iy8!`yo2<&@8P91P?px4xPnX=(y2*h2YHj=T+Lh_9Gw6N zb6tSXmD|Fk*ktjhAdUnahwd$Qnn9Rm!Yf5Q#Nm{gsH@kK+!6rDd&gZu)phGC=`cuR7crx7Oi>G7ja#h)|(5JT`|oL zZ^INV`j^Y;iHxO+P-Mvaj?M@FSKb^I4qfGdORFngnbG`8(quc-os1m!h0;_wb3DM( z%>;!9GCYPVWvQWmiMb%eiIg|({ghb(l+`qbm9ob|sPrK#ViP)et5q1)Zq!G$XR3x~ z9A&~NL&TU0Q=y?iP-PzJfrPqSFx(V;xA$z0-w2mC##T1QDOA!X9uxqyV+^@QML8h^ zk`z;Z2A_zJD=8^z*TI4)z9eTo-gfBMpLj_J3pTv=2I5iXY+(UC+A0GDainu6sIegL z-Pd7N+?n4^Y+tj0?jE42D`h}{9a*@$rA!@MVc1=fluBvm_>sbO?H2MzshnfSo(|6* z?&_S=(T)$pDX!s&EGoKpzj}Vn12sR4u0j$=HbjyDt?H-B9()Zu8j@5oh-y#ix4Vjk zp1Y?mmJWIJAjf7&gd{;QS~nS^X5X& z3q{dcOxeHG@~zE~$NKWY=hfReR?$TkR^IU;!ww50_IsepeE4T)i`NWzO2P7IYpc7W zoelTxys+Qk7M)%Kq0Z9P8Hv%LMS-E$ZDq|dfSch`Gb_wOvO zpP}pT`iL3zOV^P{XJE>7vDEMFO`q{unwWZHdplx7qepv3t}Aqm&4q92wb0((OYiMW zO$>|T(Th3zH9BIdOmz&|XgYF4`}C5JhYVY(hUd*_LGSG%h0Pzki!Iw057GtiZf@f? zJuI$j?QZfPOKYvt5aMoz3@worylTKgc@_0))pib+vraCyT`kj?Z692I?)#}+;i6vY zA9S$_(gbArfjSAz`$IGV1T&v zCqWTGFD2Y$Rs=C9h@?!dgIW=&;Y}BQ5kk}eQTHSwOD*^3fe;vkNjFL76AfVUzn4KX z+1}NiFs|9vwgw_=b^6z|w)G@1GJs9ZR0carxdhi+B@$AQugncjfp`FSvu&MZ!)1B> zv-e9LJ%l3xAQsi0L+GSEs!e5pTvQUt5|DLg=N4-y!JV|SvblhQY;P9RtzzNM4yx6M zFxsVrYa&B3Yu#H3(|<;jkO=ATB)8p5SGO$YK4f?E!@u*MXVE`ZduDW3&tbLVE}9xr zI*nkE##*L4hyvyZ&yyTQsCsL4)KaS)VM(h9N(Oi>U&)R#5hJhqVMr2Dh?u&UV|&(> z-v-DS|J9#I=ix+&r4pSQ0Fuf)_tLf-Xq?{GYM>F*Ei^NNPtJ|i)u18|(6_BM6y%r(?r#*sFiNuPSaUKHqQwX*H)?svAbt6JT>-6}fE zJ;{JX?XI?u0wH-Tt;G_$lnAvgpN&n)%%+Fswq3iFS`h8#8f8Qs! zUHzAD`=vp3ef$S*zVrR(j74nBU>kKMlizK7HGM=zN_@VeF)Kk#D*u1(kf z?E^nLhz%gsb!Nx!J||H8{UAEMqVa^NJIa|jPrQ~VtaOI;KSI?+%(u8GP>^Z0v%5iO zrktAY#>&BSrLoN9B+5%Tsg6sIB^TYZ|NJC{7xWOq4p2Z}Orf|fP&B6jhIL7Rx|?#; z*~`h=>lPBBwaydFs?a~Mc!^Z1^%QgiGn$Z=>g6NB`RTm2JA1~WfGPb#W11;X94L!! z(JQjyqbS>5wFga(HA|x;lMr!&Ffw?~t-#j9zxRfBi(4_qRu^5eatpKVti2e- z5}_^=;@e27<%~3!>1CSYLT{{7J=j?wKmIkIt9om zwgxSq8pIh3bS2^qBcu@9<(-4fGKVer6sxtPYRU$(lB};&UcAX6Q$X`yiGWZFXr8Gd z)`-`B>GM_)m9B)R5eK-*Vb;kBC_|+jM&kv9UPx=6wy4j&->#JBPju)F7TlzS_y8epXmu%`^B|X=F^{1GiZgF$Fq@eW~AW z5Ow2gPXT&~n&ds#20*3LIm0|_4sK{tJKMp3H@{5LeDRvvg1Ci6*BWbGCf~x61*>b= zh+>Kde3+Ai`P|+8uE{Jrtr0qNdGXcDz6Xfz5Fjj^m`~M91j$EnsI42elkmy`pn@T! z!EOiyr91q6=fYPsPiJR7WY9uKMBtQU2ug_Fq}~d}xucWq2nJc59F?F%1NC9NcXV2} z{{fuhY!>A7?>v>8vb2>lE!8>A(QR}aw_r{x$`!*Hoi82KRHn7C2{CoprN$!my6L;O z-i+51C!wNJ350fX1(OxZAQz~_25+{tq%jK(g4_8-*I&eudl^XBsr(LU*%}Q8`9^ML~gN$N+RO?HP6jGA;W80zMejvJR z7MCo0-9Eqsm!g1|8qiLB`g#jDsF|5+sas&;W^fwH!wH%FH22z#yor6Yy8h)Gm7_F6 zYLO8@dFY2SV^$jf&N3zLaSQ6jtb{tiBzy!bW07LUT^`!pUHda$HOvLVV$?+lgMRKL zRerKSK&jfJ>HAtKy<5jPc>7I;Sqn}de1R0kN|JyIpmaw6cF`y*e~Of*R`N?xz7=(o zi>8>Q$aDTjFW#!&86{Nv%Pk?xvFG}N3H3AXgg{OfjUaaPtgTj~MLp7@XIzuiHkpaKazsXozCaNtuw=o{1R>8akK)`wb)*E4duHm9#@O`Y2r z7F~_l;u<30PNp&b-3~U%T8k-qNfMVD1$6hD7w>rm70mlBJ*-urx1%xUGG6JTgieQ6 zsk0G#YL@9Px%3)TF1Ft5TMNBKmYCUeYnp+r)hMRdI^A6j24?pVu2>HWtM@n$=7$ap|4s#}G6 znyapor($x}TZ&UlPfcyQMJ1y5ZLN^C=w4{`cxy=)O#&)qCZS}G%Nm{={g|p2>w+@z zFE5isQX+qM*P*%lCJ`f2qq1Cu*jAuI4NgV`C}8N3orORq(*eYbT8VDkortw;Xnl+$ z;wo9|kr&#f7#6;0e+V#YnDLi*F19D2`>x(3;s*KE*}vmOr}6;`ODIVRX~;&U@rT;0 zOCdx!RurUEK-Pzh+SrPz-0&@DabUcWXp#`J}=1GO549s>kF)QEN>0S6cq44wx zwk5>oXiLImA*1*qpvnegYYY00t))dA;(=SqNCu^C9aLqyk^*!>9W^AhM>vUx3X@#P zA$7a*=2JpzlozQWAInBcBXLlDm5yl~cO+tvLNRR;Pqd_ktFiGgmSasw_9ybmDp!X# zQIaN$w%>7_%_Wa39f?RZnn_q`a;dZ;HBzYANl0?=e-1W`7phaz(&(y|`u*MQ#gtAB z9ou#t)8)cK4hC(WoM8pNd?~c#3>x|$p$@5O{Z6`m2S@P z)=iIl9;YgojfLxb*SZmh)hWxa=rv0gE7?`OWldfArqk|8Xz~Ugsi`*hh`D;ZkK0n% zT5@bH2m2)I6(|}}^Y%{yEPHhan>T{SaI_S9D#pB`*5{#IJu)__50p5#vH-qwnyRM| zLuPLYJ;koPfKlmMS^jcu074{j@=u9(Y^M+SB`3FIow`hZGLnDoqdQ;y53~d5lE-|y z&(=*x0zEN*NCyeMygo?iPf!2oTW*}$_UP$86zEee&JgY#ElDb$P& zmu`_ZJ=IV@h?p5a(FK~0YDqE7f^%v^KK|=~A|hUcCPSy%YO(ff|11vNP_o>@WQS|O zra)uhSYH;TgmOVo_^ZIa2g(Nnc80#uUpHFV^Ix3qV}EdiHyj>YIW;Sx{V|ov=(-@};Y+UdLrHo-fXo%F3?E< zG=R&;F8bvtA)$&RdR+sRS{YSHUL*oYv33g;CIK6BDOhQjg3>FwANjshc;CY0a1k2$ zOa67|LX>>waWqp`(WARc?MSsM3ll8!0p6EwixQA4!(YxMmq|8|@qbT5=t>H-q!!>)tVG6nHTmyNG*f~#|!m6e5YZbUlz;S%`|L7H}X*#9L9o) z%&JFVIgko;z4wmjDYQg+*}}k}PGXj=z2ddcl%$eN_z1X8coE~Q!x4m=yhJuTCD7Ke|tr=9GdAz_{N1?s784 zXpPRou4gr;V}dJNupplvC1n~RJvxR_Y>g?RrVf2zYbXRDk{i`j_(XwSb+0lW_x;LW zZuU24j!GC)_-_iu^ldMDY=prSk5>vw7M0>ogo}k*C<1=_zxPXkK#*}ESr>AAn%N7! z{7MO@(9>{hSMHa}+i9dy=YtD*RWK`Tk>I>1cKPAU8-7)848Vx;ELu%K+$6^*MT7BZ zEPdOdPdrdb%=R>yA;d@7!^uX%H8cRlRzXI_4lGw(j=?$(?`3HsqYjM66o8hUGQ>xm zHq2;pOaCqSr6kMc_}qeQ660CVJU4n)%_!<0)0^>7m8Kqn3GC z$bQ^Sf9TKrE-B56uNe^pSwqxQI%tJNxl?*{kqzxv{wj`5)f%dr?X-;iO){ z1HIz~zZrvT&0}LpTd~@Z+8v+URO{V8P2+%76-3DpB%EqKViblP3ItRwzo+58e!(Bt zrMw7K9g0hJZ(>>wKHM3F_vs;8f6IrNWyl2w;Bg(P_8jT7>H=$(_r&gN|B=HlX)=_W zL3L-JA#{y;g8~U+P%CLwPPOuOezgI2!S(vODcs77+n6;wGIIToKSMS*nT!t@U84$V zvo_|7CZJ>yY@XEmNbm*@fu&iBpjb5%eUw9YH!ZL;x8Dts4_|Nr1Y&N0IW}y}PSD-V zgqZ-Odf`abH+@?V_Q=6b4x#4E5p_!^!1d9xzJB|2|Hs>&@tmjH2lD)*Z5}ytd&U*d zucqBpz*rBYD$jdd>=+}ws!748zAaQGo%J)k_hQF3b>DjtJnk!N&WX9mEmg1S6qU)X z{oQ458fsPi*IAfmGuGzO`z%PU815Koi6Zj&+=d=^{oXUtQ8&D-J9d(Q&?c;o4d^u; z6(%>oGGX38!NLY|Zo4RTd&;YRl)JpJCokB9FdR|2I;-4N;oanjUkHLDp|qq7jfjQD zJFcgRE68jFX{8?s42nr4gJ%{2t>#CFH{m&UuwEgp?Y_)SJ7u+az*o^yK}aa-=un#~ z^(pS_fBn&N(lgf)j#Uc&#D!)*QAdh9ZdX>~yyBwEt3r|;J!w5I7$9SZ z_GyD6m%f!$6aUBOY9wUuW*@rrznAu(S+w)fqN5tRleIOv3kFMHASrDO?w zdHkJ$V1_IE(t=BWzZnY_=F-uMoLbY3CuuXcIyQkgzS|TJtnS_W7o*H&2*uFw!&ilZ zj*V>j(dunf{Mf!L|CceNtA^0~ZXtk`l*NIg52%3EAC)QtEku7ts=Qe=| zNkvdOorjpG?Y=&j2DKVO8A{|n)XQ$AXwj#8{&Y|+G`{_JRM<`i7XEO+WNsLn!KC1t zKvo@Ey82lz+}vl(emqpg|2wg2|WvvgjDrq(>G?R`h4VALOi&(Wp|9h#V?qCy;LG3Git427u#sYrpV@%FyC#TNNL{j>huZkFX8*Bb1= zC$;5m3o>npVOcoL8Y#wS>7FP?J*&#rUcNs}b7Bi65kIOM5U69q8}G75FXdVr>#&X& z_1qP*?)9j#kiOR63C>EwEmAk(vHSDx0s#(x}(-{V;)`)rQ2mS$UF2bkeW zyIx|*8+?itOpbT3UhvQIOq`SxwgYTj5tlkoGh6?`OF?1AWe8Y8$QiA-4}1iw$L_-A zC-V0Afqa(sC#a8DBL9Z<{R!9yzVMz`KJ|0KEL z+@a@VlrD`I$qP~SzKhg~_56Xh#o9|ZshLTRd2+4+p0+FHqj#}-Cp^xT{86SzQDm;0 zdmeBc2l2glmbr7K*x+L0w7_k)B;<>zDqYcuU5V z-lTe758=TDk$wWhRq?bbQ41imN~ukb6vH%dr@@kF;Dsjz3s&3Z;lF+;UVVsMW6Q@{ z5K$ChC+3hs5=8fdH=R_;RdkEL6N7d1+^}N?h34&he<^C;S%qaI{RzT}BL=uf4v?+? z`9ahvILKxSK!U>t6YjK_F^qwy)FX!@oC@+jOl+mFeiEkNB(kYGaYB)OtydQ|G()fJ zUfG|*8RQXph%K}$DH3jfPi3I1GO95JkJgCbNd!pgQmK^Jj8x?+h*fy(e(!mwQ??VL zP@$1V3h*YEN7N>GVy2u7jH&pD8tseb_l=*NjOAUfmLevU6AniBHsLznV4>I95nD*$ zrkCvu4F&^qBoLLNxzv7RqP{r?qp|YO)?fLf0g6WH1)rxQ(9r3?-#3LtTd&!!GxYYJ zZ0-K@{Ag*Dn9S5Sg{Ch2ncq1HXl2MLF%`GOQ=Rkad8nDScd(<2bIkwW_O1m=j;c&o zHx2Z%9PR3PizULs%gpFvI}WMJIL!#3Z06tEiAfWLZ{TzSl=}rxQ94jF6bk z(P$<^RD31Itio~8^ZYkbE zTmQZHe|-1ezwWJDRhR0aX$+y_&39@B-3*?baSK1i$HNxVh#&cDKQwv6*JwCZT&mH9 zNvRH9Ro;-t#mlHnROak1S5TN!X_X@+6$J{13jI4uCJN*S=I+Q2>S++G=C(Xcntsvx zTk&;Mr$>Vk0(WV(jQ+?mL7esx{Z2aeYFv(se|Fwd4JVSa?bRh<0E3MOvUBbs3W5;9AhoELMOWBGkBpLrXLZ4ZXLS zJmnS;XjcRWq)GQaOOCWK59~#{nvg!3<<%`UQ5a_Id)*!hME%8CCrVb~r^Rvl{EB2O zzw?gLC=I5JN44^o@Q@{_#Wxkkp(1Lk|MA+MBE|A&X9tmrP<&&ar=Ee5yYJ+ikOr}( zOw%Y>!S(Z7Z$$4bRQXL&d*)yjv@#mHq=JkVGt<>L>M14C&|c)TOnUhCj6S-V=H3CO z^xlSpe|a))@?cub?aAZKZw&axR9?z-Vu$-@(Y*!>{-5fWJsll$bUDBPc*fnC>sHcV z^UcL@M%y<3RK9BCS&L7*E1NaO<++ElJI=0LCSSI3He3=M(?fav&3`xN*3vBncrCcL z;=`p^7e0UAiZyf28W_||@PBj5k8AfS`}bULqGiGhUS3(T_%qt=%IVb&`Jzw4_HW?H z@Cv-%aTl>i3n-PUma3bpWVBG}{&UOZG5~h=li9)Dz!!f7Mv3|^P*)Q@nzTzx$7vM{ ze-Mb!xW!b~-B(a_Z1tEcR%C6tHn<-fH?A}XwNf>kUr`*!uGY(|!^OgmLiuzz@9t`T zV2*xEWn4b1maUw*Cs!%z1#R&jt)MB3??FACp?t8gy!NUh9&E>EkEP0;mF#Qvmxi^u z?jER--yn3}Us-UNERQTSE?ycLZ(>}(cq=*D=)&_6^M7uBv;f5w(4lR_70>~oxCev- z6uY2se5BX~bpR-KK^*{!T~Ihcu?q^vCw4&{@R4E{)B&N`1%(3?yP$A)>I1$DqDc0rv0id|4RLa_@9$0v3{9q@@=P$z(57Zi?A?1IAaiCs_! zd}0^W382^og(DQZpm2O*7t{ft*adY0D0V^N2*oZa9G}<)b-*WfL7f1KT~Iheu?q^v zCw4&{@QGbeCxBuX6pm2rg2M5MT~G&nVi(j2px6b4BNV%!aC~AH)B&H^1$6=_c0u6? z#V#lupV$R;z$bP=odAkmP&h)d3kt_4c0nERiCs`9fMOREj!^7^!tse+PzQWs7t{%$ z*ad|n6uY2sd}0^W0iW0fbpj}MLE#9+E+`zI*adaKCw4)d0E%5uI6|=t3dbjQK^^dk zT~H^0Viy#SQ0#)j@rhkf2Yg}|)Cr*21%)FNyP$A)>I z1$DqDc0rv0id|4RLVqMK2wQO2dvu9CV6kTdHf>;PxcyU4reTg=fGU>bhwpFg^V_|sM&yss3tn_#gxV#^QSLK4ww2HP05 zQb|6wlXqU3CZo_|yp@?$|Jz^M8=7VJI|NYSntZ+hQ}_V&A6bdYxYMa5u` zX8P}*-K04QsWH@zhJ88?&-=$o^YtPDUn%Dn=kl_gjU{r@W^Qv%O5TnbX}fvquvKh; zBU&pq!toa%)Lmv$eg9Ex|Ax(0v6D6JDTA$LsjtvZU1({vYcWN-2yFq*O1i2gb}Rz$<_h7awxW4ln}) z17LM66@~Tsb+lb@gdxJwmqC?Uzv)VQd+vygh|mDmIR5H+hl)E66~OM*-Kn^PK2uNL z@-%Hj7hSNMP;>@7^@)?B(;gR^`Xy`s1bc0oRdTd)d5PGbJeOTxDW^dxXuLmpM!sHb z@|V%B)dy-wh@i-izvx^%qOtg8-rX~a^R}id(Lf`(-h3HVd2|64KussQ@cge&3Een0 zux%*R2-#z{`>dPi{Y=8Hu{=--?u3bLXvtQ4+fD2-%hvZKYeR=n-@b?>r&rq|7Bu44 zx>KqwZJvMnqTj6@dgR(w=Up`LNMY4`KRUAMQj?AkKCtFP2S%i^uwK79Rvecbt; zTh~AK;QpaMzrsGQ-9I$4iu{OMnP@%HuhapG6A-#v2C&?8Sj zbDn)1cx2)kA>t27(4!BKU5{4CqJLU{bY!CM#h;0J4S^R$;G0D}o-azKl+ja;5UwsM zLz^L+M8l4^1t+cTub5Pc5iVyadD+0>bzea*Lcm2$6@zy#d8#qh)zVk8{z1~OC`oEs zTAORhA97kE3l4xj&fCpzh`(F|h?p{b}lk)w&?*YCwv&r}=1U^O!hCWoK70wj_!cfspW z6|EsKyUH}nYaui-@MIw2*xS1sh!N9JbUYk<)ku<`Q+UJVCM9}z+W79?E}2cQW)$Vd zd4JKB1O`5UZga7kLukTCelptqUg4vvw269Tp?|r2a6yy;(2n9QSwpMOIC5-5Xoi_4 z$}gTDv4CS+BfRqh?^VC)!I$$$U6$(ex84z5FOvaLpsTF1-i^)JWacS<6h)IO$={)U*sqM@cM7hw8@5+SB~w94ogYK)nI7;O1h8YT^d96 znMR@_U-Ft0rcti@B7YoSH@XQQ`h>VO6PQMBxfPDAC$rdg6F#av(fu&H5W{iw%FM)v zZo?q$B`|ft(V?LmPFiquU}*HD_x;bv$Y_d=KRESW|GxI_L;cHt`{6Ivoa1vh+`e-D zk0*BjcA0(LGO_!)WgpnG_U^;>@%cz7i!J49-WJ6 zO5;7Nh+l{a=m9NmI>$QLlf%jN5h6Zv{*#ku@uyf0FfOr`;wJebjqiQOcjiV_^hN~# znxdOFd4%T=&1LFqj&7pydu8%+PD;s%Ozl^ZZ`y?gaGLrDLmMl)5FwBca+2!n*1Fsc zn%LJc;OH_KEp=myC=!E=>@wPQ|0_DN44tA)QrOdU(M5|V$bc}+-^ zeR2N#YE$RZxrE!i8y~-|bM6`Bi0m;rw-N0Vji?K^Hx)CyRw_Ml!R5TtIhEp5$!o|* zK67v?1-i}aIoP@L9;ZURo@5w>g5y(vv59VU_PnhslHxSg`*O#3NfQ?g|HA1IU6#a> z>Qj5^*@>2`AZXJK!bVwgY`uTPeNbk)EZdfI-OI60yI0w^8K*}Cd&h$3X7%>s;V5Kx zdn57uu4n`}ous?jKfLE% zPrMjq#p0GGsc2}8pF1X^Bb5rESVmFw`4w*=x3$-}Ht z+qF$@zN9ps3gC-8tvKSjS6uOr<2+C%S@IJLz7IW)-!r~4%i48sGG6i&i=8jcl4lvM z53?hL))&ESQef7^lR$g;Zuv)TffHs(hn1^E9yKrw*4*@_h|bTl1_g2!K|;T9gTup0 zH9jK@aFaNKp5z99oac_oHnUPEcg2bLe(M|h#C?ET)>%Rgz5&a5En%czn$S{cuLy`# z2zNt?Vj>eR{HeyQ>)a#}aV7yxFWZ`1@#INIq`2N-DjtYJ-w>_1{+0j0A>&~w_ zT>z#Fb>q`~vwgb7L(B0JNPX|tR0Ivd6Z~?2-!pUM;Ki_}ppi+@EPuA)+CTBZ+tSDV z`Bz2W@&QOajx%4z6)(5OZ+wdN(wFFsPp`W+#Vm1Ggs5%DrCxS5N%2bSk{6~1jg{~9 zGDZ+{-Ee!}7OU1i%|~@#1}*uBPIOmrEh}bAQ=)o#^+^>mV`)~58b^B>NYI;e zmzi*lJ!!YSk~48s8l~Q?9QtNfUbO!02XBWnj4sH>e%-s*T^wBe>em0pzGvbminwp? z%7k{sTT9s#52METmm^*JRO$~tFsT|dNN~+nB`ek3!*#2F~i2BjWoxQJCO1BI$FV58RLJ zl>saugGr$xo%3(KV(RBZ9a+KcNHo{XkyW!^vbQ}Skk9bHRN6nWd;TOBbHDaej@wVI z!D>U^uSNBKF#sjap6kCOid!kplXo&~IP&W=r;I@t1>8rmrTT%^0cjTuy3R7AXRx}E zB1X2y`87zT@uAakQ9Lfwz*+7qpVE+muaF&QQzg9I8ZUpol*^yg2?mORu2ADMZ`NZ4 zrX>~K&1^ZVPAyCIgF}UP;Z1att!TS!V@!+@G z(tAbhaJOXUVz5wHn-LegYuVp$4zLm<%89o;6QK6&gsU9fPVd=kO%6veMayy<+e51- zZ>ZC=#%0aL8<)L*dTiR2qGs>2gR=9SwUH9~CqCuc*z=wYM2L}rE zPi^hNRhqa`ARDL#bVT@hCPaXnF6}z42U5H~ETnlyHF^KM3!Qf_o8HPKhuE7n(Y+df z;!~qnJjbx1gof8YPpg*3@Ip>9m;C~sW7MQEO~`8e@Ld?l&oo#X)e=>eimehfX;@2w zWXI@72lBcmZ$7WvkJp81G)%&%3az9y4&FE)c49<;p$PjvF>|{lVHlbQ3nHPgg!?WI zWVEgs7aj>yb_!_%zvcqN$;Q8UBbw&aDV`HDTpMIY@DF|L(z{y*jZ@_BWCvnn+Hg`u zZQ#cjCCV`Vsge%v#*5aG%prAJHH3X=hw>(El^xA3)J_qNk%ZRYuoce>z67($C~XG* zEo;SQLb`n6+v4@pNY_uv9Z|q9yQAhKnxj-N%ok6nQbzO;J5ZnGolXqr+9SI$qzqs_ zRiZ2vI`{(@^awOl!bf+pBhG^kBQKF-wvxEvr6!3GU_e)0qF8~#Aet&Dc2S3+32$-Z zRuu5rVW%0Xrp!VX);k5~io?U+uEslcW9~XDy!dcH9XAUUWz1A~EQ&ibGBG{2a!C+L zFeB$HrKU_Ga}k$pVs81wq8Hrrt|CDeRD`DZtyE@~$FcFui#Yl-KzXW*T$1N3InHU3 z3l^h8Qd9)KMB@~C`a`BHa+p3M46grn{wpiNO5j^1mdI|unh4<(ppTHF(-{lmm~%Ex zkxT;F5Ww6CMiL{xM(zqew{X%Lm|7Eb6|JIuO*WOabEzpe`;OI{(yh$fQXr*WP=o5( zoK1f1n(}9k?0l$Qxt`I)gc=w&X<`dtYDJuw-3o2C^~aBi3Pt%QNW10e=Cc8!b6*tS zvkJZ45A&uFd`-zN#kc#f>vMU`)C!l8KXUa2U-qB&DDFe);5XBDC5zjWv>g>8qvMqO zws?h{NiFYlxF#c_lWs&%(WtEy^*#JqDLOS-?`zhqK85`WF|&noLhvBgH&nNEa1qWz zpdl9d{`_WdSNAW4HCTI!+pM`_aow9i`fr9Cj zr3CnU`}BwFMI=l+r=f z5sk~D$|af?;hp_Jf>;&1u<`s>I0nB}RK|2_rG%5QqM%TG=OuU4c#@-HHkV+WDV9W% z20YOJ{_l5Sx%j#K{QM&9?r zkFLeEZk0(N=EXL0+wQaez8bHx!SIXbkv{`A2R%gliBzCdWO#XInb+??Q_w*KO z*$ULswc<#&pbZSpI>B0%V2kRs`eG)L2pci`pE0;pYznOsY19l5l}^io-mL04i)N$<%?t9GViM3?Hc0 z?sMmH0m|+CiyEYAL{1#7@k#}pSc;WTp<)RwRH(#IfdY^iC?ZfZDSg? z0;C}3i5vkXfD!=)8gc+CdEp6k4x~g0`lU0JB-Go0gr;U=BuS%tDC6j!BL5?nl9X2! zKf4XYUWGQV1REoVdd0mhAO9*dS7h5Wg(=GIodH*Rz_Vy_+n6%lq=H_#e z;@l(HKn}p$VTNn6b-s(n(Cr7OPNGSbm@r!@-^Yceug-sm&sH%9@qY&jW8W&d$iQ2{ zIBWB9 zyxma0>9J4LNOf`9MwzTA$9|a%pbd;UAPyg0PUBdy?b1oMW~YlQyEupE#mxc;q6~=R zO#GVi+h4Rx&^4QQiZ3#;R5~Rxl+W=H)+FH~6O-uxFv$Q@5Lh}0HJlRNa*Z?V_uhS? z$Rv)AWj0L+5+_z!OCyHLAcZhk@xjM7s0=y@Aj!5y!YUJTKfW!n+G=rb0h~c^$7<50 zO|?dhNT3~xP6WTa@>viB9rP}Fs|%x0(n#2Xgi@JeUf81v3tT}qGLA5n;1ofCB^er#963*1a6Zl`sZ=s? zGezB#M7zIz1F+eRwkN& zgI9ZOIG9>a(VU9R^0f%&q2Wk1Yar1VRGxvkgndI)874B9#)^oQRHrra9>pxmNam1Y zoe1kWl&G;2GSJGdOO|4#9sqh U=hL4+!mCCDWBSlvWHTmq_Cz8fhX2!-DX}e)r zqzzf3iil==5S_^m3U(fwCZIXTR?Z>f_uzrW2^8dJhbEXw*YkGk;T8|p72n6?2!4}G ztHP^!oN^Skl5ndf`jP=D?+<24GV52aMtZsoIuwRu4D?f z6*XDG5Z@2-)Ehu5uubY1LVPCqMWXYZ<0|i3CFQA77ma*Muu^?n)f;lc6jb|| zF##rGBh^eeaSOX_ti^;reVMYVNt}8p|XyK1hNLgdbMvsAO`< zfq^Q9KFO+)x>VDW(oc%Xn{#wAUiRh^Bo#BMWg1Idrez1H0cHoVf4fwxk!@sxBTqR8 zeM@3!7DL1`sB}k3xf${o=f|~c@|2cZk|XJgRFTZQ3Ha+@IqHFhl%5AXzXXqjPH>t= zf*_Lpw@l8BPOYQ`jR?L>KAVuN66sedLe(6+c%er1L!ZR0Vfj%797xSp3PvG~SH#pT zHX$PBwhOPI^72-?pkZGKev#@IzdP??tv|7;_^xqzUBXztVMG2ZZBUl))6F|&60?rF}BfF;b?>Mw%`@BJYWpQ|gyzZW2aYW>&_?gL#l>N#w zX|iyC8F@^ctjW*0yIdju%WI>>?OEKX$*!mo`@};_uhXnN)Y`bRctf&^thpL;&d!0G zR9g?Nmr=&CS`itm8(#Q*0lo`E6lxaKcFO>#jJOlH*Q-<)uB-G&pmTc{n^gku$-~w2 z_vX(?Shyc`W{wtTv@^jovj#PpDO62VrBqy&wer>EC-E!gsfL&3hvC%aS}{ih8&vYf z9Yu|+c}HIxcB3-o;!BbCAB4rjCjr-JQyxPwvyb28%> zy*3M{PK_%^t&R#*OL>}LGb}3969Gh^ym{oi%ouS90vs$38sUx?`LQAounPw91p5l! zu}DC^g)?;^PqYGMMnIo16F90gP{hT`Kw?H$$ZU%$#G)?20Xj6c;d|2HigQRoUtn2e z2_9MjHIt%^G>aIW<){dNhXxQ1Syrh^jKs1&e>O>@UI~pdNdtmVz@Y9|&?J+}lDpsl z1?ti}YobRJ>)3oLG@~8fV$9z7%mz-^0jse}VrV8@{Dkn5p)C}scJ#Z>r7IkW9 zs+mJ&7c>j~p4yv*8l-nUGbyxhq*Ei8&JYa_lb{Oflv+rVTRv(!q{ZpPhub*u;Z|Uz KH!VKav+)0Lo+J7I diff --git a/firebee1.sof b/firebee1.sof index 7b75b23a4b88d2cfeb69c53b102f7a3c667cf14e..8c16bbbdfa57970cf489ca746c9c478d1cabe513 100644 GIT binary patch literal 1171305 zcmeFaeXM0!Rv)(Sty|T%n;zzNb&Y9Y46EBU=0TX@%`i9vo_Kckl%W`n@WT+NBLsS2 z#_|MWTDT`3Da5m?XyWn&g)(yNi4>W~s1;2d9Fa(oNc;!Sqp^e(B!lF{{E60C`|Q2XJNMr6eShXV6=*KoME!v5 zzxPu={F85d>MzrGe>Z*W2j2T5pL~Np`V;^D>!1AbPy8hPxljD$U;gAz{3Uw-2i|u| zuYT&2KmMcifj{xBbo!xhIsL%5eBku`AE591#83VQpZxQmptB$T^FKy%`Z4-; zr+@U5Z-}0ns&|NiKYjMCAN}CzcYg3gAN=T_P&d@GQuS~Dr8hqL#*csEN7Ze0BB%f8 zoo_$$_LmL)Z_NSwXWsrU;VH#$V~?5_)dEE!l79#QwJ)gIKYjs~LYKerpGvKxxzaOx zLMCRV?yDD;m6n-3&J<0v0$X9e(x6UAsp)MsovDeI@J%?zf3)DD6Kf;B&i-*XWlMk# zHk(>K>)Jy~B$rc0Q<(hUcP_aEYb1NIfb*h^)a%6p2NQ}!R7rDbz4?@JP{!h8^@WD^XVfd5?=t&#wMMtF(U2J zHd7wH;kMo)e@!&%kzr6L3|7-e#utg`zM9+pE|d}^8i2|_B=BZOcWQY2|2lOtf6q>DYV zF76p8V7-f(kXo_&^@_WdEce~UEex9zYYtp!`a;tYaS9h`yYZ=ii zYMF~d+~BkxrMw)d6;km1`k>pUUGA%9&MCv&BkQ@o?J7TYU+Xx|t=p*%mA_6ZV+^`a zrCyC#&=aXf>%e5V^(U1m+Q8pb%ho`q{K@OuCV7w->v6d~cMImW>)q_hwWq;V8W+pg zveF#^>o*oFU83&E>g5Zl0Q(GuK1~#Hn`S1ZbW?7pN9Pf=Ug(}Zx5ApRZ*r^WudIPS z^A@TN0NUVI7mGV59-~uVD(aL#r=xQq0OP$);$BE2=ye6{1oAITjI?f$Kxd!j_AgL_RyT{Xupd-7YSugW?N5m#G_tS zg-B0hkkj3o^*Z7p{&zsi;N5Gmqqus}GiArxdYDGztWIHlrwf|xpOCR;;lP!!CJ<>V z9^3kbMTzA@TdBkmizDc9yD5?ah+{!$Rk17P zc)N5Zqh(}r1@gv4c&cS%*&6cLwz7BhQ{$iYL{q1}Qq(A#92Cu2!y0H0OVq*YmOItu z;(V3I6|r`E0jmZt;Am==p4_xqT2`%6iuvH{d(QZ*jn7yRHe92}d?3FyV^lLH2Fabz%C~f<%)IS z>q(ujr&gyGiNK{mv273kCaw;75UHgvc)%v~xP_gc41%8q=~{MDXRfrnf(#|Dkz9M+ z-PnH9zwcM!=%iFIG$kc>#-Oex@1)CEx%^UIV>v41Rbw&us?C7Q$mMx2*s#r>Ul@uVVARYi?4T#H_PLPV2&>(g08J2B$4>dXhc@o#^Wbf&S zHqy0f0tCCet0SIT?NyU^w)RP4qFEa+uSj|JFx>4LalN1^a5Gil5|v`pNeBg8Gf1wM zt%@|HFztj`VNFsLW~=5d&%e0YtPx?BDKLVxyyVj2nH97+1BoWs0vaX`Et}1h8yg9g zfjuvCkjN4V*R$q16rzhbR6>UH3fvU2Fd%p#Th8ntM%XjrrG>z; zq}Cvg>R?d!NPA~%pYYn6qvD1c7jS2@U}(V61N>($*GT3^A;w(-3zVIwOr4xW*aa zHgETlWjPb1U=VP+Mkcmhz$jAKeZm@xE?^A6bQ zyRn(mC<4c7DOPFfE+U++uMzfGwPpsmLa#UzU`ih;ngO=8WDA2F?fQ*@hHHaxw2Lq; zCsm{&6~$WqE#9JcL?19t9HZDjAPw^Ti<=dxIAeVyFiZBd3AI8U(J-X}S|ieEMpakzSe<`G!Un-e zm?)`?dEA(VN|yM&J^$iZf)Qt+HHs89;y0v+E`Y9uJx08zSrO~UC7k+7*j3PqA9To8<7h3HAqr$tK;IPLkDFq`sc10zP&ro}T0zoLwIN}VqB1|ziX z?r4A+vFz+ljFjEjq6BXd+d=Qdh_hyfzvbELrFKADPRGW7Z$K-8Vu|2Qe|F?ESQ`3X zjhv^(QHF4y&V}9aZ;`+fsdQ|43&K5@tz)FY>elqkia5#EO#@aC-o(fek;>^1&__ny zpX$Y;{HIliUK3uVZVnifT_7ImQr|T-`@jY2)n?ZmpMq32ya12V1?*OQJAeF z&p(1n5Q5Y>hCGeb_aJ541;-+VMo{wzdO@^O$5Dnxtu@Oy>FQQa9#E?xT_bcjG8>G{ z8>oiy4+<8-H*2(5{XXi@{q|1w`qS2v>MP$WtaG$h@mGf(llRlBVtc;cm9GQ0*ID^u ztORYn-#2DU9sVZ4<)d;%ZK9K=tp`XwOj+& zWJyCPz9_?pz+k&&nv~Tp(Y3T}39KYzeIwzL*u^H+3jRc0hWjAGE-Jy`#4d5v z2jo(0vF<^{%+j_-yPBL?2o=di53?r_7bE25LF%Ywgb4zpNS+abxL0G%8gyYYB!V<( z)Y?Ax2q&HhDz-^5wp-%enya_wQh{zV5*<d?*AL8~i{8bf6O zO+W^0JH5mA^VB~b^h{&!Pg3O<3zGT)W1So503=eOBXw7|inEQj$GE3%A;s_lpJgQ! z;4iKjEnAsnF{U9UNAvz)#)(^$c}qMJj+PCg0mGOTMxNl8=U*I4q~eUKw&)pY9*ktn ziZz$7wAnz!MoK8aF6=-tGN?IE8;9$CJiB)c!tbfAwrDh+^!z*75k+7>ItaP&^1DbFJrFc&D|_s z;hUT2AYgd4WG(`G5!Uh-x%C|E60*s=kZU=VP+jOT3Q zgHfce5ltda-5MWVxGdYU6LigH+66iTk@@DtKv)$p_zuk0X(vX8jp7Q=3^p`e21`Ou zFB6wVDkO0Ij?`p851$B@{Mtqy9(^Z>$q6gT@ZBQ+GS5^`ZOu34IU>sh)Kza5s+?^O{7jn3A?D~!2KH8p8@YY(WD3OLh91B($ zY=p9S#0qIp+FKjKmBdYn?t;$FGUsd`;qP!=#;t z%PU#aD@i+Hb|oob)>)DCit?lQRmlMDG!SmA>3XAB!WpXX)!b@q`S6Ox57wpxPP_KI z)Ho>-i-3kuA8Sge4VP&VQDsD{(gZ6LSsMlma25uZi;Y<+xBkq1#tKHvjlhW(C}5r1 zwA{5d%h*IB>CbHvuGLiH&gUP^EpG2^B8I-~+epC4LLdvZpYfNYe z#j(OAo}!)p)~b8Xuw1^@gcMl-+qG#JtpK`w#naHyUH;Up^@C98NJMgJMYG5LVp(a3 z!=Vse>L|*no;$*p4MNz4k+fN{#t12$hPW&)c)-#eB_60CK*AdJ`T?PY0*hM869AvJFT#5s% z5M2NXpn)hFtct>x4X^}kYWmwzpBMn{H2i=qFSEj2Hvc4*T9B~|^9=-=_h>`Z10j#KV>uR?KuJKZ*vBG6q z)@+Tn_!5+%>XwUA9`6~HMovsi2dj2r5V!%Odfp9NHo)43k+fN{#}*hHI06JA+HMD zjx(#OttpQYcYCnGc_&7U^UW{np(Do^Qb?{gk`+567{?0H1urgI-D1JobSFl_b+ZKX-kF--$kD`D{=(cXl!5usIv7uebp%V4C}EyHP)c^4 z)%+a@rqU?oLVWka)DFp@SaKEba{8sfUp z8HgC2Zg-S?!_<&NW75d(;v6lF8K}(wzIQ!RCj-UyZ8i`we#qcTDCC1OqNQE>o?)A>v6`pNio+yZ z_>{wqW2KOSmaTuzP)aF0Gg(L1)i^n3(naU1b6!N+k^pT9cZ3}?5X}HZYsbnl111AJ z=3&ghn1OBvSWYSyxLuW0S%VSB3Ko4`(F>e-OHNc2DZjj?RzL0!bgL*Zl3CRYU~ogg zu;RrQh(H3U)E<2h_mV7tR8iVm=(_+CK!Y%=mzH7623XrLk~S;WShhmaLlNkVG-hDT zz=38!58xNZrY=7a{PD=L~o zZERCFM`+Y`&>9g`3I+9qT06aHoD^w%jTKUqTqZ52<3Plw_7VvnUt?v{;f`{%{1hkN zORK^fo4G*LGRD_fAvJ3g`t8*w-bHvjF|rHs zi)pjx!b(kl8Z&k?`-_ys78vp7#VRAqiPD-~)@UGLp&(>-7~l=x$ ze2rPL<}J~~prbB}njykID#73&s48;N2UsDx01`k0(dw08MUdMtk~S+GMo8&2h=h4n zz{C@Q&PZbh#tdAU3}BGh7U?u_GpVNeOAcY&Ef5ANq4AES$-@Tw`gZ9XnW&xo#^h<= z?(v>)qq}lNI@WLF3h=>2f+sp zV>_@pM6bgzR!3RMAzXnQ)<^{&E!}pV;Mrx}Sh`*r$o3daOoNi`r-Op_!FFP#H99lv zjlKsfpXXi1I%PU?jfsHD<*cI-`~?k%p+tX4y^?MbL{%FgR|;!AXwd4TyFLf8bFn30P6HU=+!< z>`f6RhzD3n26U^)8L_c#<>w`zgt6V+8pn|KNPs04#kbxRJD3 zvBt73ZyW=EJl(sHg~))!=oY)9oxEXcyGE+I+wL+tTDtlf;G+p~kQEN?G+dk9ty;B4 zHi|4eRBzS&joiLg%7a`0-Hh$FUAb#ISKee6tTvK!U@dgA|{cEhu-|>r< zAP}}}&@LiH5DggB*GOPwE6*9Oz-z3K<|8LMa{ov7x03-q5NsFcbRf(TW}^&rn`9%P zsPf<|&Zw2Ka?HSW$$*wXgS9CkdT)t`iV|rE#Ib@!Us@DqtH|>&ZdP#OjP;H9Y4Om- z+Pe1)=b}rpWzcaeP(|r`h7er<382wjf>ERwM1mpJHT%%ZgD?paT_bEW2S$;??i1En zbOB=kcKxYo7w8-6pMRt*>Asxp` zGHQ)P{xTmkd(QAd$mJ{UPfWK_q{zBD462bW>|N3WYu>8epbL~(_LuX{EEq*X%QB=g zX18D@ZMN)BTo*BDlOdEw+E)fhJ;YaIM%r%%Fo0#~B*Pn7LuY^im>ys;pcUyYk%*6c zGHKy8R@zPlWTr6@XMo1df|H~64O8wV6wOO90xW@GxD{B}IdIEs-<9Rf{{CyMdS*qj zH!-sfIyZN>_Ij$ZS%HaA`!<5(UYQ2^JZG^ zY3vPCK?vJ0V*K-7Y-P>DwJ5`U8S~x3jq5^hFe*$kgV||-(CfBNJ2A5LC~^ik#~g~c z6C+D!H|U5OC=~0Vs<&*C2TCK&;41~h2XstQo^Djwv~)v{h<9h zHnE~;n}FaVhWKnsXTHcnokQ(95g%Z%S9a{v!zG)BgIWnmuOxj$!iU!B*vlP8wd7rw zjEt3J1mjpCx?sjdF|1Zmar`wXT_f7NwW~358W{1%^e#H^vhzVWWtcZzSw9Gcz@C>m zE1EreL3$2_=mJCli<$+aNS=QL@c>Ke;tc3k5d<$F>0(Qp6)E;a1ApSW&>86vGeC#v zRMDq$=SF8f9@1NJ^%SVI6=(xmhMo^KH*flp2EDy391t>QOwdk#SEoYk$>tdW!+XjCfhb)_ZD=H{ zv1Ub_Wy_=?t_z(*BzQZL={#c^cAJ6lZ^0PWR;6`xR<*H|$MCv!+KG{^N3jC~l(&JY z>UvT3Vi#&aN_)nV{L3CSm%Z--(}{+TEQQ?uJtmd6p3cLk4iAu)#ED!2^s;` z7GGnfGi&t5pGD|T^%xm+pRN47q|F(Q8`1t=V?{;3^TTCY9bXqYGFms(ewet9%0y+6 z%_VkXWOFz7i=g*w{$#)AcVNAG+}?pNTQ|Yg6Ytht=@~d!$9jGacskIKJ`hZ0U{oo$ zk=Ra*7!N0A#T#F6drQumcr=9KSizz%Em}82i@xVyVAhV@0fS(AeIpsOf{T~^XxS2J zh`Ma=LJ4|N2?nQXgrHUs#}F%0qf}h9YFc1161Hp*f=CT+ByCo#v1}$^ghJp?OqU@! z6frv8?r6Jjm}=wLiILsqY_xRsGoW$hUjZ6qG@+d)f@9WnTS+nI8g;ye&IT%1q&K+? z9A!Miv^lvX?4mG?&jn9+V#N9IO3eb-Nhb<$S%ZfGE?ks_HA&H`t_&*>#0{gCEnzmv zSl>v-tXM1f6LlHxV}(mNMkN@Ws(S`2NGJqYksr&nFfJ$Hmi@vld z%vO=-Un~R-m>8p3zOH8^zVhW3Y`uGi<`?w{82O-DEBOO%E(jt4R-~p8)q&`G%lVVI z*~Um{eLW*4wy;Cl5X1$B8|gH}b(caF603{?N!W#5i^_Srkz%8B=z zPelu7MTwOJE}V3CLg<#AK)G;Q78xa0$iQ)4GAjhQ6VWw0^Gj^;2K~J zp*R+D#$daZoRs6DwX<0M*EgrS;(fZoZRl+myIY3RikhyX(< zs3+87pmoz7DzY@b#tP|i`Km~%6|rf(M8fUMnBwnTvZCqZ_!=wZW_*oRx`(XGaSO0@ z0S;b|cj4hqj4T7eAhRZE=v548Mfl=tta>w0)@;$*xk$8AJLa%O5CUdwL+RLBf%M^A zSb>plO)qmYT@%o}In_=83{D5FqpJ??HC6;?N7{D=(2+(OGq9%&9O<7{YsO#6XnJ6- zN_Q~GXUqymB^goawJP-nw^q*JY9DEE zYGc9AK=_-cK0kA|#c^9_%#N(xWB?D{b}$&M5KQ%&Yz54N7nQNH`5!!@=q>STBGC}S z=o>D|!kVOLb+3S6VU4~8Bk`3lizQIOpQy`5k#g&5hDde)OfQy0-vyTdo^}k}9EB|# zV2L^bB49wbib5k{jYStg2534Bab4(`o@)x@Zp^@#f$Nt6eQ+W7#{J8KbFj*x`sKW? z!rQgb26r;`uAbJ5Ze4Q2yXbA*K-1O(9}QGwV3t_t+eMhJz%cg;MsPRx3>bnu|Kesv zs?V5{R2p3zvlKOwF)Lte-!q6V!I^wekjT=BaMO$B5M9IxfKjtxWa&b<@ddb-2azJ# zHZyX@fN-&;&5D#S8jUR!5j~HfHqw}ZYn%Zdu$o=5Ut!AzW_w0RONDDNl#3J?*~-sLiW}8Q z&bqw6*H~rU@|fB?E1z8B*I1R40|}}V6Df>)YZ9%gH>zzj1B4;Z(Op*>3v`82fQfsg z{bpd8`Pr<&HVve{JJ6=4YV>zvq;&)j-O`J9x4^A&TSF+06)gHb~+f??*3l=ZKJgiZ#@eNK7M>TB%&oa$HVIp-+H z9Gu64BSrvsVx(hNmN@1Q%f>Fc4uR>dwNQ~G7N&+kd{GuI@%o}SrGjLG+47hIE1rLG zvmzB|K(|OyBi8I)4Sf$?&Xe~rw+Z4=m%)%#M*2xa?K;E&wrYt3DPu$gZ7Mkw5dLG+?^E4^V&|_*r5^pWY$5_ zFl*0)3~h93CmC={NUQ1ubSS<*IP)rHE@l88bWcb@;!r?oe=uH7QL|ta zsUk?hAm9vXByCm|_c86BOG&TgU3h4t2#9Tx5K2g2sC^=@}>SkcOi`@3P zOJ({n_!cSuU{Yf;*an?C&}~_82FAj^GO*$#vM)E6cJ;D&MM~*~lv^&>L~0Tf#|oEt z0vH#qzSKn!kE--N_!h;&vx_LhEMK8kBzWVJ0Ic}Rm%$P8MBAWw+F!mZEpEG)hZ#lL z5ek7lFLRJ6Qp{SDhY(%B2!K(uU=+#ok09P+VU12E{ZdD=Sq7qCwhPKd3XOy{HUt4< z0H)Ir*M-g%B-{UwCNw%4Pgix+3?Hj1?MwcsoLwbJdKKXVk!AQ18_<@&UsCk+Es=2+>8H0U9%l`aHF7n3_lDU%aiVd2fb*Fo0$1gC!L5L0Qr4F*aegGgp8vKm@RW zQQg69kasd>2Q#vqm95!jjSWGfVT6?SC$0;fk;V*ckO6c-L%6g@s>#4NFYGVJWKD{ZMTKr?U2vlbSw zvD&VM5xpV<&E#fRuMCa&TB`y}X$Zx!&>tCWhc4x~sGE2po+G6LEwBZIor&@F13g3eM!GhlboYtL~o#vE{ZKX zy!kb@Wd}3xcC=*3#MfFG?S>^78l^ZEE;$CbUyL1`?T@B9;k#L1nQ(QP++XXF4bfl~LMMr)tnhem+^T0c|m@>Fh|4M;W z*9Z3+9ZlUSZ&b_~@qq_3>BAoX8J_l>9)B3CMv%Q21|MAO`{}B2bmVtpq_y`}$L1yAJ%;lqKx;+`g2vLZG>DNa?b6nYud!kcaO*pcMG9NCAEXux z0#29loHYVQkpd&byvAzv?ojm92pq#}tm-0JN{z3vTIM2JqG)ZWvMA7zO%;d0NMT>O z`etwq!jj=t2bE(*F9VoGHYYcgWuX!cmDL%UD`WsOZ<(s*`!dWnC5GvhW|_84H+^jK zPtE$uRk16@3Uv(!O^T@xR_9-#xZk(yH-?S)k`tT~X$Zuzf<<3iv^q@?bYqfd)~y9Q z{yc(3iEU0OtZ&3y`I>0&2p$7eDDF=zrQ|ZDls3AAeL5(B6p2J4X02(L5M9Kf5;FWw z0+9%@)QR9+jyi6Z(Jn%ST{Jc@vc$p-yH8kS(M238aerLWLlNi!mYFj4W}P48PlGtu za)nNiJ%{REV>Pq6%o%aKuw{dG5m|zKz*tTgU}q>WGR$)ZFH_PWi`O1yoY*akc5Ci% ztQ~RSx|>B@MIq@(2#h<0-WwkT{o(WDC)|34%bG($+$BK_eg{AA#>+ zlxPyRtlW?JSb{;oS=&g}O{hf|Lkw%_G{juC8aN&wanxlUtsN`J42&5#ung!u`*YM^ zS*33BPP->#*<=7y;}xOv^F0TGRnoPmN4a&iTSwNUNkho4gc&Dp-4-s>I-(CfQFL&C z6v-|U&mb8$n`EqPL|Y^Al`n%WyJ&k=zCeB2(dkZ@fY>B zm)jMPF*+R<>4Dr?|H>N3fz@FcD*XX%qEYM3BB)5wTf&Hse3FpxHC7nK8CdNbrZ7iz zUTFbNjyi9cB5T&YHv=!BATw7A1OsL!2SNd6-5i069L3(1bt)5$z}d7gf}RNoHK`JY z^CwIxbeAb*oItmw4rWT#iYAZaYpjqO*TNMkwIVj9m*^t-cP?4c^znJ6J|x^YR*0Sy zeVR7W;hd)43H7=Nxz|QXIquKX4ph1rHDXk~7hBoP0Vv9F{={{mHyEL9cSk!J_;+Gt zcR7n#;z-@#RXZ`V^m>DisDV;3pe>ChO2yY$VP?{HGBqG#)?Wp1Gu_FdMCT&V&boMQ zR5KlGXthR~*G9>CYs8yVF_klf^K>rkcJjs4-WciDIP`XQRw5$~V2GcK0gVINrd_yT zD%TJR77FJ|-A45x&;UQ?kG^2Ca0u~K`_Ne{RqKp`V+KkYz;Ga>vFp#Tgvy;zI|i*{ zi`={F8ET!Oun9z?wuUr>Is;(`%em#ylcH@Nft4o_)X$2Dh8WD^lM8R+q%}e)cl=?? zFryZOTO@en&71A8-!bcATZ(F!ojQgKIzWSnB|;%8!QcR-iqh6XbO9uQ_RXGk9fLrU zY>>~3*$aYLA4_gy%y(_W50In5YDiln%LWW=g*5zr74MEl2+4pz8KON!=)8JK7Zg5Gl88Cm{R40--sdh1AwlRXATf+ewwHV}3G zcxD5ajeVLaLLm=gL`%E0wGdsf2zXJmU=*n$NWmcB3~3~7Rtzz$xlRx@djSzIQ>Yke z%)o{jh|j*uTJ*-f67SXeu8iZ-HY0P?AV!bv5T?J7B8{#?sd$ZvB+feMT8^h8>9dG) zlDTKbL=EtWr5wX)S>#wGws8Z^2;S^aI8JhTp}zm}r`2Pk_&VQPYZb#03=N?;R=C7V ziblp);QE9)*z-@!@-B>oX5BplJPd9K7_}Jo35CAP-mDf#xZiAH=vK`gC#@hsBd`{! zsc{TgQM!=YFzKz?g@z8ggAidC_ygS{U5G?zB&@OMBF?hKlRl4kPw1wIQj9~ZZ&TfK zt6#g@pNZ@_)1Fei`jBgvi~edayPXOVW4n&j?}3}-pgain8%lS~nR3;j(@}~Q`$l3i zSGbO^aBN!+EqgJn*fpu>>rVRVPK>mnnk7*&?4m&4Xc4EYMDZi*E zM|9-$B1{{}ik%URV}mw_DSBU@`W4$aZ;j|K z_C@#PYL)4r!{LMWZd=Y_IISUj_33Gi^eD5P4Cw8^?h92G8AFimn?qogF{lvDh{{9h--4@IAheLY%tRx)F?Hh3Enr7p)zWu+W=1{{#!)AJ7bE(X+@L zX#gYs!vnLv?3!I>PAtY5)}Oep?H=$rF2UebT`trL;uvB@YLrP-$UuW3m@Khy+m_WX z=>e9s6TZ)I2?hrV${GNZWedAcSR?4xW2mJ4iJ(DuKw|Xl!Kmomb0#8VkCIkdI1UNf zTagao`NWDYACNd7kT1vPitDw<=6K#;%Cm>&?$#VP?iXf|7<&ajTO*CBy8R010A%d0 zAbE+`2Or>a1fcmEQ&$)tg;iUu;Rf4FdfMDF*tAT9!;!k}Rpr*zh*Q^?W-A!K5eS!f zA|DfdvRv5Y%t95(760yP2*$8b55{*}>v!zpT~{?s$B5D`mNUDovFMO+`GT(ZYw`qk z>$0y4hPtm5;TV-*aNv6t*&su#@LR|NNC1tR1*1qWhy+6_ZC02BiS>=R6k8)JJZFfT zWjJKfjEHoV#cNZI`r{;>b{m;Tu*X1j3{IwfEVzGUpIS}FxiyX+1{nizn($>Ok8p*I zRLB4o_;EJd>eQm?i4_=+Tg-sFAY5UK#;yVMdLu^s8dwi&uSrHP3YKO5TC2uC4WT$z zh#nE05&$HB<_FnO&XGooT zt%SVjiYhr~Z(Ise73S?!xOMi%pEY#pd{(MtkBF08TW!emHv z{Ym$eW%viXszzWnuH7f#`CO2RlPH$cdO;zPq^1gGPdYO+e@xA)7fcie!x}Zv?^` zi!NXcz;qhoy3jd#q7@@Rl2#@(?)Heb2R$O!X8_mdzKL;7A1LWczo=3!E5_iq1Dbuw zgHjr`)#K<}A{-z2e2rDPr>rWm-Nn(W-fTmjw*lJ6+=-E;Q4INO5<{h5;{>T_}XQnBEmsc1t_?DaCDtv_XeYyJQ+7%^o@Z448|!UwMts*Z2Yy z9a_5BbEq?7ckV;l@lD3TN-~0RtafPZpbKVPw0exR{++?SI9AJOKTFNad#sg>Xlulb z;qjSNMB)s0YiC`yXy#2q_^w|Z5jV6z)Ow}wX|2} zZIUR-85zT7;PW}S>d`0%GCVKdp*FXJQGO@1VgT7oWhV}YM)2v89nk3xY(z|)MCvxJ z@@}9v1#9(rAe_U5IkF!FXcvjOwN^3Df}tT4#|oEtNl`5EiiB|}x)x-pV%Q-RgyR0J zZzN+@tidlWTgGf40&x&wmk$aOS;FP-q7Se_bP*>22BKAC=U7+;r+9s*IiklN5lSR?2r{c>R0GHHnGLg&EfVl#jAX6gr_jm~^kX`iv-I;^MF zqWtLm(B)k5c89=aD&V^&-1bw{cbvr;RHTeUTg_#|i50=EVmP>IEI zxJ*lmR=3zhacPb28)1JP32%#JSE3q{=7JAuTx=qN&ZuQeq#>%?uBa73FDk*{R9`L- zBxnR!b+56SNSM{X{F?clM-3lL%6xywF{;N%mtq^6F?#_6w#G#(vK(b-)WRR2UC8F^ zqGBK#;x$&*(F&KcZl7nVzm|~%){d(4GsDnMPzkUra8VLY@VgiZk*iw$WT?Ql z-7W57d>JX9W_>gXV$3i{OS*54r?_9iTPS3xk)DF#K-6GV-w< zNoCqvXkWyk5;EXe@ycQmf*_90td=0X*NcHk*a;&{5Exlv;RZ$`H5OgOq2m0Bn+-*v zGY~Pl#bAWMvXuIQRnxh8sztP(ygf}BvHbDa%K-Pz$J4!JAiNZd!`jKpj`GSHJ6E6~ zEf&EzXRWWTbHW)OXLuv4lmXolpicQZ6n7gh=ThpHb?Z*dEqK;Q&VhA!vKa7IzVRhz z2^lVH2*t6&MPEX6_19RzthQ$P5qxkV^o-0MqpE=r3Is99XxWUBu*StEvYx18cxD3; zAgi~OA{+yI9tb3gl(x2w*I0S}5u6J`kUEd?Dx{EH=vGl+B=dMf02!d^Gz4hIWpQco z8WekU(K|ovQ>68{iH_TSecbL}74LB!XpBTr($U&OsO%1j4_b%l5FE2UJZlTZqYS{^ zXo~WOE`SMTq$9|H-l>mR&sCAmOWDEd2)Y>}vFkTRRPnVII3>~$h+~Dzw4|ub9l){_ z{cO@hsI(!ltRC?}8#8oW$PtV~e9nO1?(40qwN!+;L}iiU4TwCLwXt{4B6SpHRFC$y zW$}~r39Jrd>RDgeh;7-}lLz#h_WEThE=4Uvqt0bBgLCCW7x~hXRPqUUr?a zO(XD;_K^X-52HtEGulT_1_}jZLX>p0_R3eT_JIhh$%mP6l7b9QR^&laH_JH9a<4Hn zdOaZ@+)YYSsw=d=GVRZF$FlB}uVs<6TURp@fL=o=U|hvQL(5i08dC8^nO#{f(R-r) zBY^B+0xKZ#kF0;U;$|fpVNAsOoT1{yhKeG2Nic2&H7+&*v;HK~5Y=sbXn(~!D!~w` zzM8!tJ%{`zZIes@kD3LeNH%)!o?!yB_o0jQ%bu{xM?n}f-7@O`3}uZh8!)V~Aw=8S zOG8u_>PojcLHU^I(mG4FfTNa;S$6h^b4`qLu4PjP8LD_j>LET`ctr_)?QvdR3=-OCZ{jFL-T~%D%=5*Q5|ek9HizAy4v#sfmUNGToYO-hDx+ zZLkIv2tcRnG>*%KOIIVwungULxpP2Tf-Y)V}&%7r{hq>ruGtTHNM8m zro$aim3oR3@7+{kjm=yjY8i~bAG}+(xGwYtquma}COfnQ3Iu7KwBD1lzOkR!aPKnIwuSTja@qfH8pZBW--fpub#r>&cZ9ux$xWfN#Vtq z@wZip3>XaS-Wwn>l}lZT&PAXzwUfzHx5i}-A!xu6cKPX8L}tE-Qs+?HCh<1GX`A4? z!$wnI1-dG6986na+*+A%*N~?p_&Wh2Z*PCRq0kL{`3NmnqY%dm(Nm%e469Yt8Gm)$ z?1|vS{aMFIgXNFu9=ceom|_DF8!VyF2`b{d70n(yb6L-!5M6)>U{SMR6v?%$^m8VN z2Ut=UXNaz0h(Mm45f@unW6cT@1I>wAhDNQ|V4euLBaIn|WB@&ASmI&fjs^`^oJXhL z*>ESg&f|pFSUqW3wqZ5I`|r1ktEX%6CyDLRcF|YxJ7~fcbX!rI_NXT2M?>i*v=Tc! z>K)qJk*RD$l6As0hppJ(5f9y_7yGk6gynUAYHa32$``c^EgTnHC<5-$k~A5I&bKWbG7i3` zs%IR06kBr;V@RyQ2R2B9;0@FZb)7RNHAT@BSgO7U*c!puV@J52#CSSpAeMpb#W47& z*S{G0=P?gguG^jftKR&zQ&4V zZOWxJx`|MJHc*Z%o5CRf9$CRsbykA~rBGw8?53pJz zLH$^<&kT?^Qr_LCE4#5xE1T(ddY7Q?1&ZN%NnS#i)#(z{S5|7_oIh#!tIW}z;HXos zoST;#yubou-BwuQWJ=v0lMySi27)P>Y5A0s%QAC{J)Xn)Uv9|fJwB^@>;X@khi-QL z#z4l^M<}52kz6fX6=_JtvBG6qQnb3ydH%I#7c98TUo9EN$mf1{rYH0kPDCEsGGkpL4=F$rf5) z&B(9Im!N<9zn(N$akK4Mz_6B1LtGa+0}-2K4+K_*Y44OWg5nQH+4YWEBbOf*pdUh; zjhf+R=|;&5DNpz$`@EQwt-4#?(@~b<*_~kV>i- zZzc808Y?K6dj>0y91l%2G~k}_IBK`;p&`$W93d-OLv#_XU$OF_KSg`KVlZ8CObAJ$ z6vr@d)z#6A>*R7$_*E&jjgNoljBdcoCboxbN7`OBPDwTk<;?@u&A9y7{Ok$$>byKJ-#DG_@_IuNd%NWFfb?^qkxXT;9 zlWb-owbD$cp%upp(S1?I63_fm1CO`7F$ACyV&A{6S$rKAA+VNXmjNR#HW8>G@kagH z4=U1-1(x}vl1qz+F5K?l*Gnn2x+Y$+L!zG!%J>e6B6WlGZ(>4p0V4n+OfRVFKVY^k zYZ}nQa=$#E_NS(u;a!u--;7eh9Ke$oxWM;PoiC#1VHnMi2~oz%Rp@Q zogJZUxt;n#@$!AF)Zam}*Q3=fu4m@}wT7MNNg~t-80B?xsTpIQU;k=2lw?)iv*?xM zq(XeiUyN2WqyaJ2vJ*OO4oA7yJN3G2jfS(_v|XxYH?~u~X4u$L8o&+Yl$O~W=htt% zg69m|B}%WWm+QzH7c_*hCI}aFVNFuBdc1(3wBDtbWAZgt34c~H5`E6l%-3GDjXxd( z-`r(;592M(moETzMH=F|;A-p+jF8R})wEVS(BZE3tb~{0>n|^+>VGxwDa%N0 zz@nzsKDvlA0Q+Vqy5_Gd?Hi`NcV7UDJtGpyM2z6g z8$Ih{q)hHLR;`ay#yx|E;#9VNw5U%oqA6v_1G=R+rl)E}lgF$68mn9Pn-s@8DYtnE zdaq%$tyt*bmq>X2olC8i%t0Qnen{AHtPnjZ`ZQx>$vREF6Y6!5F<*YfYomnX{ya6j z(r#&Fj@Tv!%c#n_DU23yQHJv;t_!`v2v^kZs9gbV*WgZ!Y&V1gIv4nZuyFEX? z(Rf-hpe>ChO3T++vC@$7<{PQ;CR5YTI%Wye9VSz6%ED=!&dsdLiokB?Fih>mj=U6_?)9$B$LStxu5#@ zXSVvM)uJOq2EGciqfF8suT^+g7As4pZ%Wm4}*R!P6V)3lvHxut6(-wV`;~*fL zIFRVc8$m{tv5s1wXnoZRO+nxAdaW=bB3m#5$U^6qL1Zz6W!y99hi4{KZT182nl6Gp zB4VJ!Z$bep47cP&MTs;7;#eViQq(SN0G1^YG`~=)A=NRo(u=q*KtY5Z97}^hqDb)ujQcKN1i+|SFiND+vJWAZF}tae zrgL6=fp8@$xw4+YZ)vaE@ zJ%e6d?a(xK8v?iCKN%;2Bc=d83?xvgV|sYgwTY@?Asl8PX;V|SA<>}xY5vN z#9vvtN@4G>vD)f*+om7W;*z{JSymTI^V`PP=nZsHIWNF@_EB*!x907y-yo&mc}80^ z&;X-<#1~R-`C9ZqFf@eXSRr~+wCeJJUl4Tu#mx?5VcEs94zNOW5htJpqW%B^kqBK#UD&cM)~Ocmb0%e*8fo6I`|HnW z_1m9nv65!RsABAF8sf2qBGB0h>FrqII@qdil!20;iXrtw_ZkT_^+>B^pv_owd2NzD zJi5yf=a@U?wL`#;Y+^>}(s}npwzo1#plqLP01lTHS*oh{o5l$yd>0 zA&9LwVUdBYT2II;zEM8F^L`q&sh$Rh}$@{<(Y$ zOs)<2A0dv^RaPC`YpfR4-3NlNAj?`d^9VrN6hF;kmWwk&K}b?|AC1j8!Ju^hO`%@HH&6ov-cId*i{(zDB*>o+UPNels5h`)< zbV1Ce$Kb&CB9TZy5XTTJQiEsfF;NL>{y}hZ#9{-2a@0Aq&L7s#3Z9k?(W}MV6<_>e z5q#-wNz&VY8{a!rFOgKJ(?6KvhXEqdN}bPm_)dj;n2y;%1X&-D7{RSZIw@Xm_ve%> zT{@YFL!q0tq;WJm1b&m-Q3kb1LQ65eTmJXEBIjS#f$cKF@~4|-2^j}pqc``Evk<1c zOjPyrd|a{%ug~W3m&V_7w2jaVXUj-xOSejb?qb!DyqKsLPD(14uchz-dm_ih6w9nK zJ^R&VnV}^p3s@dxvg!eo-RDGQq?exRj}rDwteT=0ecywZ)YME?0dTj1%?YlWv*uzT zbP@}0nAx3o1w|!wwDu?~kzY!A?iiRy97!g%`SiD|Nf&fCnFP5-xgm_4hA>E*eP`!6 z)2ZLQNSi|}5sH&NFJ%*@HhEUi9!2{1D1jc&W^YdKL%)Ch zp(Y^yD*qA;1pErXqJZZ_Ed2RK#Z7}~zMmJj84!(;n~T%Mm!$cZHBz$ZQuG;e&+u&3bB5-j8$h>8I)#o@ z4o2u*6?8$;x6KovvM_m{hk2|X&9kbe&6lX3ZklLWktk83{z$5|=cm0Wyvo?g6gSi8 z!Zq|_cQBYLo|<)8qsch*8^1iDHp*9LZ!5%VM_q)VrJ@1oxirzCW5o;N*MXQMW)_ zd{RD&vAkz^O+JV7o3j4I#iV6Jw9DA!yvvY3qc`1Q-rj~?_$jrXncPb-1VB0VK%|0Y zLr`@DL4s(26{$&ynkf+=1&TgrV2wPy3RMY`mS3(qd)qlTKqP0P(e@r=NpRO zc*ZIX#C zkGSf6#`+(`(T+`q*V%QX2&44GjAtEzco7qgN2hp1A>_K_ooJON!;6kZ8D+o_HeND}W&CCEY^@NELOh`3{BX z0!RRjngyds-Ig^EcMKN#t%fc_5F+f>H}dme{^>u<)9-0Ac|MUD?sE?&>JdPLXr+$( zjCqjsKm;m{%ciu~9TK$?X-~SSj%=(!^Z#2uo5bJoa-%v|Z>p2Wwa>1NPTLvsrm1Pu zywDMFb<{_S)y73*l1<&@j?A$(ne#cza|U~sRx+IKc#{{c1k8hM$|V7)cX>5yEaIo0 z3PP?PWwrdNT!inn287l)Z-!-dXR>*r32tGe${PALo=o-~XJ%M3E(Ksp6@w~=rBFRE*MPm6|_E(eZ z8%>_V8rKN~&`rnB5y?vxwe1~kGkGbsEouF@1Q*|~dtElh%`V;n^;9?vKcjD}0=L6>Uapv*%B0k}486e!ZM!=v zk6HuxvJ)c%__;m=udiCKnKIHHMT%%_2NEMGolTpXh+fzcbwny!#i(@yT5q~j&BY5p ziiD?pjl*X8lUYrTI8W!oZU+~944Aqhn5naw{SCwT z10(G$E6?Xd_abiq+;cOV8|PtUA^$YKZ+I?9Ld|5aP={y@5%v?=5Zn1pbxRm74bEjx z7aB&z6n46kk=>APkM5w6+X09>7`jtNaV8ZHdv(dv$?|g>q+UTI^awL)K<08oYxIfR z=JM(XirK^5>?G!T6w!vmQEsmC_9cuIzXAaW$tG!$KD<2T0vv{?YbHid#{M1Ix9^Dv zi@?@Te~x8zeATuh>e3`1$LI)}$DUu8mUf&OpWbAAKMPG*OEBc-EVMg8698_?Kt+i( z1majBdQw!(*0@f-e=)Pv^M_5J{`~**%tC)dzl9(gA@gwz`8y$NFdw}n58eLh3;x$W zmzfg@o3F`@7w=9NZ_CRypchZ6br}*M!p=*&m0)nHugA2t09^|%$tDbTzPly_qeR-a z?Bu4162$u6tJqo5A$rCk_nRG~dB+HLDgvS64--kmsn(X|y(0Y4pu5 z#_iN0Gp1MGl>&7bZPAXZ0jEjB>p^|0!Za7&#V7PsshTfO8`;E8OML^D5IC4>uI{Mo zxZJGq@71bK)l4mJo5Y<+!%ORaW36gl&RZhryzJHFR^2`~p+AvqvCJhcy?`rk=*~m0r5X~3?&T0Ult8}B51o3qY~hcC*f!+!b2N%Kjl%h{9Hhq%b zReuOx1wHxvjR~voY(CaUk6)Iy{ZjM!yM-4mJ_RDg*ja}88Y&nXwKg`!i4`%Pq2fRY zeho>;GTI3=X%2S(+$-wl#Vfr^%j_RN{hdl*d`BzHC&Jb;Na29pNG=1~l_CY(5{6~E zATlanPc*5FCt+tRPDG*N(N2uqODU}T}phfrET?!j{RE?J13>$DK4Q!8vsF?S4f$LLXo&A zargqZZ(atj{%lZ#il+b3scuK7<90L({`Jf$6^UvI&J0`Zr^6LQdD>Dil7p+4V zE8JzAbj*@j;&xqD1X+mejC7SH_W)D=WV0G^z4%Q1b0Vd`r7r%(>))WX##gODxM%q0 zxo*#zy7>OQUwzuIIM{P-J*xPQwb&LdZ-Q{A}~#<`)W zJY3+DKSF6icl8H8!O=(22I`BNl$&3nX@i?;ELC4$t0}51_B}ZKb6VHM8(PVaRyovk z)~FV(u>3Zm`mHZN)Eaqs^qK_F{=B4|N}5{qb8eA*f8K6Cfa`*8T|O1vrDwnanSCn( z^rZyj1Rzz^x34&O~a*SXwHBY}$KS5x1Cuqx&BQ}S_S{%mNMjhfrFBzC?a4CG zU}rd)su!(x!;_)$dXeN#KBA$K$(hWn*-L1d8EXH8ff1KqZtBlwa!G*P7ff!&lNr>? zuNK=0>AvWbX;uR@)NcPXgSMe`U1>V*iDaICRkHF_5~x3@o_}@mBJz+Y680q?v3o{4a|^13lR!1 zhKRImRiq&m#|qJtqD_o|$`bkh#m!0(!g%iVXXJw{U;eJyV)lo6PQU%KyaT50YtW=_ z&hMxP|JO|2h@;Hgv3(Swyaiii<`h|7z=eny4=6| z@QfZW#3)eA4bIeq)5UWtPMZtrgqT ztAD&$Jdz*@KORPkU{|DMPd9N4FOV(!T2_Lmh_T)foSK?GipQ&KU*UyJjZF4*l?$RR@zMe5v5+Sd4KG*Ca0#M z;?IBF)#kT%=WHq$!xg7xpKAh@o8MQYgcQy%rB^rCT*tYo~{<66M z8bx_ElN72QX_WGN%CiUNa)Z|%I^fin?XfxKXJ-20{Gogc^yXBVMdtKIE0{U3Qmj5T zovPpeR&}{JYwj7OQnw8vpITD)rL|w`w|ENkiTaORTZ+v6k9GugYMl>oG^hxaiA6+1 z3@wlD!m`Q5uN0vW)<|Vc^ofMVU0&-MJfOd;!Ilbqp5_6I8}?7$Pq2&?#3%9oF&=BMR$ z?)1rv$$JKqhUODBqB8qq-$X|DV=(wg#yWRlNyvmsFX^!7WeyTWa!C)cw)C9A1i5VM zzF88F-y<7?aq^{?C;AVcQHw8rn7)7URB9?nzxYp{<$o}F@8ohOpJ*b{SiIP@wNgL( z5y{-I-jtUa%hk{}Dg!e}!+q2ur7!+7b)Ur_C1^@M&u{M^r%DU=suHr_(i!H2ulkLz ze{x5CT*l+sEqqm^g3gd&Euv2+s;BU_oXZ!5G?!qO0w4Sa+|Ua>m8*+CK&C8>*&dJd zpo@OnD_TD0C4b40ZaP1c&WKb{QC9>+7=$@m01UMUlpi)VnLUd|@9&45AD+pm9T^Mh zyiEH1L~;kF+_X;B7tyOHmy0{6>P?oGK>L*G$%LNgIX|W!j>ux=d;Y30a44& zX+$yuKD7f`PIosv-7#Zad{+E?(5cK8eL*A^QM%yFpDpDNXQ;qE!ylJ}OseOsrD7?D zlQeP5x>UB*%rxqjzDL5FJ)Y3Za;&AM9crcbB}eNUo~Bg6kb4F>Xozt0{2s+Ju@ON- zJ{#iC)j4hh>oa>^FIskOixP-;HS&^!k+@5MV^hJnXL#4Ure|;egqriD?&lSrRkxhMJ*UZB1nU|QA0{knZ*-`{7K3Dmz#Tr6I2``A&zPyNNVgrXd6r1<_3q$ zsk|0%%I_wnzUj_wVv+}MRxW$|wl|6Yh=|^?$c$gL1<5_bbH5?qTb$mccrVo{9gS2u9%+h)GEm%^zAQmKk$YJjizKQ4EJ@qS;!02D&kh|TB|RH-+Fa45 zT-@Q305!TzH)*;9nfl}2wcS1w#6M8;DF%e8+%tUf%_j1Uc*~L>>uE~T4h^wcZb=z0 zYmkBERryp#=6B(!(Q^Cd{$Zg|ubbMk?~UB%dzsu;pf>Wn#`9fFzn@ygnNp51pD;2f zW5Nw0$=qkHQ1&P~YH_LNcjvRqH!mQ1>YSTtlRq(nWpIjwbz*sFO73)kKW-TZbF|LU z03HDY8DiO5`dTF~hvZij<4bgRo=9$3(pM&uZ!bXb^^T~iu;GldUXG*h!l+hxAQ zn*Z)|>V#%hEh@@9Y5%4NB>ug-I9R%&a7p1(V^jf>P=GP?DJ@$SX-LJfLiD7ln5|hJ zU)*f{J8@=l1I4o05H7U*B~JW#?v$rz{K=aYRu=Oh&;UwbkkC-TJ)vz>QL#v$P+ zy$=F`GQ}GZSRuO9QIsJKb_Pa~+LmRTbkB%3-9a28;Gn@iCr<+&JpGErX+$oSUfy&w62k_QJ9s`xvc!CWYLso{<3xjDgZa?upE zTpV(VXi2R3b5&ED-`f!=F2$%ugAxjmb+gD+`i?YUULRU-$<_|m9u{63B?~(F3nb8+ zzXrD#H$$Ui)`-^iRjfBP+-&_*f(5g_5qK>Om}6M)#fFL;J(+Uj&5X#Xv$)v15Spmw zySm1R)HJWL!goY;b3HC^?ehMRdiqT3GEXiim+zE@MVLfe<$S~yI zDv9?=;g_2kM&yqXqEaLnnX3zR2@?~U2#nWIHOlXj>@OrTMr07B6%y>jbP_6LM>qe**Vi?}>HqPSi`o3A zNG^rXHn(N!#uv!6@8y@@IWg#`Uu?|6n(IWd*yQQ!&ncN-l+uuWPHs55UJxofK{?+| zPzpg+Q5$^(D?}GS0%+f?7RMMd6bTWqZ1|k|f}{{&p^mVFk`Xg{{a;_u;uAwuG^BK^!` z^=)5^q9bypJs05m%A~D^>uF&_ey99lTFYlxl)m$1cBW0!She)=XXPss`rnE=_1{ZI zFTX=9M!7vmBzy6}e>{bQhV(?;p3c;_E@vFeIld!;;#J(fZ+~5$S1ZaTVQy>nYvJOqtE~Y<8ZM7(wNePUQoX z^)1%&A(l7)rj#4qjzp>`Y2pq~=IRdJ&;WkXMHOCy9brj(LmCI{uvJ}4kULBYvoC(0 z#C&CRIk{t_nYjYa-y~VQ>zHJ;?q^Ibw;%18tC!nz-TcBKPnMl5s=usUsLm5t`2!y< zSy#U#!QRBJ8v5;AilEao*MZG>VkdtKH0v5+-!UEi%n3>_AUT=vRNZxzd`iMtJj3{4k@V{6T=Qc09R zwo{^;X=8!2Wf{vD+l>dtU}Ni6sSqUr#{~#m_ONzJD?E+BY@1p8;GZ3*ggi&)Fnbyg zVA*GOr_Jc>a%{$7(upCtBui*k&bg3lLLM05_2uZ`03lbpSHo{yo2K@0XK@RjY7!dJtFn#@{r=$8L}t`D}me?s+{=IP@@CbUa@|8RuqZ^eH{*S za6#g#fmTiw3QNy|7Ws;V$t;?>_cF{OBo+7g+#m?(A zE0FDkZB3gx1jAqvkTgK^-0_}lC+O??A~$d_xn|KpA)_&bzRVCxe;0I@5p2c_iB7>N ztre8I620&qtCp0Ze+hJhQXBPwd~-WIHNm3fm}d*_X(kZ2y@mz&8Kfv_H=SjeN&pu%@1JE#{Aof^*FXu%^)8BEor;<#tG*n65M z>i@*@5F-??pLB#!YvUn?3;R{67o6LXovtD}T?emYb`WVHLoVFO)Xh%9C+Y5x{UlS4 zMzU}+MEN{x z0a|YZ#tbz%uq^eK|E6P?6-Bg-)6W&&iteUO+nrnSF=jCPf03l$7H;3_^pL}t0TltG z58VR;{$JjLZ6q>CEei(;I9w9oVx>`BqX9IOSp8}BQXhy)wj+UZgd#GWP&h`H$2^k6{{#vcDk$Z$+Ji z>=b`EG%=Fx$s}oAOg+?@*sIJlag1<>_9>Rf-k@@169QP6}|k8Ol@Piu!n zQ!a=cQ`FX=A3DDNVUZJ`2kHND*Wh&q-w#ltLmu0U&!!FTAVMaaHT)*l9?E-~0)#9D zRthUax`R!@4cONCa|k$qiH#EAFzVfq;5w0=@sQI- z#?__945{SGQi0@-1kw_mx_D1nwbvQiF&(Z}?zY^Jc>tfG>-4>F?$IxTfwJ}HV2cR~ zgk;L*KqjIlmf*My*Jv>8O;VzTMde{blEch?*{3kjN**x$32M%A6y!R>&!#7NNh%_8 zglF~KlKpNPC!y$Es%`>XeWhzy2cjd9gym6jHF1pqpEg-q3uLaCm+nqdM?$})SNjDm$uVNKWTR-%4IP|XHad%4@x+5G0wd{ zPbcM;uscbP*7;C=yy75dSi}_$rHib*l-K|ChPK6> zhx75v5>&G=ITWc!BNM~rTU$p5@kw8Cl>#LeS@zQE4H<-rYFZKk$Xm$Q$##wv%4Js( zq1Y<>+7_t;ld3V!5+iLAT@(|RXh4$`7pLeKVnp!3krsT}+7^Qq<#*(1*; zlR%!dryIONCV-MCQL!<}C4ZdBiV%muZlxEpGlqzzAfwoa7N^m=MTFncUY!vE*v2$i z8Ssb(OpHmpv)~i~R2g;RP>j?w`Re6Pb!Q@zNfIYt4odNfj{hTm*W{J&a|x!QNK3|; z&TvWekjXrr;o>TiE$-{o{r0IkIaVchD<*?kMNRNH4L z?>Gt(4;Zv4#%xG<-tjtv1v_l$_Z5TBVF1MHu=q1KPM0V7XN(9Jy#bZt zz)Akj7gCN}s%+3?Ygi}k5R|jPiBOANwpc89Ro{WsMZ&VF$;ehD{wE1qq%lbruOLDR0F%of zSXq?iuU4|XNq6JNa94g(a3np>4x6ug&BbdOsX||5TWcClz>K7MQB{`a5U^31osikq zgs&2*rv8BmmA6zN@M9HAgGi)HbMOxbhiJA3Ricqrmq2|&I@orhlI=(^U=XoMdCAt{ zA``!7PU&G50FF1x^ODA{u(cenjNN!Z=IZ|{VAyalWMcX+Fn#!^zbf&Ke>p5%2HhHhX;^H}tQsXVjzo}qOoR+@4Y`NS)HKA3Dfz_MI# z2dxq`SY9%4c=8$y#;DjKjaviihHM1&$#12YxJ*2371$+uE;^ zvMS|;CQy2|3*2@QBAt=Mj94jH?kJuvf4QKwptFt9z-Viu(r^qO{c1qEXjZC*r{X`O zil0k_MiBr@!XksgrBUWzR!CwYb~gp}wX_=e$W7zB?d$~Y$d;qKQ(55*d?>}%721)a z+ey{$!^TuR63gi%P(KbG?#Arv^VJ2(H25r=qia9~X{|5rwgkFup;DHJl|^jhxnrv` z`4lROo*&>$$Z$1RY8z#X%jh55*@&LNLPBydi?!R)`+aRFPCgXjH)Avl?)SL=deKK2 z@n0vzHP73S)(Sk!({Yo59*+CT^3;tA)2_Ur4Hf{3Noy*ukzl}3V)dt0Gz|sy0jQgv zEeQ<;a_N$l9-J{O`laSaP7l~*Fswcojc~DS@)-vb{0Tvg9JO(u)Gm!we@~XB8~q>7(e;C zFmBy8oz4$BpxUm>C@9|G@cfg2&rc-IIInkwQ>kBmGI?gF^vl_&g30k+GTvA)Oitij zuKGnF*a5V6C&V%pCo^@X{kt%!9lBd^J_P4cGO!g?HgDcMcbPGjMo=hW0}g_)AbM+JTaw=;u3@*uW=9@7@^-DGmiRktu*3PD{cI7$KGi z!ec9i=QMJ(OI!(|m;>eN_B4^ZOkfD;re)N~tQ(U?6OOb&_m1H=U!;6FPVo}C8yfYW zsJAYGm`T#mSGe8@d|7u1e9)cQvVoaxdBzj=9Dozt_DTJIHnCQW)JgdE>cy zaYi;DGc;w-LOK~eD5(!`;U3~=f)ahLhM&Xt3^U7N$C%;JWoc?78ZI)So0Uf#9ke*B zvEnKPO0530dZ`aYO$!=HjQ}9JLcUJ6#WtBSP$(i+&lQ_-I@9>M-Ld>QdnaY!ZVU<@ zxD9Llt2@#$gK*5S0RyyPM;kh3V5XZ@+@}6MkvqOQVg7rDe9Yh_d*E7$9OYnUvS(ZA zx$I5G&@QE*zX3_B+oV3E4_nLGmf_|%7a1|ZmhDEH$uisx&_dSQdAs>1E26i(#?`;_ zE+>EfcXM6~Of?j0Pex|vfWwVT>PxU<=*0HzJd4C{YBVN$2=)*jH7H9u#tWl)XEP$DI$6ung;QEPgFs$ z^*={n2#3(k-b80lh;7Y^4;WV-zP`q;rnkmcq&|L+Hz9{bZc1ZOr}B1WDHu6sU>e1R zRCtr%jykg1=8e7rV^0xW|9tb|t2l=m9F7?VWGyx-KTe{cN27{5#`rf29YX=dR*A4O z-U^Hkd|-#L6kK!I-lQgwz|jo&H^ZfsT}+E%LY7<{JZA%p&P8SoX4YYVXOoTxqhoDZ z4wX;<)@S_j0b>f}wTxChQf`q1P{}myK&Ze1Ug_*Wgc7C65ST$dcbsLI&rT;MfyVxiT985M?mnJW9L|UUEwycf7WeiN!I)6F3UXuQObQ zZc>pq&?)pqw#WWB30E=y*L>MeDaQ<$&&CF)56r44Sw4i}$m}J|MGB6Oj!d;>H^wki zrJ%n7DNrwUgt@*E%v32Ta-XwhX-!*yK|aA2|HZgSKkzU@4_q(utxHWdKg&6&5|A82 zz1ay>-h6qs?`rcf)^PCaiuTPWvT!vP&2r7bAV!k8JU(LfdxKDa_uN32>K1Qai@iyo zLbta-`A_Gib6(1XX9qy*n&%|9QLgNI!Xpvz4Rj#iS;l%8%uxy=HytENP$r+rnSD)%CHPmi5M0HdmZXHsNzq`; zCU2D!2Gz}@NS1=I1x%!DS%Kb|K|mAh3|%HDqPI945-YAOJt|hGu~dPM@-q@j@ft~G z00Jd)xP}Zw2c#?)j8)4N43GKDx4BlXtICwhsnH22iUbI0^>TDBah+kXIdg4?SSli< zDPfQW@8u0YdtbOy4iO%XVZ;V5>o@nyI{yZOe>gmhXc#OsqD8nI9T~0NJt2%V-ZE4U zYh0TxV}`*dbfc+!O16QKdq#`PDk-frfcIO>K8$>`MwI zIVHeS_q@X?VPemFHij@bCCs~-q%WO;6wAw9SK}$&?*MQLj$WYt8088Jpc#MJu5@(GIU+qN} zNK5(p;~UaL!Gt@vv3Hv}=DK_{jUIMy03W9zhK?u1WZSRF$>9&cm;IEq+L}XH7SWYA zFHfrIw4I3;VWQVR?@n?Z}r#pVw-q(WU4bDWDs%m@cenwW}Z36K<}397`x zXIzt8N2EqS+@UjMKnEd!;S?tC=(t54?z7SfjdcM_Ub>BXYfKkf+tU#A;&q*)wl~^hv`v#_KCR=TtlZ;J?jMN7E$~+a4bq|1wqT5FNPDZ@kqG25mT!^uQ~Ft zr!q2EiqS*UJ36Q)qoW9cy9<@wX@^Ug!BRj(D4H89w3}AO#F0$Sr1df2PaO%O%fGqP zG20@EZ`vK^pM6&1VN_(=8k0o*R4&?3O9oUlt$*>)x z{pPGc!=;E6s(fUbLXH5r>_!hWRSF6f_t5I44uXzEdr&ERDZsRh7%^eM*wF!6nCYZZ z09o!F-Ppq}erolReXGG_f-%4&PRV%`gqxopH@gZMo7wN@-Y{+bz96!nS;EX@c2?5W=8|GSn)=~ zga*RqM(5B&V=LNWg{EKR|&f37f>T64H1?A}^qjx>dR|P?lQ01Pc^KY#_&L z=;%!I736cD6>jexbW0_%B`AD3H3v7s=h*2M?!ub~cRx7|uG$X6RBR{b5?O7hJ^frA z853#t$Y)zaVq@8jYmJH|SC!4Xk_rr%d~Vzv-8m>7F=m zuhR_vMIzci`RN~8R+CvyXoD82O_Ljb9d&|$G7y2$DiQ0Q90LrUQOZej1k{3S@a1k4 z$#_r&D#sg?B?oN#6L%7wpgi4a^i;~yRk8}<99&(B#yd5ZV-r!*e0A9Jn_3Ip!Hx}a zNhlX>#uYtS~)bCzF$a1H^$NiWg4dI=ZHC$eH zM+a?Bvnj4ppv3A=tC#vf)XpMDf&&4FOK4^zrQrS9A}u0}uZeWb@XoNg@JxWO$uH>~ zKMiEzq%tW-|Ewj_z;=v?OsJOlYnOvyjP5?h46eu@}iplibozzZWZ~-d7O8v9 z;bFL_FHQquFoT7L>VHjS@xwcl#;tD_#b=+=_1UMq^mmAOmVg_b4{XP9+PV}K;Vt|K zbIbd7VETHr)(k$jIw6}A)HdMgnkB6ZO(thBOIn{YAGr0F;x;hit5r6~>ldoneJdEp zRn)MBd#R27vbOVdbLq1d$hM|r{q#Tw>iW&B@4mSD&=R-swLn@z6SHv$4#S1czlT=b zNF4+34l&j+R8|e7!PVwaX0jik8<^;{iO7oGPpeH9?v8T#|!tz|eMki+O z8&IiK8eTIhCj$`_$)+r~f&c8YiFF1yoC>lPDyktaH5@PLi-19vybw49p{!s``TRq> z{5=1>hH!|{#phJuWF)oavUaDwbQ71$C?Cm-Gxt;Ffmz(vhr$A@lh8A(#8O9z#~307 z60^*G`aGDmlLz0O?@VS?ayPAC!P)UifZVSuentvIfij>D(@y70sO_U-LVR?~A8?gD z%OW2}B^e5<`{OWp6ZerB{ts88^QZ1klA5N;qU$)plmR88wTynqnVOiKXez}u8bCvd z)t^=`^+BjLvK_2Itokv-(A=E@`V~Fm*%~ulFc7z zi{R)So~btS09O^^{S63#`cOxhOC!wNx(=}eqS9a^p_$ckBW5Stap-}~aC#mNo(`AK z+iYu>XmdvuN5yQm?*H!GhrL{k9RyAMKH09nxUgye+0bp`8aQI;WlYN3?)IHPdI}!~ZGh|47 zc^K9uS~UhN;#fmPKq^j#a#lS$%rZ1zS6ZdgSclctmWSfykr&EK1YKK+#`7uh4%N>g zVtDC`QCs+(;Y@_u6hzY!hK6_F*7A~bd+yJn;v~_V4ivm9XwcXZRm?nH*iXb)i0YfVk98|tRgY#8&nzahka++3L5F#+UrgV)0Bf^y{)IJQU9D-yt7;!d$F-x_+ zImt?e(Hc~ordySCMcKg&yGmqV0zS~P8bvA_Y2C^Qy9GZ;Dbf8mKbwoh3jw>m)xhS) zIyqWu*Uq3b$lcXMgn8(5ZNx(6L9K_#8V-AKcR z+)@0~lzZGFoIEOqT~&0?p?gSb=k@BB^S9z5Po6|d&}pg z6}}6nFnksrR6U5E;~UX~O)Zfwx{)%PE-_r*ERGHum&#RKr9g?*pH?sRfv99#3cBR$ zWXtX=@|VImHq6v8cjzo|P7ZxL zNY&E8R+*&G*ZDB~v1cTG?h4j zqae~jy<~N9NM7W=hnDn)3}Xvg!@*EUdM%qXK@ZRu2l^Y_|FyXjRTZyYi1xu|K$=Pi{dCNjf4HABf%5mn>Xz;5u1zGW+rI zz@~4IsrC0XrExp-^~L)rw%@dmKXhHR09p{q%u7t8yhdjdI6SpFge<}K|k&G3?hc9^mq}wRUgGOxdo?lth0(@ zmM=jCaGZ5*vO?#g4I*>agrgL<^HM{j;o9C2u0Wa*Um^{hZ769!m#VYFBhn!n>kDIO z1wCtYnAu8E88mhnKc&l%jaqF_C#I`q{O*AD1RH@tB`2L-=a)s@9o z9M8)8J1x^D-01=nAcn10Iw8VU1pq5Ri;f68@`pgGcbPJqNBzTYO+Q$O(GH=J=?M3_r4DjQ5V8r*1dR^BB>sy4hZ8_ z+^D@rpxaSk=wHb%J!HYr-)PW;D*rJ}0*$>N1A!N@h3|k)pZy$uZgu&`^@cf>$E1Fa ziJTX0>N~N{@U;u(lG-KnGWJs_5Dhd@9v~rwWGOTT&?=4&Qf0+bTqD7Np~UJ>tA{#q z`=bW=A|t5{0w&GmTTmixr8Vss3J7Z(6SKb~D@M=ToRh;}-WACwCSjdX0GhVCR~A3` z262zMA6yH+@uO>aPdljPNU%?z+1bq&1pREa3SjydSHk*HRD%S1o_Xm;;-1DY$J~P7 zuDbFTXzFh;a{T>qB>2kplpIyApVGHx%43ea^*11C_50MIkF9gIBRLTvx2*sE&mkc0 zDmQ8Fz+^18zeFa}0yXX2pWlVC+`2XxFcMz*Va|o`u;|S%rJe#DJpBI4FcoxzFRp3h zma_#hBSEU6@v3`8{t`nB)V%l(=soa?2$F(6Q*IOnLS~ z9EX#3b*A!UQ?!6FJ9QhTGo#S1{G;n0Kw@7*{oPe5gl2Z}a+RO05%Q2j2woeT`u zrbJOSz6M*OToo^hKZ-+1I2H2aur2@3WY99g?~AHHgZ>B_*QGISSxrg9hTJXPiG&gi zT`q$P6Ds=s8zWVxIoV(VE-a8XS(hZiI&9DcwSeyg*tb-j%xP`+vqKLn&z^P%m0?6s z^4Wj^sLd3hjgqj26Po7k#NNqlZXELwqg1CLtx&p=UDAxwJ}zcjX#_wv7e%oaeZU!g zTj{II1?0)0+!6c&#I7J)=7nw?%3y$wzd|)7fOa-q*qam|%kkH|R&ju|<{|#Mm0}g4 zw0;XF^gp9Ckq)@=EktCL4+%0c;W_I;vm7dPO0|X`Vq;JW5^?iD3_c!-GdQSeN8M75 zaX@OrfE??5lc1lBi*_Ldner8GUZ)k=95jJ;wlA7tp2oEHI32W4(2Izp(48+-yk?={!VNI{;O(#?q4+P&BVgJyHwRIWnUgZv(S7&ljEM0sF*QwQD|ue$F-TF(~WB(w`uo(0e{(#z$EKDl>UuRMTlNo>2$ zt*blq_&kQwU_DihrLl7iKSdK$LKgPZ2Qtl_q(D8X7|?0Vx?#1^2k1xTm|TI4)%7HD zrP%D>js30IL?O_oJbli3nn=^q-k$=kKuF|jeBfi#$*;-cTw~nA2di?i^HI3rj0iSW zd|{kjkY|5ju2g*Qs1b9_R0`_AS|R3K`fP9UU3Lr}3W2!R6n+{5wIkkWU~~XW`s2vm z!+z)}OTHSRg**#DnHNH5`AND5r2=ui5WjVExm>}wMBz}Od zR^9?gcffGNhDoX8vxcaa_4^G)+^;hzV*9}c0>LP4^poWfpEW!H=9s^5C==$Bn8R{l ziqVh6nH1&2SM^ppW5Qh!>#)H_g{Ovhs8=pfrNpqYHgFP8SVvYl}m4Msdr%kp;f9hiGQIwpGA zB}g?Il4Js(%T64TF@0bsifw|;6?1#>RrI6y#)sz$bg>0JUr$K)p^H>D*QDN}=cxsW zYR$z+Za7DqI5tLFPrMd~irt3pniZ{e=!n{-^e*aDP$}rrWFZh~^-@Qe>lcB1mINR+ zjJWJ)I68W=kVZIr6*ixGc>-+6elu)xe1rHUVDoB;?XIyTS${P?&-oN=lH7Y)!=8RM z#te>)JRoNar_t}#@I7;~`<4dSzK3JW&?KCVt!8^={fTS%oe7$@FT6OCm;N+Upd6)S zy!%YLvENY=V!PT>RM$d&$KXlkGz}SbzixN1{OC*3R2;d%#-G5NnTrAy{bi=hPg3ef z<6W1*CVVlpD&V%bUSB;Cp@Takvxg&$LUu_!-r{)SWlZc+)6sdRL3t~a8Pm6`0#VEh&KIN2u+{zS>N&SGBoAta2(&|`Heg_DwJ z7}ITuW3y2jsLyWb4N2qu`4t_gXduuCuqc)S{(eq!7_}h7uDKBB=)o*Q+cl>iy(O{; zM}SK)cj32XaOAjwaYJKEJ7hpg8#FqYgbGnyLOYczcMoTXhG!40p+94#$P-d@u+1|} zQvG2Qaw$`xYpMn4HUI?@PZ+R>0PLB|G(Hxux}3Urz@L~4i2aOG?n z2Aou1Mi1H6mw>ewd>@CWiOY{as8Zt%ty~U0NgZQSiA9v2kdQ zMO*C7E$QX@YUL_Tq*gJ(h$F%>gX7Ow1#$_j#Y)xUFZTIY{YX(tBhc!n4p{1*cQ_?X zp7&e~V_?G~w=1rLiR+}P^pq|(L}VjwxKO46m=naG#jEtRp)W;a)iKPYG(<^*{asS? zX+cdLJSpwo28~hV=;#P|8wH%~B4U49%H$pSp&&UbCsh1f*7sl1j52x{G@yp9uj4=| za|aWM!e5;d&V+KU(=!PSJ1c<4@Db1eQjA|uN9#f1$7Ll!Glc;;T9jM4Mu6P`@G5gc zwgAUl_On`)Czd^Ey{ZUQPUb!W1v9`sIh+J66f17g3h=O_z+opb**3A-pcH6dgfsr= z0NJx2m{AW#EsV*UbMO|SK5EGAra&ezGYSJ@3pctU&f~&3aE}1&YX!{X!mux@R^V8} zs9G^*fb%p46rOUxe0XH4GwDf_)$ueun_7iuESYOA1_KJ`?79LhbPiMw1oP=dUQpIT>(ChXs%~oGPO4| z!h9;OQlP{FAD=;^hddk|%(Br@guvYo)k&BBn4~|Xh>(wy#@a(Ga#=Dm;dTt8f96;a zxr4!a6-<-?BOsBF;uV6U{k3rdB^gtzB0qLXBpdH>NDoz#dXYD{3wLBPyvcKm6u9WdgL2>WL+J3AfZ*8GILQ7>7dX4<9n!#djF!~@9v!52 zo|7JM2;G0rrPBjT4w!_kRzXw!coW9pg2z{4gec z&uCy~3!njdK(i2R$8dC-$VVq-?8y)d4GnKIh7JRh{S~P4Xxs`l2-58s^>>OcUcDH| zGu6RK-P0>#YnV@u!Wq)C{LJg*Ly2r4>o;1w5 z0KcPzNj1JJM}ws(iG4>hQ8OY6aB>dmaOBi!T8VsiG-cgRYdO zTf@c7SnLy{3+o|m-@9w1`k3S1bcUMb-x?BLiJil4F-_*%RmY(}>3jr>#~BJeWxsDe96}2dz-{o2Z&EE=?bSCq5L+6UDQV1Oxra~1Yr${Pw*hI= zU<0}4&ibv!>T3(~-1ImXkIs1R>N3_@8<@GO@b;GSmSOL><}d5B{uGRqt$bW1U2 zAWHLx6uG{e;U(ZEvB{&q5#bPfxsYQ9oVBAOpWQbiY0#R%nlnxbb$_#nZGLgmh^yE) z{`auGO-rR2V~g0gKqDP9q$PrP%4@j1SsWc%Mnvf;14^X+w0fxzL?zo2kRFywwJNd6 zmhV2JA0><3S1|QuKERlvv5L5Grn$b{{D)PQIc%jU1?S+`(DB))G!Ec(>$hWEzTdfx z|I)#>$Quae^62DsDj$JRt<8P*`;iOugaotAgOiiVm3Jmfi~q~HQBe6qliDlWQaC!h zl+H{Q)JqCH$bh8POC4dZU&PjRO5*ef$fw3A%IBz-&Mf%hC}I$SE!+!&xlQO~6~cs< zO8olu>)|Z{ufJO6EVv=u_w`q(a0_>>_V+<(Cw@!psaK=TA&HzVoJK$8Y0hHIaEnu! zZNLP7d#g;tit@$py7;l+kMRRSa~lh_<^{6(BfHCIn6yt3(DtRlx-hBd0=(^WAGUC3 zGe52I89DM{mlfqae&Ewd4fAhvD3ZZ57iU|zlglCFT^IuXn_=5AT1y-=tfsY8{;lB1 zAi$v;@NQY5>l|!kJuj}UT`MQ(J==jLwF4}wqmu)eJKPaE+!=~0t=de>dG@xUB?p%o zq#;oZD{SH^>_uAO%J9cRxqkIR{!oXQq5*Zj9ue=%hP=mVo!RgzW56adx=07Lg;ih+ z*=FE)$%pemK8Jx5-($Mj;_nmcKHf1mA^hhXNogW ze4q~_+Sv)}vxQ0JEPLtdPZd7C%}0WR3F9Zxuv9QeQ@_rTr@>xqc0~umMw8a_(10nt zHE>|h@(BdTc&9Bm`BlVb^(lKG;CY1F^GJI*=}g(V6-37GZ13TZhKJNd7Xw(Mnkq$?$*|Tw!auNJfItq zeq2TF#8n1hwjexupT+W<@}YFhP)D28!pVH{>LDd~?I|$8n8CRk-_q%U00^3s*>Fb? zHvbBt-vW*HIz##@CrQI4RDq*|R-?rf*JuDqB^I8(o*6v_tzPPg?T<>f?AZ+k%GD)b zPOHge1zWfg5$5X*&HLXiwZ@Yu+Lf1QWs&HEfGC%}B#b}s2AOZ1xJ)@_K+=mPn)8 z+}D0q9@P^SmGN2GxYhPx(G$OW^7U(@L7oNqmh2%_}BiIrx*dgWsoBS}*3BzJ$RuDGk?`PHPUqZ^cI=-+sR|u_uhPiZ^gr zUw!ZoZ;;BH15NNfL~Pgka@d!|3mwRE`y8OFa)3^|%?Kr~))QIxjop*47v)D2DGF}x|vWqln64u*`01IFzQiFb`>$4hefF+qw&o|QOTK;cjA z#HAsEa%Y)CXv@c7%z(guLJ*|tV2*VTFMrJR#G~H~se+Q|aeS)V8aG3oz&imY8p_0w z3(sMNVFU5zd$%=W9)!^#MM#Ux;|g#^@oLb-a~q*382xCrwa_p2S%eMCe-F8dyj0>1 z%^u5#Q6gOrR&M~9)Tnwi8z-{nDD7NsVkMvI9M-BU$LrT;ySFV`KxgUxYp`VcGthr^ z%KvJN3td6PlcVps!En9M^xFvzTFL2o`xichBC;FCiZO$%0&xkk7nB%3JK(9tHhc8G zq^cJu)AC(K(7SNsUQK}G%|lNHTg#{_Kdy)j9+z9j!trW_Dw>mEZ8DDRB9Qpx(k@Ih zF}a_6!iYRAF2*3zcXW_4Q!1`fpv3A=i?zWRT~LR+KWa~gn5-XU@wEOBu ztquXq2qW?_gG@CM)Z7`p>~G_^%J`8G>~{&q45FW@M3dimk&~CMUW$R=m+=FxNLy%b zBO_Gk>+={h9KoTWEy}ij4f?elcaL3}#PRJ;7C-U2CHZ~Ksm#98kkaAG?pdZh^)do(3K5xUM@JXX(yW0r zyRJsaS4q8zBbi#)+9*mV74NfqQYoGbvP-pJk^~KvL4>)yM*LW6 zqC9ZG({bYlFhgh(j_?pX%%(HW0@239faSocBFP4({}y0AUsxVTc)(h7JL5_JbOHBl z1hG8PLhdL+7|{9ty$Bl1l@_=f`Zx~;u4J)+_NJypr6Exi=10Zs8ak<(%43SBl5a8& zQ<#I(0;r!Sh64Bn#Cnyk(-z!GNTwl>qu~iq`q0(KcYgj4Cm5kX)`ur)$AWfJ#Vu<3 zFq+T&tEi1K#D&G3F+?Xfr8r5k;EeGfq=0030Z9?g?)yTTJ>|j=C;i-f$^6z}Ws@4z zl|vID;&R{6=PFQV(L2^?A(WdPhR=FG2H*FlpZka?rVY(gfSI=gN>=eLte$N_a4DT0 zrp9m*b#wsMa3y|klK$(P99GbRr4v86?&QT(rLASCPhJyJJ1%_a-3(;BaY|(lhE}i17;Ib0|2(BKMwFw*g73 zPskut7>s!t4hD)u#8Y#&+%smm9#Xr2$Q&$!?-@*H|63rs7wY-y8zsU5#poUih)eBJ z6>GqN%Q!d5AwX(huZVs7OwHy3N=(F)|TU?32EKDW8uPYT#O~`$M;H0E^@Mf z{zC(=s?|SQ;5%#Ez`U}zi5&AW9I~IW$}{YU-M8W@BkXEbIW~u-Adn4 zPE12gt!f+9(#p^g(vE}6avvNkaJS;m;vIp%&2&o=q^m;x%Rwbabh+cXJ-3NWNg=F5 z;U$u6Ms{Uu_b%9JB0&Eb|94G}elLQFhxk}1#~MX%W)EI2-^QuXBVmCU4wYyU8h`8Y z^RV`L5Ho$4MsW>%HY&kBe8vldq>!GGPqM}UhC4ct);EAk&nPe;D3QR$7fGY1pw&wq zvHelWb|fGvCe4jwh6N=y0w!`MT=AWDn8wZ{{5r$;PieUPKf9|Mf-PEqi)qb~0GiP) z;1J)nA^KB5r@-?136;Q03epxN3JYnS3c7Bq4G*Bx94Y5d~w0z~Na-9>=d4*T%Vx68glIYmUxU z-nt^r(>TxvAClk~ele&X`~M`eAQHU>&7%`+Aj6mett5WNisGI|z^2=0Y#U`Ne#WYX zCc&->qw2!<)bdy8a6Yq`QuP==F~zb)*yF}zcaZziuIHw;vzH*%(w6j{^m4Z^)nj!rR)iAWB#`v;pE>D`+`*^k zYMmil-7X7IG?CHj6{7UhcvV+eYY!~o7~U5Ow7#*=f8fbfP=h#*xpTM*u)+n6q4iop zqvX(B`CyR8FDjK`LLBpHaPxhdHNlu6{U#v~AMm6S>*(o*86yhWa70$#JfLY>%iU+} z6S_s;BNKlUPh%Nfz~$|dMW6^4KVv1XQqee>m7rC`4sk$}hF-q1U9uDpCVhNh3fCEv zdTbS8=4byP>11Nrj{+D`1I~$^Zh+GAT%bg9g%n`A4+bFFs+@vXJD8BQyPj|3C9ZtTgw3CIZ2@Kto0spa+dki2TE`X zn`oQia`Jol7Sp(NlPAbA20XEo4l$cJ6S5dH=*tAF`{m&6*bH-BAd|B}6eNll^sOlU zcLs61`IdPuB`-_O zuT0yOTlm>MQe0l1BfpNkZNAva2YxXOKQ4!mXJ*_CjP=nY&B zm(M*5m(tTkoeC-iU7GBo)k_@&9f?AhUE>`VhoX!VVGwk!Gvvb_>!L*yIHbqVSedN+ zm_#}sxW-rCh?7nq&p&Y*L_P~o#&pRxcg)EFOvhf%zz42g?Ne?tk&PII8s7aYIKJvV z8sD@U>W<0TM(xmlUX#|wD>IZQ1HqWVWht2Q^nx;WH;PK6N9hkic+#`VoZ;8kQaGmch`5D?YVBo#=v$8UC-}lP0*anc; zKmIM#!wVAoA;rcysI1oxOnqiV=*fzi8RbCQg<33?T&eoJP#BY7_9UIArDqhR2b>7r z%jmHx1!$hYZu*#pM5Pa{Do%@Os!`O=@Oa~#s-?9B6A@`{%FQfi6<`d#oV1}9v}%Q2 zQc6Sas!(?TGscDmYKOT$dc#EAQEQ(>94w!|Z5Or1NP8+`@F$C>!zt2@3{+G|P&(rd(%$6*zd0akTw9 zL`>K#(Rl=e)Y%7H^=j=b(zxtY;T*d(=Z+`Yjs_lDPFTj6p_pkzLUTTN)Gcc9xZEZi zAbhxvt@u6}zhR$&Q7lvpE5w7_DtsDz3h!tzyTQ7>&)tVHgV-W6`WKj4sI@@<9&eY? zlsRTVCDWy1%z)EZ5jKs$k$^3-%X4V^CYR@;SSMkLgKM_;)2NB~?DSpM47K`!NU~&Y~a#25x4#|%_LJzrx~MZ#r69|FA6lK%ou>|hjzs&i zWyB~jSe8on28Vzz<;G$K)=hlohI`%t793K^5O5-c0|rAtK}xwSO65FCRHsv%You_C;1a@Yz9AkOn}c!Ws-13a9taSYi5%(nokWI+(YSz@M=q zVil`0N^Gw$w&74qJEYKD8bNb+7%oJrtaM;!6mYAU21!wzgN@qTlUn4(os>s#Sp~PV z?Psi%#mxL)u9FgmsEM3_GGL0J{S#fTCnVP#Bk(zB8|rQn9CmNhwL5FC=@u2zS!$V1h!4*Cc@L13ZzHs13wV0rOA= zgzHS&8InbnDTrWCQ9MwTw0TPJ#S4IhfR(>qR2(t+RvQT%j#Iph)|OYNGJQrpuuK%7 zvVkpLcWDHh?~%{|26N%~$lkhnW9{-R_`=L7BnyK{9B5SoIy_7gNfW6@Km+k)qTKD8 zG2uSqCPQ!{G5B<74q=w-t55^-hTR}kpW%`PARKog8#$n$*U(JY9~$tU1>hCllMkwp zkpGMo^S-m9Jn3aEkMjt_Zrn+MB(lDlVFin=VfdYKHE`iJ&L-4uF}9U0z#4HdM;r)4 zij=9eEKGOC1FBgV;fH^bkp#!`mx9^?8XtE%OkucEfaajl5>SB!R)DAc1b5t=IN1kp zt0QSCIvx0@&Z%WsQI~ZhQaQ*ibj2HiA`6f7PK|y;U4U?~<)8r^gBM4_tygYVtC|HwEr%h*?Zlj|fuAScs;;I+#@NiSM8SZC;zz%^-qy#vW5*pkB94s7zwrHH9=rcpC>#ry6K2t zy9BoW_HTC-s64lbG-AL^;&5SwA?;1+pZ%}+Df-`t<=9uIrI=csN38vI`WXY9XC0s* zb_0LLYUVlwyJ8DZ@huxiAe)mh)H{OW$dQ|M_*)PKvyh(dj0X>Jb-6+x26xnFsQfQN`ECtR?vM zq8qtov{{CULjwFrOuEevZa{^-g8Z}3h7W4rwC#k8rTkGJqI^*;quYBdsTPHSF^Oy- z<^=(Jp1HO<=r_DOU?XibhKJ+ArO9Uc$Zt%SomWW^T;O~cN%yKT+Tj5tMQh|mm7DssNK6``*m%@GWU5rVHrM8OJX zxRiB|eG>KeJ~u;HlVgSnQ7*}jE0QJqNDtuf^rRi!vU+(}9q|}1pDY_ zQ-4%U)Vyw4{Fot;#mB(4 zkQ2z>PoeYVNh%V%K0>#s~R%cMi$b9j^Br*8wrOEw)d zTwjgwW4M0I;0m^z84;EZrMr-4Y-+l7Wm8-=s7BetN@0K=LLDT5@20t7TYnNc*ETy zZ@6S-?dNRK*0~+yKm)%AeOnN}qWc)>-%b%-C&6pdyDE6T5qZBUvb9Mcu^__5U$sWuKG@B~GQbl>3x2ge}` zTCat%>I#nsmp#wChn5oATVoYQIsr`@zPF*h3gZnWfdq?a%{fV)wh+W-%bwJ8s~Cei z!F762`N(YwyM`4?mmPdl6E?6Q;NmMmH7_hV!8HDPySp-!n`Mv+9OjT<{)W=lfJ0g8 z2%ESZ9muHSp$dFgV~Et8e~h>xq2u``aTV&6TQY2gS>i^XG`FWAz$j3MI%Dk7jyY1- zhA#jhCqStp#6x=km{UP82YK5Dxh}Si;7CFp+24Z8)s-oJiH~hTT2aP^gRwgj!wlEs z16mxR2cxbr0!EyJ)g9fV;c=MI&nP+Kg5^ToLTcal^`!$dx84BbjL!f}pC5qi+YUvE zLg^A_#SLLWJGk_BqE2Is=v=gSTPKfOQ6wUHcuv zM*znK!Tw-l@rU6e#f9pHHD zK-JZpEhC0PQ!o_+3?mlgiyR#tv^OhJ%kj4uL)NN_70+R+HI9!IS07e9IH3n3z%Md+nV$YyR zx!0CsG*=H?>^`YH)FgYW;wyi2cnbzY97`NkK7+w~>(}ub{>Mn%m5`;e;6Ms>>*zQN z_uGf0e}SV$);!8b{Sr}eb(g2SFy?y86}}u{=!!IrRrDXTt~(PC0Wi_kJxFtI2a>^^ zw9nhG0XE&aJJKah>4C{Qm((&O?j|yYsGSdQAfs%u8`?i zs;K?QXa`Ufs-AtB2A=+OV-A`b;c(eCUHAy;3(!_AjktmKc5lQjs_+ZMe4U|hG6WhU zB6P?MxVOSz#df5n1jEN^m-ZSG{MvxTdm&*yyGRzN7nD&_rl5X;BX2n<9TBw&n#d>& zTv3zhl1I`TQX^F|M>1*o=cj|47<~C+S4MTLc1=^koCOL3Mj-yMN^fCvC`ab5M3ySx z#dU@z?wIF3fT!`R=lCec&<-^I9OBgx%N=~%0>g6^oD>foiS2yhW;sn^U>V3NJZzwX z;nNqeJm17%Sl$x`?0yafhuB4|Ge{GycOz}yP_4=wFG(9UYq&v9eD=9(13gy=8$7M` zn4f(%*|GbS3&rh#XqZttgcQsK6B;hoS{MpI)iIxns}v})`qS#AJ`goX#UQQW&?R5S zHZi6tvVcq=0w0vUuWn;FM*9nBmfZ~%EOK31u3)%eR%Q0xm6pVZ0ORMFV}(Bk*V z2|b1*>6d;BJr*-B5)p?%{7O^59+Nygk$v`g)kjJ3%239T&@pkI1vrh~OdgRRe}$8k zFRtqKt5-<;M(m6^WY~jn$D~D3O_JZ1IjKFk)9V@HQsvJXENup#Go6wI@H1AxG-5N; zy`Tun4T_gw)JR%;Z{?BnBL4{eeW2Exq$h+-X2;RJVncp$Q1u8&eHX0jQsn-;(>UC3 z4sMY!aK-{Le&1v`jTOA}8~e;87+Z?vPMeb3!iNg@+7%Qpn)=GMIGgP7$z*s{}YUB|i%tYGqmQvoEt_-S)c+U8RGdeUyuv0JQa8fRuvP z9}3qXPz&cVU|cFJ*$96gLx%M1+%%<^l}VV6GCMjLmsy+M(V#@>Pm2;6qYLVA_eb5D z8K&wBUGjC(@@jV?iezkkSQ;Bf54a~y8}s{`#+YOTB$c0fwd(BGLOuLQ7|K1|Jyo#% z8hVDW--9pLj*bT#_ePFcEA&;!gLQ^@<{hFBeszajS&5y@wyxJjpz3FSbj=duCT7Fc zPw52_Mw9$VaKIoUpKVF$@wqKzFG2>Oav(IiVj0L}mR0HOyz|G>L~)TVnK~kNa@X@~ zc*7E76c`YWtp&*@HkXC>ze+Y8Q)Fz>;dR!m{Zz`vWiKHkMxloHo*P9^{5s}Q-x!9A z=N)qL#@`8W!Yy793s*w2N3b?JwttC9whIj6YzG*LjJA8ntJfg`uU;?&QE6RPok8<} zurfd^3ywF`53CB-WEO_oY=jY91o*N z1!v7H>|DXo^r2WbJJONt^l@&JNqaKHN|Du-tdjPiYzg3LCa|RoM17TNM=PeSSzLn4 zB;8V>?KtmpC>?5Wq7DZ8V~RdPyE^JVk9`{6x=Qah%9mBLd3^d=8n7TRWsc~n z4U2>n(&)>>QO3I<#=iNwA_(tqMUNIuBaAtQ111xPq_7E-ZcT;I=K$_Gq|K6Ac@jir z?j0_?Cc9f5_|v^w(E2p6lzv>kTw_96m7Y3pL&1FGh&zB)f}s9Rb7Er+149Q66eYiV zr@z&JoDjgm#8Y#X?3qf~~F4L}+c-iZ!v zltJ?qC`L2lRY?NfsjPk}^!p*yi?8kQC`z0h?5kmP#d4I~vATfPXz?br|NLBZMV<&E z!|9S2!jfnV09|o(1h|NzxJH5jLy6U&RxkCfs9BeD6Kstl3X@c!GTfeYxVc!Q^F zlD^w6#25+v4G1y1f5yrf0&u)hhC+5m&|nVNNa(dJXgSiVGi?WxxSI(Mg+f{-(kRel zn-y6n7V_3;ey1{7E7(rW%XE$BBmQTcK6&RmJwMA(#chD+cg_`k0^d~WuJJGkFO{jk zdR-`Ay8nD>uD?7`2VD0croK~Isl2lrsG-~v*gBVH7W?H&fGu)Uix^NfX#P-V3dO+u z*my8$ckRXxpX|)co?)!qjQ-GT7Uz?XEuz#JD(llQ{-L`;>U`9Qw`Wt5!F_W`;5x~k z7H*ccQm}QP)J{}uJG)&j^}3Tfg4}6N1pS$IiG?w(ju`ANO5^jX-W+?MjA5WaFkI}P zdRWI=11hX7Bic6uEFNuWGiZms5U%brHADg++Hj#afx%2tK@OMVVh282CKEITBcUZy z(+)kcPXtJi-eop1471vG*FN!<1_DXtcs?RCp?9AqH%B3$VU95XNraTCu;HU`f7Ete-YgrPBjHsm#}3epxO5@Ca&bvJ$w%r}#ZL?M&5 zT0Z2*40v~Zx2c<7IGm~=vxpBw=b1?i)W{@aL~`Ge za$iUR7uai)a{mYK?_km{P|ZYYzlN``{`q8>Jb6%PC}WyT4UTz>p4eBxj<9e^!Z%C( zf!B>o>$a;z9)1LE*g^DXcl{WXl*=$_p9-K!2+T(*FkrbJ%*@I@TygIzq4-eTV&~(^ z+-9&7srB+sitSW$^2TF03`q`RiRl1-FRvZrUG#4RALBOqAHlHUIE;2%MaFp=x*XW$ zqKn^#AFw`QgM+S@ne zwg;zpKn%q#S@rwFV6lX84P5-jXMvtm18K*5Yeu&4_W3<24UvErRH|^%9LOhnL%88W zmm~%HeeNYxI00UVsdL~Rn!S4kv97=W|!Jeiv-KdfC@{Lvl^QJKYMQj9m#c_2i~eKU#;2RtYv%M6zLq=p}k|TV$*AT7fKW@t)n;-C(d#eO4{#xRbA*tH@XVL z;c$lZ2UOLo_wKv*y?ft#_5P|lZS_i+5$dz>F(_k-`q~WLg~{n7nB=bgcp{Jea-%c6 zDGyxYYg^o^!vECON)DrqCD>pw#J;m#c-}mM3VCSHMl@Q7sWN)eI*tz7k&zWgDJZG> z^XlQw8rq)}V#_=onwq6=u2ZZnGYwf5nkvc}Pkx}NX2_mjXQ=*C&>UjiQrI(x{qHF2 zN^0=xP|HKZqI-r_u7f&PzLT=d_4WJcGFMw8!SWdP?tf#ibJs}}+pbD14`5D19|5a} z(O)|HHX~0D$8(p`DX&X&q$osx19qpKOuWyAtx-6TF#a=uVoL#p&RBFdi;Xqo1$OD| zLPy>-_~2C%Aa1>!kgxG`cmRYfj6J&w&ezX4Eb-Gg)Wm=Se=GX{!0v>{&SWWlNqsOc#LUyDB$6s`4 zFp#!z-3_quNzAl59Ntv1SjrgfS~o2z`r#NnX)7&PM@YeZ>0wWZ|Bu z|7k7E2;1ocFN!s00be7*&4!T8>9Nv6awYh@1&COsTG;n=?5u`%6(nV1k)*U!nyZ0t zkN72Pre_kVYH|!S0bNWP($K)o3W-x7{?;0#*b+Fmf5u8^^0qag1*)YG?VqutJ#|>K zrh`$v4;mu54%*3;o(I4|mDW&MjI>E3&LC+1vxls~d0_>f z6(KKd77DmqLHHR7?k~ilP;LXB2^;^uSnlv0To7HSQGFeVSj(Jepge;Btrb8<2@b;{ ztSycXQWpY`D^EyaO6o3NnUCAx4p#@MZ(#WV%)a1327^#X^ayesiI~2OSN@Y&%mQ-a zg)ndVqccP|CBh%Vi%D7`sf&t4DPOgze-+g21R%u$Im zI65WHx=fdffTIql4k+IRy+=_I3`!0&WfWHlvMqB^>W{W)FEs9F98x}>0{lO zkV2SWU&URf^(;M{hcgDm)dxZGXFt=kU<}_rc;#IBJWxg_FP}Is_Ag$G-?_&-Sk+}` zmb}6L5=^eI`!B;P+pjC$!$Oot|AC4-6=<}pVsp37;xj;)S{=r2;^62T$TEfFg;~#; z^yrclh3IdKtn;YrB(=R3uw>IOEpOkTMf_UuzxYC!IDC?N|NJD=>FTHX6U zg626iwaS!x4SGlE6YbXpmZ7$ex&r32J58_eQ}j*B!giq0%=Dp-N4{K{{=roYSmhn~ z(~YKOgZQJ^UN(kb)X<-a%=U*Pa;OsElQo(2!>_{lddY2&zua7w)s!;%rg8nt>?yHJ zFB@mDT7##FZuoguGAqdlo!VbrIG;u!)e> z!z(R|OOiI0;80(vUHaC~rnqgo?rYM*!jQK8jE=kx9u@~_nhL}$>NH)jNsgm*(F29w z;WFI9X(NSr#izm9?H3!J8hgRh;&KV0>(o{5y_I7^TTq>kGPIc{W8`(&V^;O=+oF~b zA*>hZl#Jtk)%zsi7CZdFmS>{g?)7wzAx zUd7Ov?*XK-^+-+S+fg8ghNiK0=4><5p@Wlhv_;>$ZjsT|(IDL&xfnY7$uc%lMu)tC z!QJxai_VU|7KJk5ilY>iR7@|GV>l$j;pph~!AQP8DaDoo2rW-v#;aybZzfj3rj`Y8 z%pe#|p(Jw5fVjiB6e9V#@6u@X{bEW|@KQ$Q(^gvVKo9C`Z;s@|pCTbazfZ;dr&c;< zIJi&6MLWWK_}VAN4B!HU33mq2mBR5t?wd0u#t^2x6iDbu*~6=k&sL-`n36{!NNAv< zZu4Cit}6=&bx=`Tx>I<_uioNIg?ICQAWr>GWwP;C7kMS5LfQqYHTIK<)eqq9+i$%& zkmDa?o*@dnkshxGqt_~)39Wt0Ix{+HlTYKd&(^ypu-F6bJ8s7q-vb(g={~n$Ws~Cx z+nM%}@~F83-d;htDh~O)yw7LZcvFlTejeH0%xO5U+0F=S`0?OmXBanrBC<=rd`-yV z1EBt#pT?fVq~9W5KS5{p+Cvq^b_{t~9+`9F>2?f3qxO=8Yv&9`vbH58qQ?S(v}OEaGiH>4}x=24e|0vBd<`NdI4t#jd}w? z;}n#)DY+zW6yQstfcbMetqsDo`157-q#cwJ^gmll-#_q-`r4V|)-S~tcOftAjDI4@*Yr|c_h5l4h&y*GU3eXkFpjvp~vI!CHX*4&PR&kErF#ESb zseC8|E{(79(m<;x8`%>x_h(^Hx1BMA$cNGNtXJZD5j9%Due^Bp8d1X*Zd=C+>_?z! zx13;Qvl~_05r!?kHxgZKutd18bw?$V#k6qvE!-G0Y{a2IIUBvm%+W#Hv1}=hVt|K| zsz0w@?iuMWTn$IJSb7Wu)76!}{$kTH!*Zweq&;S^fdUvvA&n~=^0|+><^tT~3s)?8 zu?t=;&0&m4zSkwmz0Ov#6wL(r0LJy{c@{0u9Bv-ir&9lPC1_ka99(kiY!E6u<+E=H zIA#c#55n=p8!$2DO;4uiIS`cA-+<)R<}S(`@6#dV|f0Ss*7_~f@kj0V9d&s$q;IEgU>*BM4XhZ()6-|Zk!3E&(*Tz1yoThimcG&N|Yho>2`KOo!JcSYU_#VfH&$$HVqcp6Cl8PeKpK&rAvNAmU=m#3qDz$EKW+BP)SN1u#|eV&B2>?fcX%doZ{q_)JfJ3?Q;zu zfDMPZjj;zY+95Xl)p;0sQ8$IV1P=`FheE$bm*U;q_adSA+^{mW=yr+U{OYOB$cgzq z12FO^RuCSIa~Yvy3~!V6v(6_aOOFo#fs%?yX3|=c*&_Aqe}-;BAI;+Iot}z9)Vl;f zoQI#=PAG!XHfUgM+$*$f4Di{3!q)<>T5w9yArzN*4eOz#IQLL&f{ipAHBcVrNw<@2 z^ew5yT9=A5fy2h#U2)?u?r#=ZfVC_ON-tGRU7%5N{%*9QEcX+hW+qhD-Dt52yObWy zr(6f02WE~H@Qk9f;BA}njE~_8<827>TP=F%Qx2UO-^?=);}_eKCiZS99_9gTBhxHy zhfvvY0eE)?u#x0`%nA$bHdEm?gqUu)vziWOo*+w;CtwQbse&;>1L`R*86Y3!m|^Ov z^s}^Ui0)Tvcm*SsgXSiq__FF)+`3`>t*LY$n4dIw10Kio_$jOSilo*u2)>gYypQ5| z1RT;aLkL&eI5Aa*!<)s?!Gtp-ilY>iRFLCyXuP3v9UZ;g;n|;*VoM=QpHotI@(MGt zodIef3K-BHGtga(#+8vg{ZlSbXoou+H`KBu*Wk@^JcLg)&m1Z448ZJK8h~{M#`Vut z5)Q-(!y7rEV82rxN!tag^&fALp#B|vAn&51p*_36uqDGOrW%@Kf#01f`1P!o9uLFX zU7wNBD#BNS3)wwFY96^!>~(wKI&&~~AD$-LE_)caXFZJznW)C&p5}pP!eK|Dv%aKsle$hk!T5=<#@{Key(*LYm>1zG#yC zCDJfAj2C$hCNk}OBcb&s3WnW!hJHrO3-tvrbDfO~aaq% zKa7`AYIc=c<_K?t!>X9zMzx#wb+Jt%a*21MB#g)q-aAzEnH;MqNJruDRgA0=(1EtB z4zCx&*{PMy{8^mVaM-reGP{`#vdXvnx&d{h8J+-r3pa+N7}(h2a!ZnL4vHnyxdu4uQg{K4%y7*)$ z_j`BI2_@GzAfb9yr%yQloOT$5%^Mm4hi3zbMAd6|YD2MI2_wnhL3>n?SdZ5xk0fyE z+^j{X*yy4q&s(!;(!%C-w}j_1j`nlN8;*f(5AQBKZA2?19YkLS#4!v`4!2Ias#pGxAzD!`5?4geGHYH(Os%@GqjSkNNXNNysx;0-wOWeD{$z$0hs?6w9>MjyC7e#Ks`Hc1r@ zozL!yHDv90xI#DGaJbdXi;DMdD#>dNV+J^7LJQSq;EgxbK&Qqe-G-z6qP#-~K=CKn zt|8SNJNwP5n1@Y;fb_ftGgCJ4+C$h@YZ`((mp(Ckx%Zw6S)6bVovY>Srr?sGTWsTX z3=HsUNgYpOUylq^cHXQshJ=eyfA)$0NRf*d4eqQ;whnuBmOl7*guo4BY{2M@F=l8j z{KSUumM&xTKSl8WmCT3sDK-1{g|USn#ymO347&b+*~+&it{msY(Qk8bZK0(C6+YVr zqp}nlV=`Bc4jPqJQE?Q)K%u1S&#RaF7Nl!lESU@h2>cux(q{@v<{tf+%~@chjjk!D zmJEk5Z(L^x{>z)OkD>^eH9h|L?YjJXe=UuZ`)_o?f4>p~=zCrp$ z+H2n$ojLm04;Y!xJ)1nH9?kf@ri9@55IVYE)GbqBy!X3c{Q5G{CTM zs4C6(V5%B$c1^HPW~Vi63lf(8fC+`TDg3N! z*g#c-bPvt0=fi~x>5KC3WM)eDx}AXG)^dJrg^(A@CF^NyoE;3t&q&$_0zWd^P^Es)}ZCDU#!x4 zGu9an220`kn47Y{g>9bsRk_=KXWEeo%ye40FvMlrX-c|<#mUh@c5HSPM=2<&`t$1L z-iI`H@LYu0GJTlt^hFS>5TXVacy&V}@**Du_>ayukv;BLiTvKA|9a6_&A-bDib0tD z2Y7%?y+4tqU^p7R?Q+omf1i`^)^~{f$RFb7omXOS(sv|W`}U4i-0IYm;k3drOf_r= z$h9MVm(qK5S&CzS1NsE@a0f$2rab{PSQ%iVXQSMB1NQK0pcpw&DTJ_-BMPB4t}}f8 zI~L-zg2g!TO|0((|FcY7_~t0^zh|4Q;iYE&6xCG^VCD0^A#Ob1Arf%`tn=k?Tya|HCe*j2f7$k zF>r?&cFU~H;=2m1i?H+bAUaClh&k~p_B%R&-}w`i7x6Mlwk{&7!FBp*=S|y9n_!(G zlx0?rGMGfCh`ceWht3gzpQ1`7p`On`N=1V~+ht^1O-h*25c`cI@*GZ(EH(R?M zRhB;UwkB$a;CJi;G-2aX$Ad=$-?B9s7;q358wPaVLb#bmqeR0x9Oo8tbymux%gPWH z(6G?5#5y_vK9*W^5VQUqs=q+N{yN|^;_&#HS-BUQg??i{8mMy2z=G0%G1usrgIRIx zkyNCwDV}MTgaHE1r6AXt811|n*YGp|v+BTvA=$9Ke4BiI|-1&-DnISZc}pntc-2|Fxc zc{#80rmLM%`eeupsY^W)>oQE6Z1Xi(qy7zbDQcJ3CTY~$6(J66f<<~|^{o_J()YmV zLn)39ZqO$$kt+k^li66FfdWNTxEV2x}l{a(V(^hV)(279Q`Z*oDx6EvyitTabzM?9hK|t6{tL z=*Y_HszuJDpRq!AX^mo_*%<=W91abjYqVr()F;6}habStSZVrFiDk^XN^j;@_R?aa z*q|N8VQ36r+CO7uBJ0RwG4_i%{xTI`kIM8rmPXxyLBxI(`c_~Ynj^yni@U3`@2hz20FCo z!=hHUccO=~$AcS~j-yt=X0s^c*!MJ-(fGYhsD*(;XSOa`&C4(FIPbw)UmDAx%oVMj z(RwO5_!?jP6u~DU%hU!_-MNN+*)GseBi5-lcTBCm-QE&Dv~vG!?B*nTq^XlckC21M$P*6L4@gkGhPpl&q0*nh}#((Aw zQ>!ZX{7kuTl(-;v@>^dI>yJHfV^zX`iTF(4t+Nfe8CLHOzl-`r6QXDzVair|w)yHRp)aAB@SU($09!20QwbZR#4Bg^2WB_9Mc}bne zk?8^B+%$j%DpCXlk5^FU=j?F}YU^ht!=wp|O$cMpZUTJ_6wo?`xC<HPn4A(Y12DtDULX>qU$8d$N;l_51WqhAU;R+n~ zCc)Nx44M3x5UDkq-hJ+$SYTgpM13R})XCa7s77u?OT;ZK1U7$+UUPW5v#1BkGjgz) z2^eqjHyToo{`Vh9eLkX}!b;|Y2KDU*)j<6?9EyXA+Te>2hdb4H8LO1o{96Xme9hLw zx%+J&s3WZE#EHEYLKeeFTD#_)yd#QsU5n2@yWdK;Kz(4ToO$~R_ZdNeF^~y&bTCKc zsW?hON!6bhTL)us&K>Ulq!e2US^C%obqRU#CD>2m;F5q`g#%LSnUG|a8DYST45?J! z^f29pXao(v> zn`H`V1;C_xEJx2mFk_BXZC*9rkXP=byzxGpNb>-n8&Va4ghnEWO&r~sSW|emJBED3Hl_b@XoEQ z?=Zs_ZfuW%*NI_Uv)4Al$!~D#)~;=ef9=ze{AG;760TS&qyGGpwop+HH2_BvH#1WI z#=lO%1d&)^^rd}K_A5=^$P~NDF9}$oF1O4kT89*D!`5H49*hZ z@1{;7Zp+hF)L;DMIL%0N5lTj$fy^0vgB1!8L3V{>_IYs&}5_|*%kNKm1n zN+8uwFl^56#{{oR2n#5q;_>5H5!$_0HgiWgDZXtCZ#%|mM5uPfL0Vr3bSZmKyPqny zo4j9wJe`Y>+#$#CQK^rN!PY@m<)Q_&SPbBZ{DjhlcFJGQ-BX(RqV%DgaT>@AHp{7R zey9!dg4SBy3=>)5Is*dA;GNhe?@Wf}6`+0uTbcfLh}`mTBN z><*R7rl;L>Vv1NcjQ(Wf=wL>eBgIh+@K93q=LPQR06*uxB`L)gg6Zl^UkzgYa>5Fu zZW3bcyfqn6M}s8y;CE6BK_UMU>q7XB{Db=Jt5$~o{T1-}=y8dkgn|F9gtqfyOkquY zHe9gxyxp@qu97HSRKqsmK+jUNp>#5t#9=smrg$BQIfUl9@8Q*@vUU<3%PC0npPusl z{NknplA1W$y5%di;&62F>JZXoz!Y?vDdt-?5uOPad z%KYxTsN5^#eC<=*Z{9dNBttfY*FI&g9>#Oc8?a~ZFNS3J1Rtz5Jd)RUvJ4-u2SFp3 z^m1}2GfTyH7bJS44)j2w)m1bk{la>P>EY_Jtm2JNn{EdOEbeTt#89R9{N}dp7xx=( z?X>kPf$gxZudKeO1FwWMqT_{1?eI%|43{3jSQOgy^G`jYT^?YFMP`|T(c*c;a)BBo zImRDBfcn(WG7joSRth=_gAeZF__Dll=_ACeJy(De6$FfGsd6)p2jnU=K7=i0i%=`B z9yOk$ahw$Yu`dSn68}}X)b(PV2WG0Hgq)eQbr<{so|~oPMNVj|n8gM~vdk*v(8VGz z_?zK6=5WGD4nBAX^dV@#xH@-Qm_4mnJ&`t&+!F*3HW}rig`_T}AfeHjD;nMS9$1$T z9jTgJq!7!1Vg!(prO)NORv8as z{n{6$f*0o>oMi#w!*WwohVJKW35>sbQO0y}Wl3Hs;f4GF!}p^@di0-&=r4w`zJ5&N z*RNkJ_MbiusV0XOO_XfQWr36#Sl56qrISgQ=15Tpl&Zr%?B z2@Mn>#CEMS`1lR-La4*h&YM%ZhhK+Dhi{2G+!SK+Yjb+^)Q)sjq8V3$y(d3jd9VG{ zMRH=&x#{K~;#a}`9It&&sgjq~hJuZ6M4v%jtiw(y%R5<%fHHWWF&pI64V+uOsHUwz#)9X zDp~%aSf&onQQVy4bK>-1rx&d|77N~)f)+AzTTxJ7oq@E3;^>5<`F1#8S33PSyB!+0 z3YLe45Re&d0v{eu?TtXgfn{kd9bsoddq|=1J5xB5I^ABHl;mB-dW-li_#0Xyne8M{ z_*mPcmAPYfWa!C)irMekd6#+Mejp5?v0PwxWK_y)6O~C~h;_URTlo5qpGu^~#pZcF z-=LclT)F^YJe5Lmfo{FZ4OZZ1rIf!j>;a91)SAog-4LE9Rg4*yGLNE5EGNMlEQpSY zUnEv0!k{45DMgXx`U~#ygXDn2*2y(QAW<14 zp|anV>fiOo3YSG1@b=pPa0T`0pmgaQ%=`?o87w3Zb$IU;H=28R2c}P#P;3_~GBPL4 zAvSFf+gIbpwNKm}&j-JOpWp;pvj0|b_h^aqIyR0UfelaW6+_GiL!P^^(oC9y&qQ;c z5GRpuS9~GpA}VvkVie-w)o^%jjt-V3CRTBjf|9B~uP*L$8MpqV6k7@b(wnD`yw(~j zN9H0~{$xGmc55S>x4uUvlW$-i=*SN=1rwpctlT&m{B9h>T?mUF$7b#L|B-kBvgsi+;j6c$zp{K8aT56C8q5H`a_JgZNRxuzTmL88IZYp}}mE>!mQQH=4hYGxMVJ=e%+ z#lhecrTQwBRy9}*j^2Y&K~{}fN~%8Y`av00u_csSr3O@{vF1>id>{85JlVF>)L)Cs z# zsWiIt-UCjC9%5&3_OvzMF{K6cy$E)mWO4@l{VV-wQ4G)Tdin+mw;B(G`Tj{{21d)& ztINn06BzoiuM1d)u%HQlqw=MNgN7QQQiS$}>+5@VJfW}@)^?P>I#3#^X+-jApqQ)I zI7V)`8B3Mn5G27EJ~Pr@7pv08cq)PeLG&P^vp-7niNisO)bkj}3G^7ZA)Gx-6<6oo zFT&~U3bwvEL}6EK>-A8-L@&+8H!;=rp&hEhKgQFcHVqBUE!Qd^POaCMP21C;--_-G z@ij^(as?EBDxC_!@S)=L0sWWGz5H@;#yGF(;pYh+pMI$mp-(_pP1? zpZwAtJ8qu&f)z9cL}q2SNx73RB~bhw*xSCr1#u$Ro;+Em*(hMi2ep7uSyShcserd46wFX z1{9(zeKRD-MqxdUUkqNDG)Z=kc;4>R&?l!4D@FSO)D3!Rkk*K zVlH8S9xmKu?NKGoqW?;v1PCmJJuKVHo)ldr-gF>+F1sM#HJi2}9TYDor}@mXQQ*Of z#j4_X18^Yxq&G__&W*}&6E~%5pJM?Zk8R%JYC~b2yS6<7Jcw8LxQ=SLhoJWC6i0BU zHD>T^&w*20Yvq&DRiM`p&sU0B7-05B+&Mo=+ec68Ms*g8lbLBGgikRrDN?^z_G^fW z5MH11?}&B17g=@iN+6aO#{%HdmAba)%i&sypk(N`#rF#ExsVid80NwiEA62mz*naP z-)SxZyo0g;Hi!f`sVC06aH7(68s7m&u(dsLO|;|Yd~~{PCE6>t9fJy22gTmaF$0?tMtpl18y5VC(?1yjK;}95BV> zLsGZ@CEyS2NYccZ0fVmSo%nj*Nqo}67BQIk**8ftjThDChL)1olo4{z;}^-)lc$16 zueijmyan%^x7A`4t9&bZd_@8qImnU_#4QPLr6NI?FV2<_M6Ql>%)KvDyaAJLyU?=` z5hxs~dU*Bm=_Pd(>z5D6qY$ucY@ouHJHB6z^j?h&+&!B_Ix73H&fslRe;m2_6iA-g zi$?yTeJ;G@YUdIQLguj$EIvn0T!6KUUkmUl+MCk!wT{10B3wMh1}0cg1p9*P8~CxBer0tg(&l*^%i!q9GNkcs-(GRA(2~DqDK!1e!Es{ zazx~HW3H4DST1c1+tw7@x-@j)dv=%*21 zVrOgTN1^?t_6<8hO&lwkhfznZP?I5EaV&FuR|v2aH)eRZgrpUXI)~lY!bnboOJ!bB z2O6XRuA=GAO>}l0)EAnq(pmbcMnWoGyBZhpwpj|ubOANSP3kfeI%{>Y^uuml@j$T! zhCHWW*d(liga?2x`A7@f=dq9kr=x8%3I3v_ISrId(`j%%8&ND1VqaoDBC!RiKBD#k zhyEjrt0b>Lw~0!1SkqrdU#bvIP=Jd$oEb5LWxqF5%UCd|9ULG9i*kOevz^b=yP_S6 z9^*O#M!Os{08M^-E!mICh%p0{vnydh65z{_3@$=c(GhfVlR(zHny^QMTG;v_#6AT+ zE6x@hH^^{+`Ne17hU)o`PUjizXg_aEW2#t$938YY`6-T4P*U~h#iC*i&bh5d7r}hTY`u5xAB)l@h2d#zz`|($hk3RD;t?Dd{&b)0Cj`UfkM0wMr zAbJ)eS8)%oUhZJ#$Z#_$cC4gHVBkQ|vTLNs#U4JApAB`@&ybHl$Lf^uf}oslN@Hl_WoXPY-B*+mJ3(XP6*ydCOZ{t|!P|3t4y+Z9@yMD5 zOL<{pHx~eS_2L-3NNBul=C!lOhYT`OYm0RoVi`OLYe<)ppq)PB%`oIT`n9tFKg>d> zH6HqjYymrC_-p7*YxiXlx)mtI7&r>94vJ|psY)Mq#yD1PQAc=8h+{&43N$wtWO+-y z%AUy~(_orn$C%*NRts+na8CS;75a-zCe%!=c~C_@Z+HhsCM_LSOHfTi2c8Z4h1r75 zfvZv*qYfuJo|G~CjMW&24w2Mg7#mC9mSB}oYArJgXiK9-&fEun7>BVMUWQ{Ghj8=f zemV+)P9X{V^XlckCFy#hBoaW2p0J(1Ol-Y73m`sNK&y z#jvGv+u6DRJ7gHS&3r`N2!7MZFa-fXf#jDdNGzJ4(i%r7^oa>0(l|JwqQl_~>EVSc5 zXUrSB8cyy;vW#pgx)7-lW%LUy7{42_;H20Z4x7QrX8|9INx#A6D@$a1vjAMmA}mYz zg3-A%$eAkgK!NZ@lp3Pbu~^{gML0Oip_-EU7k9jhQ>M^9_-osgPS7#Pwg?=xvb@Ws z;H**EnUgd$4vk|5PN$=6_H#+_z6}fZmAdoqAGqL+%PRd>fMZr0}| zz|`t(a!VRWf?{aZt9eRJ#aXmRvC!GPUxus&k41;3YFFU-e0e-wmndf1OR?%Jteix0j%Do;0gKF(^zRphz-~9WD3$S6KkAfI7G3f(3w8|jFnaoAl~X! zB$!%_;)xN?CPmGsf`{aSUljKYCZ+Ysdy;t1utcAJyU$*soZI$4Dr?J?y>cP)X6_VH zOR=0XJ=Zz3+l%$kr#|C(tu=?cOIo3XJ_OX>iww7I`5R`lU^tk%8K$h8M0RAE zCf>RF4}%loEpl=aD>Uy+J|%N@WYT8$?@^YSVjTXS`3T!z{m&VST!b>iI68~{czS;hS!0q-k8%QYX(7sgtry z!8kgCrw+#znu+NUmXe-3=kx(rCOsV)9!%a#=*L(bxp+Vu8Hw@gQeTycBz0N2%7Aw4 zCLHNVtzv1D1P*y!VjbXygakE#(;R;Xd^uqr+CK(Ocni#=D#11ig9}F$7sUZfI9!Th z>~s-+pFE>`1o-4+Zi%(PodEaP!RsWFAj3xI_MqD%KewsTPeKCm+eTVL8@}udYTf6s zj`2c#JyVaZtPZyFbJV;qKvVETle5~UdTZM;i$>1{*uwofbTV3yL=wZ_3=$BxsY+j? z(v&Y0p;s1}(@{DDERLIi_Gr%i3=Dz3%G)qzz$iCqd~wAhj2Y@W%esKK%Kbi#Tf_z~ z!RDM5pQhq=45;`)IYlEAk7AhS9#lW)o!B9{d4ASlUwr6or=qz+z-fT-DZm()W^r`1 zm7=^U10_*^UcKDANsVGztJRAoqXPKuweo48OgP12%zzJyps#ui$(VjWmt&j^k0-&& zx6Aq(`cLRe>dFtlU)*tw86091!AXG9k1@kg9i(A+CC*gKQ@d5_GjKgpmFn#lMlHNx zX9#%mV#Kr@i};H zZYNFFuJw*OCeXL9)4`ly!2Q!<*8 z`P>8hW#LvC#`!!MKeTnYk%#B&Qa^yMf{o3HI9zFo-^VrmnmPO+)L2ENlytm2H>534 zbE5|tCWb3uJVkOn9xy{vb-bfRK($d1P~%F&m8N_igj7rqd{OQ3Ev21yENwfoYnWiG z^-L2}d&YT+IeORg0UPgVfykgeUtZ}k5K!$3hQ=pP7wxe}M ze`&$8?6lnBD7>TYaElhf9lanjXe#(-BmvhM>az%_jfA&j%&>qbwlBQ!8i+8cjmXG} zp+*Z|fjb(VQcs;Em>^8Y3}3G_Wp1XSdKE)z^6bTUjJ4E)=pj}y0$hs8ZV+tbLSHQb27j44U3LjxNS8+TXaLr zE!@@^DN}O6++ihSWaQ70xAhxkqP@_G%J59?aP#Ue$I`+xd+~1Wlh;W6M(pnTH0)A{CX|m|^4R{hL(^|YeidIxg4nwK z0p6{>>Ytm<{^y&d5n!D2UFthvtKY&Lc&>&*3FiQT(g0@wtPd$@?~&nA`Q+N4jjW_z z8XbRIuxfWmf^`PjliVOv;o}I}UujTT#o+HFwGM-Boy*w!DjczkwO~nk3U*$9P2jY# zLx$41SJ%3sk!P!%fIJDMieFBBW*x2{yA8$m3+?plNje^;Ar$AkLxL+*F^s;xn2&`i zQO5zrLMM+wZg3b`Mx7u{&;+}r{Yd}=PnFQ~at#eEoK0KsJV~I~4%~K#x1>daP6v6> zVdORs=&k^np>#8u!NWa_6P3Xm@Si#wic|88;6MQ0L&wcg9D{*fO=Q!Ijh!tpsJNwc zb80)DR=^iiiHq=XIPi|0uPt;l!ubSu1{3=pD4A=K4=jofaqI+zH^^Uk%;FS^Djs9W z65NGw*l{*_3W~;e5mQCyWt~OlLz5f2j%VNjX$}`!=EVV1b$YPVh&G_r$~y1a-X#27 zZLo~At#G`IJ-sVOF~#Jnr9^rmNw@<0LA~sY)|*tcN3s(aCruXlOL4S+FtJ<*!?Wl- zouQgDKrfxr2D;k?t$p2^*!gmohSUCe8JMWMWfxrc_C#v?0+#*8(@<9H5{X(>H!Gvj zJ(Aq5w`0t}=fz++^t$9DE}p9H;CLK()5MqjRZd-;UW2BC>{-184tBtg{1K+# z=70aP@%s<|&FG|ExKmmeI??*~5y&I(*HW=?nLNtd^>Ueb=hR zW)qP^SQP(QgyBUcn>#EgqD@5x-AeD#Wt(*1m05G7paOCOl2@h22c8Z`FDde+j8cRc zBPBIZg%F$0bVA6nScgpETN(XspM=jo9fXUI#cp!y1LpKst_+6WiqGy`dId;Iz>gW0 z4}Wj?DLfhGus6x}_yY9@kjdQMnN(Jjp+_RSTLWg%o`_ty^4n?N%H*O%8n#1XWk#eW z8Co&jgP z+WlbK`8|leNz}Cn#ta^i5Qa)m7YES5oQ(xoXYj9I`xK^RXYb6i|9qifkY=-pliP}5 znekan(J09(ien)7kdHXj7;DTztqV|=fneMU%c}FK8R0ab7!IGsEEcPT$9?e-#uW~3 zdL4q6XRjzsfjKI>g%o7%V7L zV;0Mu{7Hw<<#BW)CMFGWQ{y~_qMr28MSK}@?mE2BP|D_2v}_&b z(qJAu($bIX_!Q<|v17&;1c>%PF<3<}SGAUTl)A7$odIm04P)f0@X=A=O2VadxllS3 zhoRU9Lu0$AtC(A0PcjT{zG|W}HfgK`vHY6IZMxvlRP8wi^1*QAhkkF^!q+K5dfm{^ zkF@Yv^wD)6Pr(uW-%wh!5-S9IU(|%P9dhHnU%xM1>`pxk&r}4B$*bWI3UqW(N;`YJ zFNBh!Kd(;ip(7o5gVgVVG2Ufj*C>`eiUC444lN~V#!C&K0|=kGT9OY)uoy)$|0Az} zkOzhrS3vZIW7mr{8`G+<8cFlWr~{zx>USfN&xKZJE&I}&u&wFUQ!&4UFL$o*jO6<- z$C%;sYqA_%Hl6G1zMsa64t#%kdvGMrnZWI+hfd9rU@#nl`y0^fw3B;V%Z$<`)(1c= zGdUQBh?tn*(^e@qoMw6h6!J?K1JU^%(@PiDplvkg%}O%;2fwh|oVvwqY0r@FJ0-OT zr%MTulV8CEw*a%RmS9~PksW#<4!qA@!zOP$)sX1Mi}Jl4M$(mip>z2hEPc)z%q#m0 ziK96O13zQsK#|*h9yhBv6hGn?Pquy`s4iQmbCC?MUszuX4u-8HdaKO4be!7?;}zcr zMT`O9T=;rWC56egfsC&Hh}0xbpEn}+H)OQ=_wm5~PARV&o?p(gGruBvP z^)2mb91x6QO=lcW$lFw!s4)%1hP^Bx7vsrgSGotnP|p|*HAm$RmNXPMKESY^fir`U zlf>BPa5xC{XIV}!=k^(D$-&H=Awbo9?bGWGdf=xk{8PiQp6?d@izFCl=<6^d?j3LR zNrOXw>ROckC+kqVN`3jeEl83%uDONaGhT7bNCZ*&X5{#8xfWqwEH7sjZrjrG`jA^8 zQ(xND4CH4Unbe$+4DoP~`UNr@?eIla6O`Omg(QKQhGNDN484YnUR8PIJ`Us5DvJ8U zDD5yPewu_tSVCY-#o=(kKd9rH!tpYUW$J2rf9{{HCyC5mZkwLaO&*4O9v+%qshsKp zZ=jv%v1_R)rE&2aR^YwLaFP2WO5#xhhxt0>Vhj8L4Mr01%ocOdNliBcHa78DRgm&Q zb=Kj|szlo)Etjt5MkGFku~VGtVfc4vy%|>3p|So`j2WuqGFB2F>p1VCqp2Ag}}s zG)lVuyn48U%11~?jqP!um z8+*9JbDE)>1GMxk2S2NMlq?`t9Ub6RpSpQmb-E%4D_#%oq2IX1&FvkDqtOqjTl(GD z#up}}n!JwmC9w7tJfT}VFoU$mOgpG8WZ6;ut(4BmC0j8w;VseI2B>NN*XBg-cq z3Z*VPK1Fv~Xj#G+j*caWP=79a>S|SJ>NI+^59Q~!WX?o>4+dJYQQ2=A%*wwHP2Ggt zvC^2Cl?RSxPmBU-(fat@kh}?u{8v}8|4WQb5sU5p^CIi}Tr5AXEU|4wW628K?M$`3 zU&2?P`#is>6xgMTCu1bHDwgTvgDOkt8OdJ!td0c|1nl)F{;Ielq!j$;3P7t?8^Syx zgg76s1;!`XCmMoBrx{S2S3$9or>m5hbArfDKNWNBsN6S+BMHIGpd9R@h@f(D4}fAR zK$FGhZZgELE8$wIw7TPg%*dFL9>02zUT?au3AglHkcv#AC48)j8)6qvKPs_n+lu!u zIy%e9fW(w6mfajUufK#tIl?7}H3!{9SlGD}#n1)PbR#t?%O$?&k1!C(4B}1GA&6Ft zSK=9M*vPbuu?Gx-x$WK95KD2WP>@5(U^IonNDyW0u%Agud>+D`R+_CHiz@Xuc=yj? z?PaJbfG-6a;MeLK1~XYKO7EzNlb;^tT?xRrRJ@9t)(J48`ZY#9fPI&pr0dfieE4#g zT8GE^;G_z3XznU-bj8YP-B&Oq2;VcU)6EOxIh5C-PouylJ1h>Iu7nsf)Iggw-jK&A za9lylMbSgpaP)a;RM6D@hY?yqOm|??>i*#{N`6V==6D0OgV0caw^0*!kH0H>G7!?z zEI4!vYnG#f`SfPzO&KVO`t$1L-c71uCf0eeWK;k{Q?xN=XpcYYEBJM0IXI2&F|8d- z$jk5}yIoTKFDrWg^rGB{F~cD@8c6i+bOEP82DV&Y`oAU1m;di^OV`mmddqDy!cU)5 zEpvC?H%B_{T*b*(K8pm;qW0mZBhTti?CWO*#`e zd_l|!#ta*J7jHhn(yo~2h zVn9;=3F`Cw2F+OnBS9a#&n0q+{!6S1-#%mGk<^c06i(sSpfS5Q5sp?T6q{NvX+{s+ z>Ejj&5&7y*;t}#D&{aNBJJ71A1hbzLF}$K8_Xpu<>q6M{fJ9-dgVj+?U7dKFb@;rT zoO$VvWh`M0ZlT!X35w<}!3JxapF;t)8Uh?hTct{CD0@&f!37W8PLxTu6^k6^7a4p6v zSVdzdE%uE4cH@VGszh980FN-JXpFsqQIueN_y*V=Q{A{fS6r3S3E@znG?qYVEu5%d z!+~B5fCupP;DZi6?__zA-3GWhx_0mw8fO6G6avN@ZSe(nJcgznUrL!`@dpfYtV$Q= zk9b0zbG%|qeI7xXR>Q$8W*MOCv_Q4;AmSF!A^yO>juU$Sy36QdW{M_?my4Nnc__pFS*=s$@xtsL((!b|WR>nw8hL(|weI?ONl*wFuU z2}V};qA9;<kR0=zGo>u{2eFu?Tme~sujKZud`_TWgqIaA$sDaEkA0cjW4$=%Th z*h>p{1h}>dY5PJLl%8OnL0b?TInsky^z>ddJo7iYcw$%@@O$WA?|P5{Idg#2)|4wN3kPK&-X9hV zp4+*Rjmr>4Z?%$^PKD<=NpD~5vp)X>xIkvTs!n2R4eVAj1v$^UZLm^GV)XG1{i2EH zYF!-%+6q%cL71VFOn(?hY9WiW7vbPj9#)?8j8k?Mf{y$?4?)^QWVA>gb+lC1Q#l7^ z3O)ODw56px&lWh05R5%9m((f_txmw1bRbQ6Q{q>`I1Xb5q~2$s?t|-#{<58uk(g{9 z9keX@DGr!d{WF5@fjEZH>@l4GfJNGC2d|5Jxr5xu5WNtJ^__Z(E!U!=2b2=F)7Qx> z&BEle7k~i%td=8qu`(8;p`)h3 zholkus}7`GW7;0bJk#J-)+l6nPW+5jZds8gR8Mr~&3E!0Hg3QgCE$O?3VNB7o#}e@ zsIKB`Ro+K2la2l7Dfhkjj!6Q(AT@zW<{;MJ(yKIDOcdsA_gObINJwumGt3AbT8GRlol zMvgpa-a=sd%M<41po5o*GJfV07;gZOqVgiaSpc4g@GF)6I-+Ok+w^G9p4g$zr^((d zL@=V`6B~kyP)GLJ2!RwIa>aDsWCZ71;ZwzOg@t%R$A*Vc3#lGL2;guSv%?=jKG&dU zF+m}h=Vz%9m}vsXgM3i%W{8)&#JpOAQc0S^wu;9<84lxgmEfyl_(=VGTUlK2oLQBC z&uQDx`mi?x_kg_2VbDxk_u60fhY42OKXDN)j3bnKErFVEDRLd}=lH+_CZK6$1qP2g zY^njgCjBIAN_f7WwM@d<^m(uW$h9==r&ixHEy3Yo!Dp+Yl~qhYd%B;*P7F+~230iY zm|At-v*=`(E+-+TR278tB;FhRI@+Ap&Oqz;ftlZqu{UydiT{8DDbW2x+CG-HOx~m9 zshtrcJq_&Wpn;iJ#Zd}Ms{XvFCS!2U9qzuQocf{UBDi+?Vr3G+%eM;li9nvZby`-M z??V~IB*gNRa?TZNo_l2!Q>&3fV-fyPYMCM-m@UHZ3t)-O-3`*PqAKnymti69BO-U- zB};F?b`0TmjMm-Q7zh%tuVgfqfBX{@+nrl=Oih>4$+1gwq$otL;vQaI+>P7;hob`0 zAvU7(IG7RNn9yB_EPaMA|E9bsWZ~)EGPiVKp9Q;z`9m1-EI9fW12b@U&Oae?`)y5k zKc-e|pf1Gx)aCamrdIKU`CbTlWhJrQ4_IU(YS9g$T>lq=eB#I}W4ZMhd2!dUg|}XV zXLcnh+2%3cIRjcSv(EELlggu?z>E($J(%!k+pgJvD^vqefUEMu=%@#7H40g7i(tWJ z_aRwt!t(PvtafT>Bo4>G6{rs#7>Qi#`%J?FvJxzY-m3oe1x0wp_hU(UE~BGD9KVwcu z#=MjeZrC85 zNYm_RiqCbCI1KN!UjfKTG6$4Aq>u3i7EG>}@Y8}$Q?mgNhsRK~pC6XMNHhv;BN-6q zlaQH)v0rZBwxG|WB;H0<%%L_&E5xoYuSTO@YzX_uu+h6iZ3A?Kn9J>8bKniG&Uv$@ zzx3dHMj;q>c21{U4G(bN3brGk+gBDLDrs|hEthH~8FfoIo+6#a`v3ixON=ptEP(kh zPdEx#Vd&V0aj8Oc7&BlfP>j*mrQr--qGsn!>+%MRhSY^CVXG2K?ebbvzJZjtUZt+J zo7vEm<3BT`=AL5in<$td)*_=n72@b%;;ALYQ3^_`{=9m*Z%InArNBlT>BCnq0Ep#+ zqqF-1m0Q2d#h?8bSYX4P(K}IkA)T{6a=|Be;KdvKUaGtsUw~w^BG>_ZMm>HGy&~%2 zZ$1d6RIvGgK5Cf#fBfCCOnuW?3k%->$80dyjV{7>A*%G*1{`U-l-{GuQr!9*ki2@i z!_RdF%=4x#mhM8dEgNQH%?o*EuQ+@zAqemm4|OtqC}_+eZ}zz#o}Otv5XMWl34X(N z$*E6Qk(5K1hryRd$K1%ucg3r7rviNS{8?XIZI)v1_`XVXV*Ub69+;y3P%f}BCwimE zFAcrQ!F|4gdD3kqnlXs>)f)jndKc81`hN%SwFj4Jr{QNtgCish??x@rKSGOA84iNg z$VycPnYyHjxD6-!cg6vK`Zi`A0GwBQGsZ@}AFVX-tt{ugd0NLTa}(6(C)jk9Ybm<& z=dwG$_~>ndex%p&!AgSm-{H@rGBS$hsjVGrJF@6~FTTSGb_pD5<-s}dmd~s>OmAgm z@}sAUnS=d9hP;@_%V)VnaD{@P6_k!LXwHG^UK|m!?xx{j@k=l;(`C3hxq z-XK4wiKDh-2x#IcC#e;<1hYvabwv!RIP7_X5ok(^C%8+TZ?G6(=v~Y#A zD6CS~WSvQG(M5xcEN02^H|NI5r@vfMN*AFt^BL0_Uc(LvSe^+Lioj>&^$-HkgOBlO zkm%P!S@3kF1c8Iz3i2;uR2(@tf(phASBT+r47}qBf}!|K>ET2s9rH%#6JzUOEDz78(%3- z__^p)Gbri5jt1=%_}1n_!gB#AX;&d9$9`bCy3$9nm~X4&*Q)SJ{;#NA|2~n|?Zy={rGnIQOG-nMc(ySVfZ6g?pqT4^zCJQ?Q`bI!-`+n4JE{w zA=&-cp|tpu#J~gJ?_{Zt-QI7!EL3`I1CF#knd;J9A-6eD^fw?C)XN=yt}|dBRt!KI zQe{30L$^W`!a!Iv5L4`=V>x0Lu+AWhzda-Fni2SfO$7L^(Jw%!E3Ly7a}T2!U%WEE zG6U*?Xd?8Fb_d$R_Z(h}bq42t6yxUP*Qb}c@(``ODU=`nzKX0K!B+z?W`J}vL}_x& zFnb{rbKb(3@aP*UP2B<38Kk+iC|GB>7wZh<Zo5yPvEA!X1c^)k7D2gR-8Y;d2MHZLm}TbOV+Q8~&^?~22`TV= zNDYNYhcBastMBySIsjQ|aIvx9i&9^4TxUpv)pRRlG-ONyBza~TITq+3x}pOZp9ew3 zCMe3pfdKQS(1{Bqs6!)7Mt~+|fyTmq6@!csg-0>)>%z4sKo;RSE!X3K@k7NG@2XhZ ztQk358W8HNH~hj`m?JGqU>%W7*(fT zc1h45Z3?OxhjS#uZ_&rht75GpqOEK6MT^L*k(B&rL~)#bw3GZiBwHT0keN$K6&JJA zot@`rp|UWT--Fjar)?#XpPum37ots2*xn>Ou`Jiw9cW^T;27chq@J{HgzE})mVhCA zua8#?Yk%pu&JbfuwziPWS0JB5 zbL<%x!`^ziN$FXpHtf<#zNNdr0m+M7kTy)3CD4&kPXO&x2K-F{HBg3`SR=3S?yK+wxcx0M z`QDoqtCNYl^MIlIDo3a4*yKA|35LR5HU;x|!xafyO8#wN93jX%ufdPr2Nz>Z^K4dJ zC-;YP=fPVfzVQOJ{SXF#Ey^**49%x@Y`-=z^7Dgbt?%>WlEp5z#HOFI^6_X;sCyba z_I8to~xkS&wfRO5m2vrFqgrP6UY%B}7v2>k5 zZIqUFc;q;|;C0PskxRipi)H_)ra_p4L7EveA zS(?KTAzaC}sc^D&7J76t2B&sK$>Vl7oUf`Ot!rO`AdE$l5W4nhRn%yOx_yyX9}Dv% zgo-UAFl{PRuk+`e7UeX($V4&PhI1rs-_ULBSPGQf!p;~)Qy79D%KnmDO#@W+$Xep7 z2t5YCERf~dF-t_Tu+Wk^xVIA;q|be(l`~0G6aM)PS6aN6-ALut@U`rPcwT}Ne@ilC;oS=NZxW2GMIiO`O;rqDmw zBQ_1?hv7A7T#9WCRpQy=m)GV(VZ)|t7`iz<1bgEy#*rhtF$@>k*&Z`6ft$r5 zpW;xKN?~mXghSMWl0M^wUMO0jOZM?yS+qWw(|h=Ki)*$Jt>QPnqMN_7B(H0ZB-015 zXspGO_RU@}Dvjgs#Ai>I@S#wwGvHnGtK)k|ZyI^5g-W$E&q8a6b)z|@CVJUu7%hCN zyRI;e1#k>!nl@+3*F5H{82>+c?*k>*Ro)4H@71m9*R7WHy4|wdwlKHbEu}0>DE|uz zc=7FW+msa%N{UPj;ZNBS;2}&_kTDB8O!&Ipw#y!eDQiqtVVId`N1Jp^mKA}?2??|F zZ0xLHIJ+{(B+eXi(qx=D9yU8ihm+(u8P@FYcVAVhOWj?s+LmPrzV27={`v0r-TQs_ z|G#H}LPWk-(^|vjG}J1?AyENpmlb)8u4{n8jnxp|zV;{EY|w|W)(^8FvRNm(^p#+u z^2WD^5`9b?bH7s9Ti^8ASmPqDNJaR*poq!-$0b^529lKCJa&kClO)F0_l+ZEk=*o7GI}TFBXKlxeC-HFtV?*78 zp~JzjF3BbJmfVWj=zj>~%O~Ubp-#AU-y@hLmw0-#F@wZ?ekProaH`buDIg;qh@!~N(fVTzTn+dDrQ*<2m1$ey-SMJ!s))N+DZ3UP?)S}s7Q@YR z3k+Ik$D<6^hlnmjSFR_oJMZpKO$=l9n|`Cpa6iUiF>gMW z^#98;W{@asF3=w2Agv~{2kgZh8(V)kR} zhc}(=pAB+dw^|;Ij;=_aSz(5JJw)yuOK*p%pt^+Dp^HYElna&$oFo{7u{v5e3Z4Rf zRE*3PKe!ZCa;Or=6($^c1rZ@){n?rV~k~xj&FgJAN~>2pEtSr*n=V8rY=g zq_Q=DIjrCD^Yi!bKY{OC$!BVzL4in~+HB;CL6}SI#byrAY$ag+6pAC{B?#oVEOIPUO~C6kl0TA zY=XlY#eE2**WA&?y`%E*C z>Vt0)le{bYjFqIr7@yDG6`{cfkZtWInsAF1`m-P2?Fx-shv&V^`SsIW8Qtpo2H$POTzu```@l23V3dmoe58ElX#D!Q({R#N#-D6A-HOJ9i+r z9Xur*pmr*nf#R=RYhefvtQzVL;<9ih*A^s1-)THGJaxDewj8Em)|>nPC}^rjiDbsX zf@yL(jcUQ&(hhnt9O4u?0nJ5~bB3rFTU5+slPqxm`mFx=N(~Zd6{)Thc zmTlV~RfrdRB#x4%HqcI^n}f|^GnDCKU_JSc6yez)8e~8%dQfzcG=>`nFDMK z#sJiOMw$*@emRa#=PS5@BTmHutpCMN#4&^7;rYLttw;lAhn)HZd;f%M@stgQxl8S^ z-gTXyxC{c&WI$YpTb-vYh7Ae(W2DBarvubCfx2K;5KG%R4lCl{jj7V)b5z%90E06? zvK_=LAHNtv0328_YnqRTQKgRKuH!Uapg zq7-Jtym`#$lKD!@>f?uJI6c*#%KC4xJ}Mq2a@IzJH9-MJrp0b>F8uj5^g!@3s!6y zV+MQsQIP-qW+k$r;bO` zc6S!-{+_pG>?1#@r{|f2)j4iMv@h>q#~s6px3&di+i!Fb2LK9%)EtAckLtk{v2_uq z$^|G3=$P(D1}#q`BO{vzVu=$tnGa}iTzf2IW#_e&xp)Gg&K|61 zP{e-C2Fz_G()k<#9XK9qscFr?o+PUPdzv(H&H%0oFQVLmx*YPLf{*hzp78r7V8tab zxsZ~G1sawr4%(k1zLiS741x{LrL!;)NXmJO>NK>iC@W-nuEUv$qjr+%I)-w3Fj@ZtQowU#Ks9V75zC(LLc~P_wdoLNh0EgKXjSh#XCJ019R{6&yGuc>~^yhUV#KR87>Fr z{s`iD9%~I%tTT{_mG53LqIW(u^~4<@!KT5Efrge|1gIp_L+JP?bE$2 z9gwI~LI?F;y$~Z8)>a^Ct)>n)i`cL9a7?DM1?*PzFs}c)VekuuXudWpcUFH2b;Xx%aUph;9zc*>h+4H^cv0?-!-uaQGxqv< zBNzOB5Tyt>F?XF|Z_ICrQf3D@DxQM*DLvL0nS$|r0qWi>pKoTTgoZ)D5eW*QGX3Mp z#BnVQZf;D3_4%hPW)mQo2V|FC7r3!9iq6T=P!>KY`npN%i5WoGu7Vj5gE2!Sk8PIW z%BX}v<0t3le6$asF8~IsVHxpB(1NV?`O$N+YbJ>~52K%z%X+$VzTF35ye)AV z;Yd^*EsQKwn;+++86v^~+O)D|F4UUX_Y7A{kBmBz0ww9TM~5vkUKX4f>q85}Vn^=~ zFUC)4>9Exh@trUV$Bu`3?ivQx_wHSm$q}Xxv_M5kl^xSbR|s8zCMcoH-QbC>P8s!* zQK?(tKx{;P-uYC}VIu%;ObS_clhPv=y63>T^cgFTjT2!@pXHx!+A^vxge7q?2$Tg)JAA6tQ&%q1h?Qhghvbb*^Xx!j|}12O+zjq zmPA~e)@thOqAurhB0}Y~_;uMfBy>o^B$800aJn8yTgM~K(ndOc0ms>wv5yHt*e!QT zD{xr!6w76wKrV!+TY;yJ{lv_~lp8$W0*@m^MHlx~0OpIc2dL*>c^H9I2ZKTJ2BUWN zu=JH>1uZQjL#}2*^|{P>uzX{HTw@?g+RxLCu-!RThr^5#LWOx}{*Z|oj65*AaC&o` z#h($d#9;(-fqfeycGKC8Yu1K2hHtx&I*d!0=z;v zwGiIntSnw|QE}b_7I*|o26)K5FX)L=OpFFDl2LW+v7$Lv33V7fR}cx|hF|Ns;Y=dF zZxv3;Xyl#gbsj=x&^Y@<6WO0P=!T+*AsqNRip-0<1_z&$;HeT=BUq5bw$ps5WYe*6 zd>rRF9pV- z9Yp3lNI~czg6$Y$_7#my{B`G{JdI9k6O$2c1|8U$Kt{^9$k7D^;$E&?z|^XQUqJ14 z?6X$Q$xhVFg<(`VMy?rKwa0|<@Q^+ppwim77O2K2k9NC6g;jzcD9YYtd4-O_@6YGGn>%AI2UBmR>&7qpT<6`h(^k%Sc z9jd1}|FQf6`k=?RV(R1gZO5>)W%(iW1n)*Q>6$Qd0(JUG8Vvyhd#j9$A7$x_$- z0%hj2=C1|j@PUDuJmv(_1JnX7FM0>bz9Tc zA|jL8EjjnY(s1~xLm(57QVX(~5~pv1TMduHkjpY$3t@D$3{wucmHq*i-7FN0Qxc;} zW>~ZU_&gu^Ti0oow1FR-bX%n5#}y1D6(Rf2KCW3*x5?O4E=O;Y!L8 zYtPcezTOCK=*UVqeT(<(_rqmPe_~xB)KL^KuT`gtjRbdW#wcP1G&8G47!u{Y591H=jq?f|)OKn0&jMJa?fvx|=3M+Lj#|oBPxM46)!nz?q3GMBhS_UUsW-5ng zAaKN5n^p`TrMJOjX@GqtY5U)Oni7!TyhwR{k4Mc;N~$&!gm&=SpHYISfGimdbsxlH!)!g_jVVRYtNQ= zIb62WS(maoyz+l8a&Nbej9kE!ZU`)~dxTCo^hk~vxzH8yDq5?lgP0{jpk{Yw!rZz4 z#?d1e5I?8D3c;40cPyxZ)?UmlHXcU({pK4P9XHk7(VT!RHb;%ID67!_O<6Yrw! z`~>Qrp#FD&ACE|3TOwnk6Hu$l-ebFEqWF|VW$0+>4Knt`bxwxA5V$n`rc3mLp{tmp z`YPWCS^wwBYri>xYQDlD8RrSNqCj};>*0peomq$PvM`c6kp%#%W7BdVw$9tm)t|T{ z>2?HdC!*efbY?)w=7Fy*8KQ@zw-~JU9~1|fm}(LJ#PTJBqH=f?$8qYJ)?n)cjv;W0 z1nUdxP|T*YAv5dhDrl0h+O1@%f#mZC7_BQFA4_my_OnXp$qP# zz*bWVfAT8WlMCT74clR$GRO+K(Y7|Ew&UJ_!JuaI^RW$lTYa%1(IS0uxGurV;ekb~ zG%<^a<kW;AO-UQcitvY~jW{Llg!{A%1Y7Ch{AiTxXcSeFnnccfVd- z9>#*0i;q4u2=Cd;n6)Q(Ry5pJyapB>LebbI!->9rWqh~$Vur3>5V#_`Pty`o^BV!; zGZ!fXfs@Zpo-AYP@Z%q`pt=)FW= z{2E4CIQaZq@6xHtRg%unh4Q;k{<2zo7!iFclDWPN=ov|Bzk9KBubEArbgm@Pn=Y^@ zQd>>*o^ONy?jb*B$kLYHBij(<&b!>Q+Z9G;ni|^}Sfa0$s8a&UC0GUq8CznmqP2$0 z8mJ?XCAY)ZNuw_$7>{){%R%2CSfYb%aqmuN-De8*_(Q|-I|Z|F2j?TX2IH^eJBse6 zzrE1~UqeG)|2N{$_2~}@>aMy5`%|pkgb%+()B=AVY~6{e`*3jXr~R10vF@3B_K?>G zZi2w^=c!`#{b9iP{dfS2KrfhsBQl#z$|3o6t|w_7SJF#x&6tjSAyAx-4dkA0;wrIa zSeRFGAdM$W*jh2GEnF32e-YT$;%8R=3wlhux1y7pmB%7jgu289l68ciZ!pg^zID!@$+{Kdz2pWC^ z*bN|R4L_}J2vQ|m+je1m01?=w+)|k(#k-xwpVdM|46~T^i3Mx19#Gm=x%QyY5{dFP zho>V~ue`d0OURs#OY`V=qd3$_s75$38ly8?f%q0+p3a&UnAU|zEQWnpxb{V01{EBD z>C{o(Cr-@D`fxd zs{+gHPWu)o$3dz)oYM4h<}NPlw+rdd%RWfJ9;H3!sjHpj!Y^GRnLT zKx-V!J5k!6hXu1pU5FG$hq}(={->=%p~VD+A@zT8SPaXiw&=gnQ<3 z3&|V8;h<#}mN2z6Iy0_~oxr-Sc*T^wAwUb;y~}DP<6d(E`U;(^L)lG9-c+8HlOYPx zm&k5^#7$@W5g?Xh*DB`EIl^<$5*mitV5(^3;a+O_woXEnsMMjQA;3d{I6MP^Bi7oq zC?=(MhdK$bKy@9D)UzdK4tPZ7Mpg}uv<&tpWlRoSCy&~bsCTfeaL4~NAB-QCTI(R1 z>W5#4jdbvkE24omh+)fo8K3laRvKSKyAZw>L9f~*?#{nOA;FC>9Gyk+e{8xI))_)D zkM0aHDh$QEYadg(A+W^m5&8-NWs)0+UPr{MX%$vc2SH1sD?I|AC<=7|U>C^?Jp^0K z-oOl63cc9lQm_ZGG*bpf(j2;f{HgNP(dZNU$Z-rwl2R+2jD3#I;o?NT6F+z#CasJ0 z)S!}k^noA>S{N?)4_lQG+>!*7fa@jsYEI8d^t*2|a1?aLg#zo808#dKi+7Z|&sQf!-tF*3ASp6dF(bc1{8K?ew#<(&-CwDHH0-j+9K zI_gWt(U&Qop`iyHI_~3O5aagewnwzrK@kZ?Z*yScU8mb#eP5Qoh|L^cQ5__!31+Jd z&ZE^2u9S2N3r8imn#19>01by=bUr{gGg9aVnbpU8(8zZ}J1@=^p~4xE!@{PU5=Xhn z8?bDV2!|3<};J$^T#%0^BUl zymSf&tUtPNN{G~l>m(GNeDv-$D<@u44ff`PCS?SJ7m|ymA_;AM!AW@(-yyp_6Z4W_ z_nq|tyu3RFmoAP!>gl63LZKsPOUJVeKE=J`cNzCR~jliyWDq2VypSb1WWx!9E}tV+GrcCnL%YX1e%2;}Er25zL9c z6%;Uvh_Uj}O?~x#orYh$Am(^q;%j&!;^2}cL>M`XM<|PKI_Z!HjtDDh1zlRJsrRC~ z4qHpMdGaA2UxTsZZyjXYZ;7Y;`e_Gdvp~nv~n``LhedZAL!S{P@&3^fDul6>Qx37gJY7%oY0PYDH|Hl!vOKug%0gkCMc|FDk4e}l8$ zuL9VY#8bPWm^GP>VLUE3pDOblG9n%y%r^=ZZ-cy8%MSYneBRQ{R}Q%FCBGX&cLzQi3}VufWA6LuQ)dq#BGD?7+#fk zk0_X24_!mYVU4$M$C*%{*x8jBd(cGhK%qE{Y|MN+ijhJC6K+Z*M}dVa)-dU~HsF(B z)a-(&`kc)i(q+paEq<&gf5&`HLSB;HjZ5ifcf7((e0#lYcCL$s&aUxF2h8WeOr zM93JW<5xCoUEhZM2yvXW7IKfv$y>&5FYFsKvy|*S`k3u8F$1g(iUy{73+~394k7I$ z;k>?B3Rn|je;8hk-54LL6?A|BU-iEd?l;NuYf;dW1?N#S=Yf8i`KVhM z3lrVV@ieZbxZRDI1i#%5HPYWf{-x~h4^L;nA4|M;qQud{RQ5=Yj=8Y50!eGIb%rim zDnA!`;%AADButhuhrK5*#3QBmaV}`?>OT)+y`qN7=@Z4WyLrflk78$WTvW#p{lUu7 zXWte!^`YMu`yh7MB!clrhwaj65;l(6p}h3T!M7t>S=u z7+APER1IRBd>Ll3_*0x#QwYa2=rS0W;h4iS$dgw}t5f6aYZ1`#k2Qf{k?mAsYgEeR zSWh|z1Q)_GDA@H72aD-?p?ln2k8LfB(y^0075OO_TEt*;T)|^Ik*yibU#D>4B*nZK z%#2FBAN?D&1F=K@GGwz%1c4#gaO@Ed3^ic-JVz;cy+8)yrxHoy3g_Fn)-<}CnBS9` zGUN#TSeQ+-Guk8O+7Os`B3j2001`)SkEaAVb0-JPMzJYC!UYsFWPh~L=s5}EBS1k1 z?P02BU~mIto-xEu&Rt2+uCxqncBf-PFR@%8E;?G23&X2?DG7uO{g4oE1Sy$NDv)n3 z1Sl{G%M%7;=(z?6?60p9)Nl+;8m=wuC_I|cvve?GGuzAg^*mkywQw(_jthdPE)8tm#{$K+8fwl4cW^}Hin$Z+fQgeGgqn4q9=#Nn_cHbzaw|-QjhrT=; zr`zT%N%`Wje4|7dGgSJ~Uc=AQjdAisXjvsoI_3fkqb1fVTB}?-s4-C8Dz>DN2Zs43 zAy%7~BNI3BJ(XL&GE%oApNi+J;*QS2_217px$^nqvJ0l|&PQeOp~K-gaxequz>&9= zaI$SiqA!2Bn%D%xkoW~;*K`Pbg%hlWo%Xan7oP5vHdtf+YD)U8Y zZMMwvuPC?`)LEgLnOo>G%aURON)e9o9A4JJAU-~H9srO@CYv04IOK1K4v?osyLA*^ z8FN*d)gQ2VQ2gTVv2zX1oT}GJnReW7g8?!Q@yCli)j|=JdE{`oA%%gy^wjOX@X%#F zXvxJ8C1;DQC60ntBmvG*jkcS*YQb_;M~KY??k3~A&5=wt09kC%4)uceT3?>6Cq|84 zTI#Ln!E0uMHCI5up)~7wykP<@FYok}MF_!BY#2J>1N5DJT2ANS*=k1vTN${@91anem5SDsHYc1hp}@D8?)gMTRQg#WetScJYtQ?9-NK1 zf#*9SGX-OC6%fF~gh4WKn)L zHgi*QAo*a{5kg&i+dh^h2m5IXm4)dfR`P+={#=TH!uG*cw39By!L62e(Ly+U6lAY$Y~N5rdXt>MyTt7!Bf zNKyw|?(;&K9sqzCDex@V@^GKO_)5P1sjxBoUw6557up4A{mV^g>pg|Yy&m5Yo_!H} zRUbwDgwI%ECMXvg!=J_Vs(PfT=5OAbND>Fr0poZ4&SGE||K5feGdP@0&%}>%pd|U= z4YFW=xJd`jPYiSpLUAJrpjb{p^g~j3inzx<-PEOQURE1M2b&E7RTyylGxCQS% z3Wp;Dl(-O8m&23Aj8$iqp)5XqYxhF_rU0Kw0Y(OnFVjXpT|F5}pWjr$fuSssut4G) z8b=FbJy2;mnjwaY_exs6*5qfbTrYKyZlh|)!*+M+B1zmxZOdFSHQDL_!kmGOjbD980r>ofI?`|I)lNm zPYj&~0SlzCfuT6wipSHmGz54k4~Hio&Y90x#r`@~h);c_N9-J`AH}6fmI_GVffJgY zwDnKd3>pE;{bp?;csUob1FZ}xInwaz#aqKH=dd6;1{m=Ih0lNh(rMsEWee~R;qmn- zM;*&}gcDf1X~+c>b0VgU+$vgYxU7e|oXd#_mDA$4f-O!~u_@S&UZavp%Ct3*whBD- zVt724rHyp@!tTH>%kq$Pgy5Oj>@!v@V$T0bD5IdBwLiFf0Ae@^w#ravAE3^&2wC2H zg&FosQcB(>Jjf93az~e!3g)E-e0kyYsK)V3D%sEpG!=A68 z8;&>y!;?Z;n-&&2GWTX892+s47fg&gIjQ* zN2evMU}{yH9n2$v6}uUY#t-p*b-f5)Z<_Z#S0Fgq=AiCvbL74eAU+eK41^d%=>SHP zRiVQ(5IAD3O{<4`xlL_NR6B@tJOLoGwux$tVuw~M9+Kk|*M`+Ee~_tgKfh;NP=2T; zwP$|46h&rNc=b+f$0%dMk9TzEK{@%1iAKu@N458xS97glLtu#wE~kXvNtDuudKn1TRv>5%uci)MOQM*$^lWDl zeZLD13d2UYe6T=l%|jF8AjPRwzfEQ{jIi)5Z#~rk5(71v&$oXTJBbq*1`eo zD2>_g$%V=IAch6{AL2&vr!z7g%{thI~~>T6e^?YNwnlsHraTIMHxzpA~;S zL@tMoAq)`ZjS=jN&6I5%ygU%m8H3`rvmM^ZNDD^ALrq7)e*6*!;gM}a1FmI=1Xslb z(=a#`WZbDL#(^ZMdPuWZEyQjmUE!WNp9{a0fg|BXHa7q|5q*B1!P_#Ibmp|NZ5K5X zq(hV#PaO{+ZeaVC^IBk?_wJ^5?f*mK(4l>+w0j*9q>!&c03&srQ0lE(gZcxPY0}s_vRFTvnr!FL7Ec!%+YZ3L^ z4XSONfev?bHwAQ+_`=gSQI#OIQn8z{iKAYSIf^%~^$o$wh)VEqRmU*M+YEyAa&eHS z`UCQB8@j3JIOM&nKQHsIu6f3;E2_sb%d4@#J!NWcc}U!*`ucR& z@v(Gg%DX~8T|h+0hmO!!(^|uYvICsX*54YL&k8B0CY6|A%YKZKR)7oF%1?X_`n-Cy z2|rDa!}X7h+&TO)snz~@!7FTcU2WAcy8Qts)_(~7vETXJTctVku_@seZkIslg~bn| zq4;nx$^9Pk{HI7R)&tvSCKk&QpL}0H8J@4;293BSA~iv<{Gl|Q!I{fu@(}Xu;jjfQ z6k7jyYE-Yob$5?0*geT@t`4-g5><4vJ=$W>wZTHLfAiLb7$-{VoBlL5(@U>&66%n~ zehc@#!=szhclxZ0f#GLa9*sPX0TQyuHJqF?A~uZO3ww zYAM5J7n%@E)*S*}X3t1|5gzF8$GrO@2OQ|gjZ?rf?VKG7Y*RJd@a!WEJ)>UC9uuH| z(hSrod>mA(In-YzZ@UIxKkW$#Ir=fFH0UCJ^1uN>i4^mv0}UrNI{3+rR^*Qtj$1g? zaHz^f0e7xul8P0E{!mfBqUIpqPK>7ZQvA^{D!~S-xDeYW$G{J6;y9nNS)m9lg|ca9 zM%W1SBxEB2&mb;i|1tpG&kl^CkDmehF}pFZ;b?=ZnNPXIpfUq<{*b{c13LW1)*uvk z*d=P6tNk7K^Ni~GH_V_X>FvN)D8bi8tf}cyi1$n;N}U;a3?Bqv+qP<%y5h& zDeNM3Mp83}3xt7Z^|o%fK87O1yc1MWj7)&*xBVGVF$kf^^Y~gz7Cf$IoLl8_2|$%i9-7_>4K?IcWdMX zH#yL4P-p-#ydkS#m4Qgn(m*myro)pDh=U^*-oCO{S~|2=jTz|4d2HWGDs4pFfd|=c z0ARe@@#Du3GPYxQ>*k>(HgAsX&TBB$9m&saif5+3R1U3sUg=ML zm9ItYJBeCHmaD>??odYOqa*ayv{t#SL@l;;?YG1Gp}^_HSJ@M|po+FipEfOIK*5KC z8swC$*puxFfoxRH(uoyGkhjR4hz-{_d zNE^4TqYM|FLv1&Mb%y92-D95_B?LeL8jGS4kD582PrpoH7Y4}_9U(e~@}i|-5&I&X z!IdPWH>=E$(}!VJ8z`$R)}o(lXC> z^azZ#p)9&XR2v}c2ipjMvczDc3W@@f1#8s6yvI+g!v`qPngMY~)&s-K6yLyn&NQO! z5?m=x2Ujd>Vh1%Yc_s$5@#HMtH$hkpOo!?eED%uS+S`3$T@MyyW*E0&QDva$9DY-L zabQg6VUj@UF{d{MUg4BHN9F?VxcD<`CGZ*y++b+ASYUn@0T~~OUI!K+3X4n3TSWy% z!@3UMFtRrCGd`RlR_^b(uKSJx%+cbYT%i6-ef5C%gLc@a_|SlZuWLITAz=cvhWm~O z?eAq_&e$(2gaKi#vT9d`&8G!)BDqeeF3C`?fuQVm`K3dp_&}gWzAzn@Coc@48kCYB z+~Y@V(cO_o?K-|gV)eT*)L7y*!%-Z_IK~VjOUBK}t(etK|2Zmu2bZs5SH2FJh2I3IC`#0EB4)adUvV!qWU~4(}LJ{avQPx zfUTe}%J@|r;|sRKJb9)S^dl!`q;TvSG|Go@9|l$nj@>T$G?Ezq&418}g`v0&Ree4q zwaMKhE-pJa$1#K4`6$%~zC5WW~(%`pU zYN6s9^gHhPx|s}dAMGUcn!31o$@2xbnEqR6I+bLz**Bj*x4PZM`~=1f&Pmrf6B1AjruVteQQ##@Hd3HBy$mG3LzaCw_3MWxK&d7vydM4VZPS%YPcLYj)j zwv6Mar*t?JTfbRwwG~33v4~iUW$QI7!>(Z-Nf7)_+_MBFk{@XJUrgXShpy>J!G?4o zN5uoWJ})iS1Ft+doztLe#g6$~k&=PH52idOg2g46DtHWoQ(ysIQDs9)$s9eP3jFzL z41UEz>g?b5hoVHCGkg0(3DHv21S1W@FMa#b2zEgk3yx$MrRWKym;q;1>o5c(VOD=Yv;`ihpEB=@Ktu12wQ{M z%s^Ci>F1-9*gP~BKfe{rlph~t9l%Kt7Eu7wf?OzH#ccP|oZKU_Ny3x3V^!XZGVp69 zCyi|wPt;^tLI41&2>{=#_FyYY&QP;2x3Zw=6Ot z9+-3%E2WLDmIlg#>2!Do0!OU1X|1N7p`ve>u?<$TEs{_UC_BJhR%85>Y)Pxmek5r{ zJN*}ov;hx(>@a=kdbln=AJy#-r=sEct)Z_Sa+ow*ud6wUJ{d*_1B^)SeT@^nd&D+} z-!6Y#T`pMJ|FClv+=Z?>gJ|G(OK0S1nGT1BE~&NRXTn82fU7>tU9*@AA45Hfwd>(I zX}EaPu#^s=NA-J@at4;K9-$-dy?P-=U$F39Dalg`BrS|%R;ZLN0E>qeq(|L@chX@? zLVZCI-;M%3+iAuZv=j|Sd}*?>7lXt7|LHx$^$RfCV)(wG zX#C%FFKGSUkdBzQ;8@U$g-CXvka&LdzQn*q?4x6fJ!7HN_6Buud6sJ}PhO|i9t)0y z!DfqZ;s&njkT7f}bNf%&@Nx2d@&-(=;I04kHTF#QYLyb~4?Pt~2X$32Vj(>_fc>W{ zF)S?%>3NW594wWZMy%MMr61t=HzB?I?8EXb{B=m*ojLdU1(UHxB=@E_>=0QFeSwFSVCj#ezm(7c#V-^IAR{4v`9^QZ}(JzTq(PxSiy7q@D*0AUoiIe;A z%oSy(Dh13hp&Ui<1R0D**xg!$-cbyFUi>srn0S1ItB?W3#EoyA)w z(V69Hh|+=|dyob~CoP%nrTy9s2Se<$xO74)X(%2Nc9V<}lLEd4>#Y&`waP44jyt39Di*U_WWOKVF(nWSy{GEp=L;sSzYpR{ z%_JN#jyuVscv)*&$w{^#ZL4!(1OmOhz6$I2!dr4n$VrNfsA`yA{>y_8XI1?Y@yX z4*q3J!t{D`yFB<`aVYLRvtl-3mqygE&i$YeM?ZCe)%g2HJF&1dFjCAl4i6L6D>*vm zf$a)?6|L8;ase?*f*p^&w191eD$G{{kcha5$75R>K#O`w9n9HeL%Z&PhbgCG8gZd4 z7&G8JgNrdEkq0K;eXpcVqH)Zy`^CGX_>dNF*W1tyLd&pwY6-oa&lofSpV&++ohnVB zMvAxXI20T%UwT;_9{G-Z_lZ9ol96zm3*&zX-TVtBYZiZ6LdyV;e_HWNalh(7P4ob& z(0pK)!9nnKpaD(VwqG6ZI4HH^rDOly#` zP#_-JVOtD1=eA%VeoGL@qa!|BB)hNxf!sVIKY<<^ud&zhswS7(P%wC?EMBx!o4qww zbEFgT?~6MXQIdRBfiks>8uNw2lMCIc)sZ%;my2B)4zyTe1j0aVF2!jS_a+>54+;rH zmjf0JEU*ds0D7PaqF60Z$hbx?cubVkLw_0xhBi5TV;Va*z|yqJ=s!VaoQuVGkv$zy zh|p2%bd+1RTWGZfU!HOIpw^ziTi&kXuDdZnTxH%mlCi*b4)!Pwm^_j z3Z7hOLHhfMMNJB6+IB7}In2|-o>eVyPud!bM#N#?II2s8!B*(lD$wLQ84vI!-cgh# z4;gl6xR<$|+25{Q+YuXtIwEIR%d$^MBG>5O3DxQ#&%oem{b4BM+q}q?g@NM-QwBOR zConqbeclNW7nmtpM$#fQX`_H$-+3tCl-MZCy`GT5k>X8}DbT@?`3fR;Ik1l4aUoO! zXMV~}3RCPf7mx89q-5zZFeM%o)2XAnK8YsXKoLG2QJ>2kt zNTlBdy?ATc5(v@W54l+&+hJ+QUZhPI1GR?1@dWrQX&_7T1?)q^t)CW0dlm!XBocbE zP=3t(F^fK$xe_**sVUQ`qu_CFKqqJT*mN{FSkx$!m6%nEaUFqe)ol z`u1MMH(<&&x3BD3<>Kb;bpF*|DaPTme1{7)krnwJr)TBOd)rr++>lEe!XdSai zg+bNy3)^Ss>Z;PLyu<#?(JN*4{TQe`G~1M-Pax3yfYtwZiw*DmVUT|GhnU>{&o{V{ zc>Z6AJ$@*WUxv@%?#K;AtUM#Uz(du#WB5R{ofLdZi9D{-_ z@{yMwmX@Rpch3^H;%MQ6X|#zCq-Xo)>gG!Z@?AXofgT#<;DE24m0WAvcT zqvnEohCeB?oP=^o33E{2`W-RPknM->ip&%ZfB$*re~P;oT(9+P+9(;d_@P|$K#9S#*sDh!WSZbu#PHv| zF|}A{I23#WMNMBHSHfH7qiC5oT&rR*7lhBg0it#7-f&vLw*EaUi ztRghR=X=r`!aY6@CKCu?)vP1CC1d04!$- z){?Eys{RL&u-%9tc8ycEuJbBG5F(FuT$wJVWgfw2Xt08HN4y~$XT{D*pq>{n-7o~H z4Px~ND-isg1rn=$1~21mvjn&wE7q_ir0<(Sw+-ItFvznI{4t6c9Cjth3FT18c&>-gw7~HkZobw46 z+7`=xJw6HTIJAYpLRS{;AohK$1$m3<)Pu-J2;wlF#+AC@6gpHia8a2JJPBGnASIl+ zXmHHn;1Z#u1GuN5b~(kj?ebnm&>eKw zh2rLEA9g`)i`!lO)bz4Kn0YWF&p6DAA}7mYE0(2c7;b$OZA&b}>3(ZXB8lKrl-q-^ zki6nFB4$Y(q&_Elg;sHBVxMtA90p-Stc16+1iTfy%~DiO??Q-`KvgkMDIFO(i;=qo zFo1vR@E!;pvDTu+!D}ydVy=lQEZH;OyZ;aSroNsiJ3*fWY)8ms0S|YkOk8>E7(8?* zvs?Z9GJNk1Xd6Z`scQdrtBY>h>?}NTVe#@PE=^)ZxjGxV=;q6;EPZ=K&4X233;nGJ zWFovS)WdH>`};_#x_i%xq#HO^S%bHmDC-nE3hn{jz{ER&l*rv_}wf`jCEiE zLa!g8C)mao*@d(mg0jQn+`@Yld?pYddmTNF|MKv(TP|4n#wFo&MRze|K0N)MP@V{H ziiR#M%S7Y3VOOmDwcL_^cmy3C?oFzL^l-ttfAr*38Pr;TY$n{|o$0v!W-wxBH_zDe z)3Ma*1#EY{cFunE9;CcdnhgC;r-wp~erH7TFDA&3=1ag}o?Qv`t_AW`Z$aAfKsyLj zneAA7sdKQ!^GjAK7(j;cjsRW9%fR4wna7L_)KRo2K7+2%Um{fcn`j9>grM%*%FM(4ssrEJbCqC$JC-q-5z(ScKysP-;P7V?3k)#f=9R1AZ9sJy+0$!FlSK zts^56X9ApH%nb%W!#CdKD0vZ#Lul{t7L0H3yne++F?`$7w-}3FmfY367@04P}cix zAyNrrv(2DkRtYgT5eG>K9>t)7ZK)cjWhtX0=A<&45#-hkPL_JMJZe>OVmQYoqliO- zw_E@*`Kd3GzL$9<1DaMyP?(fV@?CAvp)WLes}NvqTC1tAi%JRRg1-K=__f)pA}A{Y zBzVD78A(s24UK024=no>8KGR5k+68oK+YkhikqYx4lWwQ#mgDrKZl_ept^6%tSqyk zlSTF~E!Owo5dwEYs$d&}ZJpGVpJ2i+sU-F&FnUOta`d%+G(KY`*%zg@^MHX!%5%_J z+AKVjpRw|14=9Kn*o~H$hZ%o;_eGeZ_%=>TG~L z8%);Zp=-LHVaFaOU5ll3yEd5n!eRJYJgLJ^wyg|xM?@MH58EMYGgFokJJl~xY(x+C zS^c7pLpY8xQ9{%y-T?O6P$U2rMMT8WnBlY|*>2UcI+(tFfvpnwtwYN(Y!CHQsHWo) zDzV5m7aHKxj$hUsqat7uNXsE@5-P9a1dL954|Ea`J8T08T@j7+43YDIO!yhA`n(ve z0vk;`T%dsp9>pLS6B;TFx)$L=&Wskt@J&dg!S8hzVL|JVrH%7h^&m7FSWv@cNP@TU z`4I(*A_K1s2m52*1euMZ+mf6@=pe3sJ2b!;h{-r6tL1+7utKbNVr4&`4&mZSFt0y~ zme|4}&GJFetYAh((ecB+`SFxKb<6=Wci87MwD=KhOGeK5F#zOGB-|j^5~6ShzehMR zJq^QLCPezI5A0=b$4-KH6t&6ipfO%XmY;(w2xEE*a)g1>$2SAA$!fev*~%h{Wdj!X zs5lEl$sp|XmGq~w&NXl=BZQnc7_gt{h)R)C6bT*=mQu&!mXelHb@bKbhFRhCLD6lQ zHn>;5N!NTppPrxBQ@P6?RAra-PpnLADMS;k`@kouIt!v-88yEen%{bENDK2@ z%|XUA5A+vn?wyma`uxE!7J{Jg=XPBG3RAcbqWin&-l6hTxJ@*^%sLGoCMk`cM?-#~ zS{V*6LNPfpte-6#8NKXUJ8u8?S!vy~*;-yrx%ZiZ#9t~|iC=KtC|H`9a^@a~r;{k; z0RROw5VR$^2Cdc9LC})u3buZl8g1ubun&k^(9oJVqgX10yk^`3KL-p^6Fg;Y3nL~3}H~P-E3i^!;3$^OZ|E8w# zt^&?MKZouNF@R~3X{3RNA*J;^;T8W~49A<-XHOy&9 zXvImrAoCi^ZHylj1yxU)Jh)Jcpsb$_X^I>$HE=NERAA^=U z8i{ev-?~}2CYMM!n*w*D1T=^MZk8>4R|$Ul&!uqq5rQ7&G36L&L0sBGTg^VZ-*FS6 zc9&=q+#!@4KrPRjhGd=*CB<+Fn|?#L2-$wKr0jiqrm=_ypsRQm?-iA)9hky{$Yl;| za*tcia?3IY(b#ZCHDZqq0#3{Cq9KH0H$J7nB^j?g~VszU~u|e1r7l<3TbA5FJ5Jw0|_>9M_7Vz4(}KhTmVCc z-DYn<%);}WfNmNV28~WPgk^}1NWI|cHH!xg0Ip{+>QSA6@`$$puY6b~3d-83*|B<=7F1ddoCo-QqL z$Y@;vgW^fM)M06(vY+qSj$0sEuqEd_@Y^wph~JC@4`xU9bFCtr1(R^vN5jgVx6I6N z5<&~xn{we*_*!`yJUM^Uuh|Jsf91fv`u>_EfA*>3{ogo#xxD``e<)7ByURrnZgJRT zvD3)TlIYb(R385SwzBrp-{5VQ4HU%hW3U$gC5qACZMBMR;PG$$QDJfXH{O6T!@juj zHk6C-OE-&Ls*fX>_0jOZOnO)7J+h?a27<1LSJ9HyE^X$gd$c#z4!{pWcS^iATkSBh z4vqo8^94Bi+(iMJSi!+eD_j|lf9`$57FW`vUe1SPcM_>$2Jh1s?zZ>;{)<6mkNm@k zrWbx5U8CPbZSzZ2Cm+F>;n`nen{AI?ZE%{f9FN`cy^CDDd5_rJZXcFvX(YDax)sIo zzlHMI6c=UqF~c2*_*Jj-LeG!M*~MTv8W^+(lM3}fLl~Zv6q>f^%#&s`o{Th*Kc^=b zpDVOv?z$;|S{6S2z~a&=)0)C)*F3XH3dyI;JH-?i9!^I=G%SwFu@a>CTgbFu^A@u}mXaJWhEI0L~#=hP1=+prKU5 z1SUgd_7F}=4S@jmwaqFy`{JMx%+B|sG?cr6UWrH1d^ofi__J29MJ9EK6)0K(Ab-57 zKpFa+5VoU12gG{=z~HWfuQU|!c%n$0f)+NgTW>cq2gPCj249I3e5IXY=noDxKaN3j z(B&S(K1X0TWAuii<1ht7=z`*AHpV%QOFrtNPx#e1rJ6*+d*%ux$Q&eo!CE?E)gp?~ z+42F627`@L5%LTG)4vLsXFYf+!DMNptIL%TG1mmra&4b9dk3`p2IAVxFT{O1l+ge% zg-G3#;YGNT%5l}Mf^AJ2Dzwdf%nn))V3gqKurLK*n9yYgwBvP5${5QvF}VR}hl24V z1*jJwFCFqJ_9>(3D4vC-3l*M#T?(lN*miS)_g(7;?ZE7Na6%0i&~u~S zN921uk%w=!Zep|mm(WRwF+*72GTV~t%?!T?5gXluHMzs{in9#O=_#B15%y&*bGUw4 z;G9k6LcJ2&c^LdYV8kAoIu#8@lQzWzK1>mS30ax9=(aw9hNJJ=v{qAJfr`A*%eG2~ zzQA~O;3h{W{}Ch!u}+7K^f#j#vRA?lHtg)IKnVZ zkGwDxKWyF-_(h@Mtyf}3qmFjsud4CLzZ|yO`VBH-$o6k1QI0N16z{)5Gyq6cK9t*t zmZ+!GTFXTs5|65_WLFw0MWNJRD>NQ^E7X8-kdEq9Gd#uo|M+p7hWpim9N*yL;uTY zoK9^`C3-SEh)QN*tZ2f$|BQ{sJmP^W=i*PY*M#=!?=Fp-?14P<&x&=o7cGWGEL(^& zU?2&5%vbhDNuCm1PmNp%+wA;z0OQ{~2Gw+^C$LDsmPb1QA zeNfz78{Fgf3`~ti4qLdd4Bhrv)6sZ5xDu^1#|(wP4ju1j0L)^R6;rAEuq(qMI!_he zW|rTK!RSF7$X}G^h*C~p7g#wqiUuUBIgap#G+5>P?=nC*jwqeJ|rMnJpd9-AjijGKo19iRp|)%+cQhy z^Pm9(3lDaY;ToX9K<^kGS!RFXzFC0c3DG;-O;as_&4WkjSYGaXfJB|f!bgp69jSNY z`Km#LFqu^3WVFC5Yl%JB1A1F@r9U*W7t8MbfOrh2rz?38OI2x z`3dMQVQ5W4S_Fq{c{>K)SW3|Ss47P}iG@1M%=tINBVS-!y-GLAAO|;_A)K$jiX^NL zUWP@C*ofkc80*mkR5ZL^l5>CqK)6Vxui>FKTPOo8S?Ft`X?B^){d6LPi$)vI6R4(4 z1sA?Sz^zfEyd~EM4kJ!&rv93I9F2!rmJkWhF*KhM=<4^3P|G7iiS&n1N;4}k6xB$G zEQ=1MKL(~!(iPro8k<}yi?QPZKn3m;rzl5prH+okOgq8clT=)WFZ4{n5kqujE&jj~ zH@?BCj=73yxLW!%n7{Rh77!w3OY<3le7U$>K0bFMR{5AVqva77VD=&LRy;mYwt=x4 z>hpLp$wXMxUk+WcT$CNncbPr%ec6jHPk*q;r3izpPl>)4rKwpAcl`hCy$^It#+Quqq7($E90_SCd~kOpYJ~R z%5o&@3NT5!=KZ8|&;IkCn$pCaw56dFdDH#~q>(vX?1q}iDvKdi?*09tyFL_0)_2t14PF%WlN4`g0 zlBM=AgNfHBS?#eTo&Vy+!s!ipW&O!6_Ke2HC zH!o6b7&9c(b4%Cd{ptDh_m8q*8kz%^F1|M%Ykj^CzT0i=B5&5X|9m!;f&aFX)6)tk zaQaDjR+KJ`GtxyEJwY*Z!dgR1`*zQyj@|LBMTM=GM=#KVgb!emw_@!dL~D#FIFGBQ z7ZjZ8-HgLcTP_N@))}ZddP4P8Co}hvZ@$(1;-~vtH@EK!&GARb zP!A2JZE72T=*Jf<-_07%c$@x@+5Mw^#r=zWSO)URQuUfQGY9o!mfZC5%4EEf{^}#F zP}|l~yXu{mv}{-Qu{${Q$;jedK~Nz*M-Y0`*>+|oaS9Fuo{2S)7tbpbLMJtOBg&m8OWX?kWM{8+liL0 zL2FYa;2e#~y@9#He3Vg#ryr#68Zs{wIqjv!^D;Y%&5Yd05=tV^lcnmEu|Y{sIjf7W z>NCnl{QbxLLzO|d{xqN!>JQn=!E3o1cR~(mKzgwjPjINBf1bb_Lfwa4N@T@oDJP(E zyd$VzIvvcBM5U&~f-ueAA+%9ijZCLPS=@n0NMOIm6|`EQJ7~uF6tz@)qqva@w(k}s z%(n*!7ORjllp>(ap|{h|hT!D;HuCnet}!axWpsQE3V!8`{JOLh$D%m(6V{s8GanO- z%eUR6_jk;Re=l%c=l_MG^?IAH|3~M;xAG&2z4vyGtEFUp*js@8mq)A*HMV1uaY5Sj zf1X?a6ZGCfzs<8<;2jxXbx2!3<+w?&)v`~HBv}~1horgU8=a*QWst5y0_IqZoD7Oy zH>G9o30@fM(-M~;Z>hz}0DVy79+-e7!y|KLv`Qj4ZUoKRv8}Ez|IvHRgN9n5b;RcG z`{Y2pUb3XsVRG{6V4?AYHryZVQmSZlM<(5fdh{!j=F!Rvt##RLHb49LjJsjs=gk#; z+tQ`HJvcw>_lExdg6WXo$QI_)`y;)p8{UrZ=O)Upzda3C9w}y<6HM;ibI=xBe>vE; z-?`AH4*xfHG2ce5*k7OV&Cf_9A+mG&Jwxe4DrVFmAMkpE14W2>ucfu(QkS==1CB?l zhfyIjyc>AjqXd)Vh7j-_JF@8KPxeK&se@FWFrXTKzvX*Hd*OxZniQ>CQe2h%R8r3m znLjwc*WAq8Lw0p*A!dMHO!AXYm~y$VKk|X3a8JCI#htb#fpEW;HLT?@L}>?S;*xgw z>WeJ@#P>6(=&SVG?OQVrz4*klA?y-Gy4FVJjh&e-zOLYEN;XaXs~2b_c+MbnRQsJ0 z466f{4cBUyXPSpNG;s38r02_$OXOE3X2VV<)$vJ*QgE%>LPp-D?ily)wPkzwC9~K0 zZ?f(2h$p}NQ`_$qa3f$>Q?>nT@k>XI{Nr~8TiR-`vW&Y{36-bb_K2;Zo?o5E%<3Ivk2ewNjqWoj~36uhNhR`Ve zv|Vv4b4D>qqAVx}Q(1Fv5D)OudMxdy1FUbNKebG7N@&mq&B7>rMWWV!K{W}g_n2`H z3o?Kw#wzkYvY(X)M{XT1a?ngdp0q7^>^r9k(Q{HuA)j^FbP4?}L|e@4cCUB~=z%yQ zD($egMweuscfU0>x3}O)BTto8$7S;Ph(_5FPq=3VQ7))@I6hdR^_{aukp^tP%tKQ) zJ2!zr5FXBGfU=DRm91}Ea`=ABb;-yXF9x>N>)_{)!% zfujbSfB+)t^?+ij-pUw1O@*87&ZG~fKD31ZCPd3y&R$MA6q%Q%r}DJEQ)ht=^|II3S+0ZlK)6p>vc61vU&Jg)!+ zA!3ol`1X)Yh_(n)Cw?V*oEYeg7orwC!&D-hknNQ7LiS&8%XsHj%(VUbYV z3NLc|!bM^`M>y%yQl2HVd0tn`3Z!|;$?X%^sjhQl=*1-j+%oXF$k~U2s}Kcrg>?Z0gjDsmS*@wpUbext!0} z`<`hK_2~V6Lx~^Z3rSRXS?m17{Cg9pVpP~rNn`S!YenI`wli|H)J5s)b`lK_K^o(c+mEJVQHwj`$OY)ZIQfeNYfYI`$`yr zZL|2RG+S7DyBhHeF8DIv6?>}UiyKC~9bKaIOtP;y`~Fg;V|}k~+JVm{slV_SA^#et zG!{ZB23mJAtyX#i>Sv~lFnYrJ2`F0Yt}{&FQHQNpPr>t8m#q@N7lh*R;NxP-3fp5_ z^Bvdo{j?dv(rHv0g)4ZHcU)XlbILx~OY-5)@sJnaSTjGm9>2^tpHBClXb#L>f9HgS zTbEcY8h<=|=>g?f*m^FwpN*Oud}plTm+pF5nqE{jYaFP=oA4z=(Y_|Ni5RzUPW!{j zMU4luDaH(K;?5#=|K+$7w02Cm&7%>Km3%D_RRZf{GNc}vky2?e#W$9R0^ z#~H;PxA4EQT-i!y6Zg_b&Myw2gpC0`+uxlZs*cO&^9$0DP(ck>XMf;ys8qKR0Aa}2 zLNvwlN#otxnl42L{rFjzikN-+C(wBA%%&wSjk=v;I>T^yHo$L+i6`S&Mm@4QNNE>G z?SPN*BM3^bl_5n(iNpJTUPQSd>S54B9(yeKm2R-;uYS%Juv zToO?awrSvj!M=)zCEYuLowZvtntC|x~^X6Ocz#S}v}8E!^DLT{iBb4}5c9wHFj zehZVv4egleBH594K`;G!T2#lqNe*A%6{8Hd{;y{kZn~fOkQ5)G8h?W$n`g21!Jg3Y z6x_!U0qKiW#Jxt9aGIGZJtRm$dlBY?BCg0f!Zvt6A0Rzbh<8hay`iuVBtBnyL7xWD zF%^h^Fvbi`1>S3y=d-+n8)B_sr0}S1x79C2Lo;^8?H}-Eq6_ux5ZDTQ+@<&ZrX4%^ zMbJ+1NZJd&@sioaqA0)rlFVLdd3%>8t>!YjkP2%Dl~(;k8dUQ$*>WVVdQ$v~`P#pY$%40s_)%4gDQuW=EkP<4&G z53;~Ea|(KFTT_d-J>jbPyKUmGTMY%97cB>^_W$v2j(0rYnPBDG|Tv$mrXubVfUA8JokPx|1Cl@>cwBLm8I zGM=GysGq4hVe|xIe->J2P!FPhHq=DvvZ-WfJ{9}|WcsOjQYU#8g?py#k?VM;$1jpS z-`yGvcKcv(7ag*!zFcv|P%Y=1NX~B}6=*=8%DIxhFJGjI$lMd>7s-LgNo*Kc_KEZp zPKLWKB|+Y)k(-6zxTwhQ*~1~L*)-ASg7)B3L#RSZ+dXkW_LBemAEIj-Wt;JM#1&?!%HpFI@k+K#*- zzmhRSk%cTv>#uCNdC+=*vqxS`jeo1%r5tw4($;h?alcY_k%n7k;LMNg@aC_!N$l-C zfl@YfpFi+9OFf&1(~vQVt9=*JchFryui;4V?*+3K*$2|kUyxFJLFgh>FnC!MD)1C3 zKY%aO*%#l3Wv6N=!0}(41St#HdO)Z_ahW#%x;B_-wZBP+v)m>Ox2#!)FSIo{)=89N zCwYu90||Gi!00S9(ng%AE(1e1CS2Ot0$zb9rI4uN(k4g^7}e140-EzneDpq54h84Hf(XV#dtK%1SiexStx;|%l zYm(kms=d-I_N)R1XuC{heP7}nFOxZ=4?y+Qx3W63Abn_Nt`{Io8mMIaopd@K#K&A2 z%Ed_tvGzP}iO1*a0gr1wT~`iNxqww7guV1xvozpH_<_J=uaqw(#6*ZcSe`8q}&i|-P3uoOxzsG~GMDmx(yK>OhhhqQ%dJmI{D!+=9C5cT57RJk&c zlg~9^1KvWvza|6YYeVaPZp6kNUX0dg2rR$Uf%;*(MJL3C<2;FuIVfJKgQy&9yhUG6 z5AZ$wpG)sx@0gxb99HF~A9ruz&Uw^^5^RrF)mSFz_rn?0vD;(J`B*V)RZbw^%F5`f zfM<({^Y0mLr>(aXlf{A$HrvOEom=_#%(reQL?}a{_n}4mlaZ*;&sSXDYNx;2&ul8Q z>-3!-BlC}_v>>Uk_uXVpO+gzW?=a|=aKN*y%e*X`0WYNWX+eE1 z$UEb4G63tNitVOg-^=1XXf_SDI`2cpGSNFspB^Tymp_#RG3&o*db|kv{yQ53gN6de zZnnX|!GcUhuQ&Ye#cgA6QvF)H#5I0#+?<>LLHehBr1KVr2#Uf-YR%L&ACPXXdhpRf z|7Y89O^eY`JiM2<45TTG*hig`ZGM{_ocnR4Y=FY~>7sV8&3Ar;H2=xLD`U(anMjV`7_1hO`8$;Hdck?igVshOf4xiHN9zfid)#v> z`jzR}a4R2i3jcFkYkWm?f(REO3}^oF%ej`DTR75RU}j@(I^~)Z3gdCUxcf&BPtkMD zlH-f7vEtCXT+2r07Db}eR5X1Lf5O>lD-)jvEG=x|w95u2De-z9#}o8JA`qJs>Y=O9 zor>v>-Tu(tJy@_W##+VSTXM(8H1A5}h2H~Ow*SR(?HKHQb}$?Sf40y0`R}L1RkENA zU|~{%}6%5n>_y1Uo^l&K7D-ScR|du}Xb{J71N-_r0lUM=vSErsgE? z^A5shCGu+!J#FENqY{e#X}Z;FO`$SmDvD`Rhaz~4QPm3KSq&s;y4WRni&QnwI)g9h zD3j!+M?uF7ea4Z>I7jPdER-qCZUeL%)Oi}KI%_!O387#d$(x7HlV%zTya`E!|Gft~ zlc&L*HlE$2EZL3Oob!eqsT3fmm@D8ucfsrTMh!gQ1VvyrAY})Zcp2R1&DquHD_$rGX;tXkRdYhhP$7~KqspU(H%>W zgQRmZBs?prA%_j&+5e0cTjEd4*NH+1I1YECpco9fpkxYxIRqQSyQO}AAGK0%m|`0| zh}FPoAz|CokeelFg9Jc1p7BAcQ3!=7Nmm}QQl~7Ira^`b3|>-cN>c?jrO%K{?C2^n z+A?dg;t)@$@WVzZTjsF=o~vc(2^3Kwu1{+%^|PWb_v9pmT9hwak#B`mW(ka!K) z%8JA#!R+FwQYZZ@DXykaMQUXqgFxpBlpUWvP$~WY;k(vA_^v6Ol|ZMMJJl3`Dg;50 zVOA#3Q;v6K>j8c8pCHg=M0EU;;XK5Abm;z27I0q+Ay(_uiV@T)l0%R!#X_kT1pVNl zQdAOBh#(6{=49x^OUAQ<>Hr}|t_m!nR%m%FXS!flJH6I}i^7GxF*FFA@i-Bn6WV24 zN)6jINDyF*eu$T0N9N0qMY17-8Kxk&jiF>>+EkDQt!eCEs7i=Z6>IyT?%8RRypVj-SWs6CU~N=k3$@RI@; zsKs@uw7P~sggz$=%PP`ULvch&jC|3-6bUlH=9rjW7Bk^(42bER^4ArNp%3x)!go9& zEgQj(6Uv7R;F&oI>bXYc^0qtRQ_dK5a#w7#gq>wd+D(W7*7FtU5X6{9m97$UspEXw zsjgzDxGTbe&QjE48Td5Tx~3YPO)1Qw$-sNz02Hi&Ne~t2Fogc@q;8m&$I{K#j^}MX zomzX48cZeA^Jt2-P;n|6*hIRO@bmnG_b9jahSY9MW0a*%MMn^<^>8!Dl(17fQ&mza z7N$^F)jmyiu)NzBU)dhmPd79y1@!_pTvu2j+Y;>L#i4Gkk?GXG=QzoVJ{?6(2Ep5w zKQkK*?n7w%-ZV{4Y218?H~ST|d@ET7aOo`5y+gDi_EymE`Tib0WA3)h8Xcn<)!S34Mx`4LhBbuACV} z>%paeZkjM+rbg7w-R!xe_Kp|O-n^ev;{SeNV(PlLC%(=Hp%)^;hCeI1$PqmIG2~r37|Y{*%mCKMs(Ic>cIWvANU(dp6s%oT zvZ~~%g((ZuJFNZX2}FArPPc!kTaGsr*wT@qd!R-&PJdi!c6m7$xG zd7g)TzMkHELAyfz{l~PlM^Y|gow92~FBbT3nZ1z_^lR)EZOSY13m4p;244qje2gT2 z^rZ_Wg?=s8a(+|n|8T~$L9iGglrgnxV`-mbAy%G(DIO9ec@d+#PxjN5Vh!*)f^`{p z)wXGwQ1*Fq!d6AQ0(Yo3!se7XGO$saR0(;N!s7A7$+9-*ZQ|~3*){^0d?={z$;}t} z5qdx@CSl{6K3d#%H>4BQJitecvI@eRSX4Ph)dv zXTz$k_K4E@RW9nmthR@!t5pc=8ADUC$gJ=v22GXA!6u8)HE9M$Xk*5h>J-WJXc~%} za8P9&E^?T9KrCrV1_ehbf+jAZ`#^B64>p%|1x?#bod;7Mrxn%B?w8lpsJFj?zzhWF+y^A0kK+G)_Bdf3|DkswWcc^fL|Um~)8 z3QLWVeVZK34XAkc0DAb~GtOx7JGGxN0|l2e>`91hy{f}d(zqH76dk-??7C^u23azV zg&C7z?_mmMiG&J^xU_lfM@r%ROnk@Ca(*r#h-s9dt4zJUTzf%gKfTL?6yqJ6Lxt!| zCK)Gv5e2v2?L~N@T`VMjH((Dvu!kdn6pG4MF09tkFTuT-4d03LUO$=ciU7j{P>> zuQ9_dufWH^?fj}92(n0aCxiSqtrP|D`VmFZIxNcyt+mwg1(kwn`TdwZs5*!0#CAcf zd&0=}R@~*~5!^#75tBvNzu3$^Ic*c4n?{ac>HBT!-lwR2+6?R)&SP=rMO zSKFB-`I)GukYw3IkH5~6#?2Lx$v<6m|Z$wvNQG;e%wG=1Y{9UfNo+ z-N{p1;c(r=T-jGU&*qxPoMi){S$+RU)sLN4+mNx~lm?EoNBJY6XZ0sMgIic}kow4O zaf{b8kk++M2RWBBH5~+8rlWdElSH&svT8*87J5cBo0*$1AMkjf6+L_ZI8U2TfVNO` zPkOV6O_9amjwtncJKo+W=CNdf*Ug#rYfx_l)LW|difCn?PnuBrgAz^MY3>BA%h(fO zx_MZa%RW`D7d@vn&Jrxpx*af-5f7;-{Hw1X%4FoUcVON z$>UH3tGNj#Iz?Ap$l;Fwj|I+h7Pdf@H=A)GUW%9<5`}km0Qz9Tq>pph6cdKYOkv~D z!XwH6Q;%J8l<|}~1pUU=AR4~cKYl$1F;AS~k#1Hbs*1W|Cuk_TqO}J@28ps%p#t?@ zyWpHLy-DAZ$FAqu7(BTZa?P2pL|B5zIfWZSnK#JbtRA#(h2DX3V|WwO11T7er6pwu zCr^ToWb-;KUpn$3C;AHg*dmVJ-&1&bPwK5MhB|=Nu4SXQ5K)UqA5z6C2$=OK>J)}t zmx(mw*47XIfxiCf#KG~bFvmu5&I97hUfhnCk0UBOd>(g3Go&32PHL7e<1YjG)dP6`i79^(g z!S^jXFV4^kF!77_V)pMMxQoX=nQDElrS)&+%WY;D#I+W<{)$I zH*Ab!pS7=yr-ko7&)4X%7L9`+>?{1!d?pOGcJeDpx^U%w>CNUI9r4H9nAL7eO*Ku6>oz{3`Bjs!~qTYbgL+E$yv6s`t zf3Yu5j{PYVo-+t%;~es~ifnK2d3`6he5^(!qK*M-DBVt}e*#>SWw!r9GSxi!YLOFA zk2M`1;ajP_BhtD*EP6V>+X9|0|E8`g`FR`6{l5*1$%B1`jV-!Zp1gB`9;_qZC81hz z_IHDW(+AXIdCsO67ag&pzs#ame@Eu+ot}P!ZadS5v7T^Hf3AU@ovXmh%$G_VdiMe% zJ>5_SjEJN_r|^dCw;_saODiz+_8ogyY?9V9nPmqee$Fma7`3#$K$@jxDFPIy5p8mT zbl1lXi)oyoR6ZF5E6P0?$A5X*6jOM)W6Mhb-y=hG<-}rW$lJEzw2N0 zuvtJdH91n-3V2LffbvTg%=aWl@BlJ_qAD;$GDfxIqT!V~H`CS#AZrgzh;wjbEYU;GO1eQg)vP17)L7X8`{1!%~dhKv@^+yZA`S*DQbk3k|b&1fnH2MN-qX!$Mb9o z>cTpMmf9>Q*R|AfT#f2sn@$N4wv!6d3u+wQ4CMVOFTtUuxnho-FM7>Nh$O_bLzd)M+{u*=PYonf`+vZS z_tdX-#rK~K7M^->^3a!AXP8uA#q9)}zYw9BY@1B4|8oAoEv@soYLykx{3nv6?x_?n z++q324~KN&!G23WaVtf|&a>K0mqn$E%(;L7qwf}!2!2a@XWZz!F)==4Rjcoq(@_|u zy(y@)0fhKF##J%Oo%C?HQ~ra~x7d*j>uvTXotFli(k7LjRg&(N+0}q{cy)4R@@TT4 zJjR%ViPZM%k|le3t9D%2wLBqxZJgX{1a0c}<|YE;PoMJfhNFE}so(GB?W<#>)uj;*RX+!z9#L20_V2Ha4Yjjh`KtQ>4TsU{LVBdV&68va$Sh}?#dC7mcYAv zUEDJri-0|Lb%?$f9g)%Fl`mu4d7cHbe12DMlVsVTGE;LnG^-@Hn$t}Itxw;gu&4R2 zwlDq1(XDA9~q?o z=B>A2d!+_T4^T(sB!jA-u)zBa2y|C=@!E}P~PZm zy68=b@FbmsWkJgoJhe?^*(Gz>T2yo*EpF()LWUX-Si;A^{2?A7FiQ}8h-NGT(K%5H9&GGT9-WOIv-c*`Wdm?MO!vkS|ay;|t z-|otN$76Ui@t?F0j^xS|ZJ0PaGS3(LzD9%e@W5O_QdkI6KJg9fZ{-uDL7l_4B=;{b zjWgLh?ds>};ep*Yl|8znoMX{<+Q-Uo^g**VoL0VZzI6nOX-XDUQUOXFCqu#$GnXuz z0WT!PScleH>d!zOgsq=CJe_YjwzWutcy9!YT<$wDWS0%T&n9M;cX{25w- z#A16HeBaDiuzUYuCJXfBx7?|F9+6M<70-mT8vvTK=IQpyJrIxW$_oN94Cs zJuO!(zx%`FlamyyWPj9@Z+w*6v2t~_cXkll%|TGYeTUG^4w-#9d&G(XE+OniX~>Rb z?VZJ#{hpt)V|^}Z?MXFuillBZtrdTs?ulTQ)d(Gw3Im1r-yA(~=hJz5>Fn;%*aVZM z8X4TT{V);E~L5#_W!+8t<}4zrGlFbbL^_JHT@cp{YZP&Y_;fyRZT|Hx`I3sXn`yZ;ZO`JFQl4o$9Igd=w~A?_k!1=aw_6ZyeJ0|;*bJUjHkHVXCcrYN_QlB{N3n>q|FJ@ zptlr+CpsRs{6$0NW@KPY@+JzUws6m42kjny8;+JLwF?NbQa!$e?2*vaw1p<%_*bM+ z+RnkR+lYp$jddZccJWmiXahjx`EehaLcpd3yQHB=EgRLGsnDE}QX{n~QcO zd8PevC;uGk^A@Dx4zzD%ec|^>u0}>GzPjuD&oI0%$?U4kT5=2b@^exRNCza4Y(e&n z$H}0ykJYX$y&!<#g|r^6GW!I1uTU>hB}7c)`Ha5WyfIru(v zvsYK9lACvWE$TGq*?<+YS56*sr_Q5#c9$N%sHhcBW_EvjfZC!O7F<@DOy;+z_w;7M zJ?nj2)5TYLoAM~-+AZ94o>y`%-rywf!H*A2-b8Fe^9im4_}RBIby4c;`cG|1^Dq5p zBK^dWEq!wU-i-yTonp_=k6o7b&+SdCP-EjvKNIo~pNFvo$IG9g^fkKdU~c?cQSlmD zS_eFxq@oTu{ovu1*0VhB?u&hX-q*_MNqKGrN%1wR-Psr0zgT<~i#w+h4)%Z_^UaA$ z+7FW)2ltFM&Rg( znYk9b4N$&!UVIkm@OoJKOUf)w`krPF_wl9Upn{(jmsv3tEEKc`dA%bKcYuQ5W!=L3 ze1yQ65a*|RistA5qDtRqclnoMz9n%nYI6#?8%=?rs?E(LE+yvECsHex>NFL!MP8mt z!QMdi?v0={GBIoDq%)k+Yv71V+Tq@Ci^j9BP{{}PDd z7|H{a=9K5J$=k8*Pn2YG?E&Em5ZVoDq&J#*W5ZDyz|m1w734~*&piaQKH0{{5k9nX z&@=N{A2I}-r?r2Fw6xGRwjs;X3BDq2>GrJu`YuOuQ3SS8WRJ+?qIIL6Lf73C#XE(g z>HJk$%AXF`Av}hT8j3mZq|r}@01bxCL_dhu%{()Fhs<9~{X|t*)awx1r`o+k19Cw5 zD-%P3G7br|7S&`*Ze4b*xa=X95~Y$s?D+-$mtC5^ZKyLFx1nsw750I_M)8%G_(i|Z z7VMO7$LKI-@B|DB%ml+!dddZBTtW|X4+~r)`P>gJ|3PsW&n01O2J(#CcnW*D-4KrK z9tkryW)tHNkFHX>Wi3W0QK?zuc5AELr1?V1%F=`jY}yzMm(hfGfLbobk9l`o{I=7H zpk!2L2|O{?oDA|;V9&ED@WNW3R)=~>GfGn{});o!sj8a%S%znBj?&5^l0w4P3!GT@Y7hv+k$I0FTl zm!8URdi=x-iu&y@8A+ zuV%~Fy6my~AG-Fh%C!d^-T62l^KA5|qulS_aGy^Kv6U{I=iMD2@4j&`oQO<*#@b}k z?Di`!vX5Q(wItmH4?0u-<617(xY6fht`$E17)|~7$z#(c$={;wUODE>=w`@+9tBBdYP#=9mh{eL%_*V>GP z5c>m}jAwZ9U~DhLWu%qhvpWLbu1} zy1^eM1(x>I3qP5Lv9D}N%-_}?w=ry0(2Hg*NCC^Sq{qPEZo@AA25--pfh9nx z)uTt3s$F+EwTe1seoGnD4{=B$R_NHPI?HfClU!Jmz@Yi{`l6&TA!L`(b9KnkJ%E0E z8INZPcAbR}83vGuDNli+$$KHXU^qJztt__->$9}8%8b9oXE`HsfKKK_F2-Bu*_@Y8 z(2w9!JRBOlIof*sP>(a*vINtFmXEzl%I2x=Llya^+y7-aF$45$D?{F z0zOysF%BT~&A~&=Qin9D%J(mmUI|*H0z0aqBMEUjWs(NA=M(tE-bvZLWqN8eQVpfE zm2~{*kULg?>&&=4OuPvecrrV&b)+x6X%TIWB@Y)(4cF4-(=7Hb&!e9=bK+({sGG=! z^~7@()i)|=u$Vx%HWy~bJ4*$06ReTB!VYLGp`7kOQ)pDJ=v^>UlN=URdkXT1)*jYFJP> zvEBVwNqX?*+chQG8;U>QPRag}jGK@u91dWgx|oR8e!8!Djq!(wJh+2xbN?lsUSd-E zIOk#;c;xA4|I{&4-4j~YS54i2`e#k|#$?FV;d8)#_ctw6-<7l)$lPB+JH?}RviT<$ z$3z{!jg(R;&+5Kg8u zl)grnE4UjO)=xmuT0=eH>Tq{mwzF9L(2lv;;x7xM`t1cF8U2`@2q*hoGkdj-*OZ6& zj%@4-c>>ozON*RZ%BCfM-tSU(EWJ}3W$0VMx%k4<>E>qBjy?@x63{>XbQnMS7}8#S zGO35gi}Qqah8P8_4CStRv$z#OVvl`ae$=%|vd?Y{_NEV5;^$vKcQb73C%>AeZ7|aP zFLl=VmzgF1Zuv|fYe?r4dy%V(g3G9h&Q-CYu>C*c9?XbVWY(-s?PpCq~W5 z01SCwdT5S$%rBx3pwB6Gy#OZU?5HxI+@q-rtbH}PB<4k7$Okkx58K!{Ay0w6vNCRU zVi<;zYOGBSsq{%|Rl-gX_5fuRho&OYfwlkNXI~iox|a!*b|fYmbbU6F4s+wmYgGxAHSqn)6w8-5& z?13`>sLN!Fvg<&Uwq{T&JhhLNjc_ zSMbvi=%TkBIv|tuwWrXXNmI%yn0kU|K93$*;V@{(#@wJ3PViY?GoA276VK4bmRTOI z=hj1c6pJL3P!r6Vms1IDcC>4xJ;(QX0bxZ7TDvBKsfp_ep14^HG{@t4B7|=Gg^q(V zS)0f6bp7T~tio+V0TN`Eyv>kJBpOb$ACB!t8QsE?UL6!q-ti)e=cE{64~LmWVSi7a z>{5!C%o;)wrPFZpY2!IFozB?3Nh%f*UlPVB`JYO&bT*wNbM=I@^0vu|q;X!c&;Dh4 zDHBDm8q}$Kjb`z=0|Vob4p}niYV|&wU!*cELj@*uiNa` zom@7CV8^zyV(`AS;SW`8@c2dk>f%X1x7Z#arxJ9s3|=tjT5UdT?QqKgs(Y>7(t6^W znLeif#Jv}^@;+7%#@mZw7SxFkz0i`@-we65+OWWP?m6~}^LdY?_KC^NiCDFs&m8+I zjnyRuQeT)%b z^9%haHCt|XzGlWd0_XqrW!*K4aM#aX%=Feliri;QwpP87qqw$>?g4ESnK9gP>J4Q+ zX+1r`3Azh571<4B{5HHK?IxiA$#gz1h+%aT$U|($2;mPIxYl2~sO=c!Efjua$W$Gr zR8kS}$k0g0eGzUr`cIQqa5L>1Ud_^f!{6hBe|LUi?N@L0B0d^E<*M9ROON+8O>(NRDH>VE6mDCa-S@BQ;7)`q{; z#CBazBBINvL|0wp*yo7?8$E3ol@F8DgVTzXJip$-P3zTBs3p(2(QCR9jeP_80LmT( z^ODaNNbEJxkc%QBIXsUhpEC}-G&R}P3p@qJ(-Jmgt)?G3Fj}84yG7bG40w42G2xk8 zu)yddCI_6H5H<$y@LwVgV{ZRzXpM_j0~&Mcu;O6XQojkpBRrL0^JcL#RpthDS3SNp zp{Qlfh7no7@Igs46D`k!pH4JNNfb*>(e#8M40JI)qaadxAI~Ju3gF>o5ke%1ZyIwT zOO|D3mCr_iq8R4Z@)Ham7>~?_ayN_!L)9zj9i+t~>B9Jqn~n8p25Z%&WgLa!Xupg3 zPK-iBu;+}E+8D|K2+;6q@c zim{!NUt!b>5)N7ynf5*1LTUuAd6w>r#4DgE3lMgCNV%W`4s`mYUVCReo<*^MPYntE zR;ojy7dLix(bb^2GLx)0ea{VD*~JKPS(Pfm;Snr<8Rh(^(+1K^*yXA7k6LjzD%u!wTl7|G52fi+%YTy! zt+gm%M?egO33%#T?^#ZwkDy)}<9Ra1VM)X)swFafUA2L>{~UW_T{tbt32Cj0)&3(Vsl|)dpbV&{=zp1+aF`QU`e=ZAPYFm{fxO|;SxVE z#I=gezetn$t#7c_Mb1q+eDm90G_&LCIjearHdA+Ys5vcT1jnyGL+NXDSt9oMT~YBG zT4yGyr~{7Y47PsZg5+rP3ERwqkIRd{rB~S4&UK#O7x*Gl5>}WfW*#PW)+44EGx)#W z=HjPs|Ihg}HF2jWusIliJhKHx2*oEJwuKsD5^l4%lhD_gVbS4|@1k5>(1_W#HPbiU z{_-vMiQm3udVJ`%7fs!9_~~GKmn$*BhMNzw-x6xMG&T7t3i5fEip28@#?r^r`^bN3ZzTD8SH30oIsGjc2s}N^9pdT!LO~99 z!=Y>w1F~I^Tm0clY$uC81QC5@*$C(W_u-$D#C)_iqnEEz zxb?zIWHMTj(+=LSpcnB3*;Bd9){MP98kcpF!r(TGM|xZh z))1%yz3S2A;_vtPr?wt_DJaN8aq&Ekgy3}Nu7SX&`q?i{n>s?_@eqUOZ|Q?b5Cg72W%m2zLDJbFZ`UH zn(+#9I%sQ1mQ?EuiT`Nf=l76o%Sq_-*C%{e-rb@)eq-R|$@I@LC-LwMz16?UX2Vk% z3Vbik#e<`RG_IY{pG>*O(S$YCAypTj|B};Z`DGNM<`YP1es7BN;%r9>F z_!c`L)*FK6=l0%19=k0`{h#c$n>ebdnLroB2U>wm!Yue~unbj`;rHuu$wVM51W(rySXe2@NjOHVe~oV4xL zHh(1!DUE<{-v1(RY~fCK6k~7R=tsnBi7Dj;Ofb=q^aUl|Gn;flZ9wJQoihdga2R`|9*`Uf(h` z1A!>B?CIPv`?diWF#LO}d*56$*l>Aam%lm4Re(gsZaP4f@aXBMU9 z?O`}vZs{f>EA(>>z9fj~tDtK`m#yVek*j>yF)9GDX~wzANpim9@r&qVir9u2qFiU7 zgW5E7gkC^-mo@yQ1l>e0fPQXbl{s!f6elGvqXzn-n1VUV+tnh-Vw(D;3sy0&V<&(I zanjfhlU>H7H?E7ZHw6DmxUlRw3vCiX%ignW=LDpcXM$fzXZW24xgz%(&vA7$j`K7; zKN~`99o^VPAUQ`_?}L~+>bZ}kOPyg3mbjz}mPtP5J!a$UUVf+6lNk5Y5SjRViNHU3 z73qfd3;PiMicO7-9#hh3bgc9aF-rWR=PC1!v`L4t6&lzzFp#RjML}dyVgczwPdS8k z?%=HK@Cah#(B;gaEjcx{8-tH}9f?^lGGK$cIz4H-nO z8u;;i*=%&7yllBPiNm>rbR$x3BhS@-{X-Mf99dZHww@WSFeBzK^IA8h>N9G;mTZ5&G|csntTWw8Y$CGg!(>NhHnzM1j_$Y;s+1^ax~9;x@Ckk{l+1e0)`?uSp)KP#G(BIa(LrcF%db?;<8 z{lTPnmFx%=MyPraZ?gUW6exbUdezMS!NKIg8=RW^80ie|b!*vmiliHD3>S4jZehk= zo{dr`J&3Ck=G66>wJ(pIh&ik8nBjA3?San&$0kV4cCU z1*y>dvGQZudMtTBMdZP^xu&?EIQRx8mS1a!cAuJX?jN?RaohyF@Gf6vbHUud|5jz8 zZ-B-%pSav+2fuDvL;08}T*wXQfiXiWU5)S0o!2T~|NdaLwvEi+G;sGYode(cTyiYi z2Pqm0R-00nq~mmizc>)mQr+t)T?#rwbDI$OYzZh@bT?KdsaycZzZzDhwHj6%`O=G$ zP<+Z{?g@_TCH?))?fKl|RlzQM4BbQPvZZ%=@0Al6%hl+-e0(Wcw0&I2zjT|k_WaO& z#=yj#e0nraeQeAn&2IRkCz7dVc2RzuMDw5g@f192i+*0aN#DWRtZ()%5m7A#+3L=ZElwf|^qk=F-;!g_n_d1NG2QD&`&i~eEF z47aw;oSdOi$)4PcGd(E^gd2JCCsM^N@Yv1aZjJ@zyOxy^&lQmF-ysA;4yV9{H8dfg zHu`&2N}!Xu?)HSd%agfU1ilvwdIRt>SxOj|f&w0@K=PVWf@fDukq*lGFBi$(4q}l_ zlqgg2dP&UrWDvo~4%^!ko2ByuYCZnIj^%FFAFeTT>?RmfA<=TgP@Pv1+XsZAZ9l3T zH8u;4%_2s;j&K`==_nzf8BYp&2eSHmlT5XZuF~OIX#`PF8_*_boDT7nM=dsZr9w_G zl|L6N!;%QqH<%5DBUr2iOOLbv2B~U_zG^_mz-d6InKNt%w(|A*0q`sh@i%&{vY%69V+}Reagp#6Bgn2n7%Iz20XpW2&W14b zP%dN-(r9We(LptVSW5)}wrJprC`Z!RJP+~AR6{Sx(|VRTn{N%VUg`sV1#^dwb^ zg*?V|8R^jrCPd{Rk3KW$UO?C5ZCD&nNlS)H^<%Lzjy1Oi=-1MP;T6Ir8fZxoRDtC0 zfV6uH)+pH9F}$A7z~s{yTFkZvP*gu<8siBiGw<<;;P8lpk3`=Ap#2g;h+dxGiD&|c zw(PqGGTIst=}p3v@Vx)2B28~hEPlUnqmVB1E_p|#C>oW-hku<|a`)@4*uQ&0DY^o4 zjH(%J%_oNk<1wis-8UG{%d5#?+Xh=JXd4)q1#*_OKGGQSi9f=4B&#Hrw$thl*(dDL z*;@(fSfk23FANNjXTnaJV;`0aIk=GQX;sr^pH-Kj^~rQ4=v^V{qae(MRo(KseSY?ckMhIogH(B73Cy;cnbsJr|~o3ICBQ zD`&l+$ZU7zvgX{S?=#;>W-R%$pJ6PL*pkitbf`8SptDbG^Lhx4W-@jMkC6mo7&{ME+7x zPjFxeQSY_1&Tt8$PP^vx3umvizW(3tNgH2!QMmrTr+oB~=UE|42OE9d=6|)JFHF7C z&pcSCKpr%b&5?V*iWmrFL85tU_*i()#MjZ||{L*e-Vl;$ zynnGVYU6#0bS-3lN|lr$on9o=oxE!4q=lP6C{m9VEuH>41@^N+qn6l2BjX#Nq3ln` zp>NXC{?uI*_MR8W5 zc+gSq3b||yU1B!TnngziEx`p%l`(pogvGIrDXwvx}x2c=7+XOu!rynfO2MsonKVM?p@ z(Wx2d+a%s<^aA`*ae)|v7|_Hq5EGX82~+IB;p2=_7&`}R%M*xqB@s%wU#O=cq^Hg6 zm^P7f)(O?yDF{WPIT?_wO+mKb_OfjR&WcN!T~LT0Psp1?WU2-A%ev7(E&m^PZv!RQ zQ61{;sy^LwW;B-0j7HYj*tojg5^dSoV`GDXU~1ZJGgbt&9N7rskd`BeV+c+kOX?o5!Cr6AoO9}D*REZ={&xK~lST-H?;R{k&|-9mgtG*BscJ6h)}aB=b_CCo zbs#!q>nn)brNY1AFfXc@$Kr6^IiY<$$soceuL_q~geLCCBa?M5k+aO3On~?P5VW2BHS49lx}#0mcyc!h>E52MBwQ_q z0iG#vsZ4~-L2+V8vD85n8^}zmHlZMQL83V}^G!ROWno_Wet}ju~JWNK)hUdxFqU zlBHq{1kJ#TVx2@Qx9Cz+Z>0`6ec=(bK;YrC>e-z?$-XBuQ|dDSYT36!ceXJktLHA3 z{JOuImd}22W8nTS2=OGnpT2bqE*OUct6{G@&7AQ`H|jwQICSsty;t7H}l_!M1#t-ryCkWWKp%g?wa#M2teS;%)^ zl4h=Ayq>8VdF1~|5=pk3B+wbl1H(as#+R-`N6Su0bTA?H7Zk5-c3;J=V(T;cT83^b z!ESjCx&I!*KFI1@ca-uHTM(x~Pk?ZO?I&$HF}7_;Z9`7%oYt!TP*RkfYDY7c#E=&S zaoTkPSjka+#n#b=i!gX*&oa_46i_Y0xjK2&AHaf&wx%;0O5s`+H$QoTNI!#BdjqfT zi?o>R4LDiPn4t(i+GS{WKAJA@lj!tGkcD8KOqNscL4QU-lX6N>xkTvlzJWMU+q#(6(dV;;(N3A;GZ>Jtg_ zqK%^w>o^89GIJIrB|x(on9)sr_9fJ&CyEsE{<#o=A> zThGZ>t#73n4v16$lT8zDy5v; z75U0nQ@EN}Je)~bn@V{~8(v{vx*V**VBWRhI(&5bA^AgS4RFte&Fz(ZGST&9@@#C{Et4wena3_cw(XzV^Qc1{!h#on!mxYB=qBH1*H|M z;Uaa3F1@@~-o1Ln7NUBdsjvQ4uQ(5g&P(CyZ`4;QxdZsHcl8-O**BXU?Hzy0s;xmdWx*e`u%YcC3^8 zYJY6xz>AFMCy9MJ`TdMt7c#edh~0c0x1-_Lj@$eyXVR{)EQl)0KQ}Z~dSeD%qi8&V zmi5gmJ#iIE|ydiH*A5b4I<-Av_8onf!-{2$HH+>PFA zkRDBbhP%X3&qyO~BTCb}=T{tpooG+tTD~B9^?h+xD)Z}{Yx=Y^ECqi5&Sg%@ZHP(D{&`udY5tJ&L>$o?z>5s^RZLcoMScqeWc}m~>CCqz#(W%FC z3>rmR+g!UR$9}vSy?Qw3t!!m;9JPtn{Q$nvdKE!Q@N`ISQ5a01$FN0|*9Ag7ioQ#@ zF~F%_PU*Jv-qB&{Ho>400fy6^@<}!I+K6o88XVi$S&|5juS=w`pK}np_`r~l1x9qI z@OpIQF};tpLyxUDI1T0iT0c$bXk>^ikkE4MV*AD(^b$%Loi*Y;$ti(6xtTM7C*L9`s-^5M**xW*gDO6n)bQ9FQaN zEj35Pm(ovZ>@=7+|wyJ(MtGU@xsIa^BA%C z_o{$_s_o^ghFcDVrL?J~(5lEI`BG1Tmg3Bj_;oxF=V92P@raR>Z1 zUJMg*Rke|Fi(YePmkV9#I@M_aP&h&b5t9K)eMFp|s-o$K6w&rZU`oMJ=F^}inkFWk zlow5eVeoz>S2>WXMX10Jj}(nK&o}Tq#~|<GYC{w|g#CPa!R*_+ha`lzkV-kT+c7=xcr-~7oNx!o;TE02GSt;~mMOBEcgD;OsT-JK-Mh&t$X>I_s`Cs2>P z(-dK(>Z_SIw?VGj>YLOpR*s49xaCWvswrLv%l2urUy_l&}-Dgt}%Y8k7DoMSCqNp zDj!*h?^W7&{8S-U;Dze9D2_x9lo;HuIqKYCUYxEoOmzNy_MjXxHDPZOy8+4?E>vUa zZ-n^iG#DlPv)yT$f<(_{={V4IClfL6BSxQ>OOrW^>~>b{%{21!Nz`-0Wcb67$uASI z{({g8{>I_-3?i8Q>NS)_4(p~Hebc_1O45EF(;8>>lBkKU_%ax9SvYu*&!cK~GMkSD zRV~jpkg`S*PIF;=A(Q%Xa9kSwyjWP4IMTAT>%(aeJ8!eJBlp1NbXqP`szo(gUhb zp-w!Ys=^mNRQt@N;zT;jndo7RZM64o!!ewZpEHmY}x8JIw&3=w|dY0Ei^-d`}2wYmb)XDFG?7RNk;R ziVI1hZf&rwNK3~To(tXOGPe+|=AqV3_~~Wd5t#9&&gj}_(guGKx+?IMJUe(oju$iZ zx@_^}&qS%YKaky5f^lkECsRKy>b&wj5U!-R)HxfD+7j*LDWi?K2|p|O+pcNjXRIK6 z4k71RB_0@ure|KdmPjuk=7K4WRL>xeCRW}?jcBM4;pQq(_nEE<`Wsa{ z@Q_^4b?*dPuJ;pIF978p6HNCEP-+_NnS*5{Yq^oZ`XJCU-h=Lfdns7@Mc}I<=2~()k2IXQAi8BttYrxfOa%)`C|L;k0i@<8YE^Bm+h20u4)o zKVJp)sHnZDHVj_BOQ7DhTIoBf@NQILM)eT~TLCkR1cV#} z4JfE$>X1cf(g<;w%E;MO=orE_r&?@x(MDeil2!>515XDe+w!<22#rNrKtCc5Ysjb zP}jMi)M*w&))sMQ1|w_EE+X{#*6G;mCFGwKI7oG=K!xlczqc2JLq3vrBdH#1hB3}jd4LW*JZ#CX&Gsf)dAXGcfUBzRw?r{R@A}cK*^bK zjk<(h1Y|{z4ewNUiQqv~(L1Q|LrxN0&;2>{Zf%$rdsDS6nxg*TF3a5@T{)POyx&YA ze2ilnOl(Am+0Y%0zf96}g|V^Pxd`Ybp#LB*9Q$;%52pU1{t>8eNA7)}6w~*vXzLZ9 zHq@O9R!k}D;^%Y_{pD_90?CM-BsMZP(OohQVQQH+-X0f zA>L>kGH<+WT{E(jm1@uo3OunWtyhC$t2W|!8axBRE5=E*oVuPsU0o=Xib|>JT@}++ z(XIb|b{5`yh8$SNtmm9?K6I?Lwe6-qyE? z{<%huel3bOvH(U;=qekpmXlE?j!dbh58-oet4$^^xG;u+u4DMkR*L}IQ0ysTy;&Zx){y83z5$yfpw z@lXAAE0T$no!UDozce6BP*i$|B~u>B?wm0l)l46tT-|e~Q=hw9(+z4e=7TuCeRX5< zpH`%2vW45j0MK9=uq?Oc=MBpWpP^@XS9I2wUoxHEpym8W1G9j>_&s%KhqGVwa+Kjw zc7^IJ*dTCCG!wYmIzz*gy&DziK0-x}&k@#Zcs7FxJ)kxRfwtX|ZOr`%S6%3gLVw0U z1*lp%0?^cG8&Vl-a&CaK|BVP z>H@(Y5$ye73AZ2834R)bLR8FRaCPzOq@e5pA-;KeH7HtMnTs}cIX$!jp+Bmkbf|yA ziq;bDU6`^nB_W+CHpIo279UkZ90Iu)nuHo{VH*IRW``pQVjYN{7~)KI91!ebh05v% zjYJ1aT^=}}qsrOs@B^y0HDfjMq$x~y2M|aYO_st;d^f-nW%9WN$`t|%ez|N-FJQX& zXkrNNG-S|MgRS+Xnmu8?+|`PsNv@1>NX}|ZA6A#XK>vw)6s%mSIpB6^fS@6|JQEV; zJl|y2uv;6$HMIEm5+gWdJ&1SmxtXVP3s&;07dEn!FasHjCa&neF_eCuDbV!&*iex5 zbB-!;ftIfU;8uWmGqNAi1S0mPp_pfy9SULLQG4uY)SzSgfCL!k?r09?G>8K?@I>4S za?6}LwQ1+jAQPIv2AA53qgkBP2vq)_N@4Vnsa_=-L|U|h-F7twlO3}a3_9}gjvSoI ziQ({-8gc&Vf|i2Ni_*mpyhrfq+suf)>HJ!I!J*H`Ps`h-J|3zl>K`gi$#qy=}$1Ze2){BApJJ z7ZfiUYqkEf_)Txmak}S^gdH)8%AS#RZLb(-$nsvv~RxuO47F9`et^nib_j)TK!y(StM4& zpC_RaOv2A=Tsh~|^qc0qL&@C&*VSf6yxcg{6liCH`VewaH*xvg)64$QlM5xzG5@}lg+jUZ! zT_r0gJ%w?eDMM|-G@F&BL6E*I%!EBR4~0!f zdi8Sv#tWa8-R16@z~ti~c8ap1dnVI57n}0v1Ex9LnUQm*E6x+n$CS|)1uVtKcJcEu z??&kM4c2k76zfEkW8jQ*U?k$Q%9PwL8@OYtGs?h3te5(#(9uqHM43GHS|^fUzoq^9Zav&B?a}&5$C$x2dNIFI?hivT_`)kJ4oQx8{87ze z83AI=0B`Z@)T~UY1Kpg?b>$vDzJ%a*D)2bo<;KLhmUu}L$=D^D*_z^NaK?Z##vp=D zyUN`lNsJ?j%A(h4=;Z;@9_|jyzO+M^?5P2sYGm4~8lO)t<5FcBgS|75BUkyhRUu-} zKCLZ|nWA$s!3=5ZIFOQ})&on}5BE5TBkP{gxZd|^z86Is$(&45T>_6nh;Lphoh6|& z***=Ey;=N4j8lHS+^5Y-jg(f(Y)&Z~A0T5@L8Q+{{3w&EA9={@;I7L}M!~`%HLZ8k zG4p(bp(4&Ji-$cD9MAdY)>(4GkILo%?dodrUg&zsfvlj>nh;Z@!@`hF%5+=ANm;QJ zJcT1BwjQlw^%LT|=dkqdE}4Gf22GwaNj0Uo8 zccc>#d>pN5{-&rZUe%L?9JvYn)Zs}4Nz)>lGCqC5Ky@42J9BFbYk45kpv=XTNc$(TcEW&umk?hzKE;^m=tDEo2l-Dj54|)3@ zUWtzi-R8Nq$@SbSd1F{hhEy-el%`Kyl#6eZu$tbVs?=(*7er{cRi zalIl|a`zFd+%(Gp?i=K@g=1$*K23_Z-IeOCZ@0N^=`>fFeT|%9oxz3=(~=!6l#-~^ zM>cw63@t1{?Hae!2fkyfJm0}U!n|VfrTu#ft>`kR-iy>RdQi1y#)jJ9;n(eBJjJb% zBys?x2%dF@O6xsYs6JwoeD%M{W&7?9GqW1AMp8Vyv&mGBXm>f)+9~&9zLSaNtn-9P z&safQJ~$GBn8`a@7j%u>H*DST$`8@b%zl7fnVZFQwJz*ByVXnWc+@oQBiEW?|D_94 z$(`rr@;h^3_Q*tDuRv_L((LZE$j>D)W=Q>HZfyHXh8gWvRRtEItZ!vXi<0M&lVqum zeW8M{rrz=RlV~kcFMGTrbv{lb12s>yna5IWZZ-U(tPo#(q{HoX%eP2Fk=RV1~!u$X=fKb!tbYjEN4>NmW%4`p7bKh>0TWMF!hjykC+MD zIFw3+yK z`6PSnLVHNQhMF)oTB_7n%9d^G!_4T!e|1Bs3qjr%JmG#yaLSkvOf0)gJa|k~3>~&F zxt!L}k*1ZB*GkL2Y`>MKL-`tO;GlF7bVshkn*|6ROi2e749i7Ro3W7jT=Fxic>Q4( zVUKgu#n_$P=&&2yY^N1-CpsrYL$(J8dj8CAA%Pxm=AS~MCX}=y%1?TbrjO2gRDb?Q zUQcc&%8W>Gyl7XC)4!s0gOwJQ5itD;~z6y##HPWL3h}n35O(kzODhL;N{IN8pL{ zYVop{t76o%cVLj*#IjY_7K9Cu!4tvHlDZ?BHpi%qWuhqkgbWL+DEMt~rGXbtk#}q#PWnTY%sOw~~}Gl9SXZQ2PlM1$Kc1S%;;obk^ft?Um5si?BBnRxcAN z&kfOWm2e1yBe6*xD!X){2oV|G*%F9qg}Ya1gHW@y$cPdXatc&MYx+VUV!T_psH%}} zf)56HW|N`-bb~&TL~#`A@%_xaY(FxQ(|!o}1$NWQMI90%J`BL+Ugn5GvCokM6)CdL zFufp=URV;Tg1K4ZbVk8zR>d&nPWYRN0}L&JS3xg= zTQtblyw5r#tS8=RVs%~eIF-RAPRxzw4s&p|te3UE86LNt)wH^ZjFy5|CYQvIk&(8^ z*7~{G4Zf^5;{K8}OzTuMPjYkOM?Ixk5A)og1vWSyvtdg9*~nSUVA**ic@3ii^z6SZ zs^zhtlQsU*=0te7YV%<&Y-F=Rd-F{9F0nT$NP86mn4olc4Z8k5UMLcv{G_g=j~qH8 zfEz6g0CC3iXgCDR!*?bMW$urvQhvi~8QylrTv;*uLY5v7j(z$&uD2uCJz|ZiPPAmt z{`8K01Peqmp|kdRdWKzD<_CT2VlTJ(Sav*1ByiEE|=DFK`y*(F5&AR~~ze`e!H0I=9P+42b{j}&{7hk z&6?+?A^W<+Itb2p?LCiIlkmuI$>`jRa8<)LQmT@+T>dI!(mmCSoarx z*Zh$j+f&ZtXdDrmfohUXx@U%xG+*&5ac^z7Y0cTV>>qypw-A3Lq>h-JCV}QEK=ZcU zL*fwn)PA87&8`Zy^dW8>d;ENosTIF5i%Dsgak9`=Lg^QMXUetKCjhdYRoZ9g5vs;J`HW_`h2Ky$f2{D#>9VG0GsAiPQw{>;Jh^D@LpkB?mi zLip(6EPIpa-ui>fcR`LLf1 zj^D_lI>F3j9<$pE31rI)f!huNmbx$;h$n*2NC=S>N*W^u_1hG?tmv=YIi3IwKJ+)w z4k#asHIRbSn`LxrU>Q@dpIQ{BI-L%j59&n{4>;5#N0Kp@HgQKwPaX)v#|rAhS)|l^ zkp_OUU8y^s8$7Q;P@-Lfu7ngxR&C%GJ$jpq3=`~`Z1VpXUXJIuB;BRaXgNw|&~ZbT zu$L=RA{l3O+pHVOEUAYJ8ZEdU37Q$e&Arc0{Y~^vi$c(tNvmIz6AyC~Bu#l=$R-(m zdQe zxxFH0N7xPMkHTDf!y>gd2J%GrBvFp6SRIo&je!};7IIg!$D)__&R8|^aGD2}F~f(n zG`M%stWFBYdY`BBhU(J=R}5)3YTsn9A4tg|9(x0q%6{&7+&whL2=}j=-L!Uvxjk%< zsrpjaKmrryrl*5dd=BAImW|pP5+|0m8B$pf^I}Jao8}`KPdu5_C zN{E!wz6paf-r~F;cGi?5E zJwBA!Y2iS+ar7%Al*n7WYR`NZ>mYx3JPduGCu z>E^@#u1!31cVGM{-Fg`Ik9~2duKVWaiuW3KCqLv=Klf(&_{O~#O8A%aO2bn}9b^K} zsf2!#EF)gOm&5ba8K|^kma6jO)e>EvjJB$IUE^tdnO}5f%TYF_Sp6VCBKu4tA9>_e zrd)ev_I7vESa{*Km2!RhE2ilm%+XG)iLFHOe&a4+Z<3_%mAUQ{JAGb*>Tn_6Uf(wo zIL*E~k=~w5O6)FcWlpi{t>%F{_#P#-K}QkIZI{RaW(YlwbGvVkx>I_ypH4z9<)-Lc zxe0E5Bdg9-mB~j%4)0iHvhANT()gii`y4;Y`wW80xbB%z$?s3> z>|*DlMxF_R{cUC(ZHF6Z~%zn|r@4+Z+El ze6ju#X{c-7UE)pg&B@frjk6;2{|Fm(lqL0g4nawraDSQlx_Y z9O!Jb4Nz zTRtJJ+KW(GG~A2TJ|62@5pIPOn+onSvaK;{+K*`{_dzdBzOR$MG@V zeB=2P4em8aL1Ryk3#dNNHh7rJ_9aNsAs_~*1EIs1LDrd&9kR6D8i3-qwt?6rhivpL zW*65?8gs}+FE2u>;@!SQ%6Y$NI^EaF`h}dDUPonHY&@pYYmW;N?m!nntVf9!@dj)n z)1D@ZtX4QgzY+9z9paebh{uWUK+`D+mfIHda8RKDe=xYgD5t~FLM;50tjlJuvZLm$ z?jaJ=A41cy_io&d9~!S+FCTO@ezdWhz2hZ}$1apI3&No&t9p6)UD+*cTDqM*EQ#n! zv4VYf%E7Av5NL|5E3$i@3$+=e#SEPFjVJ4Bn0q`Qr161p(_PZmdR<`P7}yH1Ci#wM zzs82lPTyddf0yLO)+tt9_1$Q-gA>8R~fk zLIA@n)&i~2RLc@A&FOH03vKoSY8pLiXwhZ#e0MLG5H1Ky1DqQ6NOJyND`+O(l6wCq zJ7snC>^V1nGg``8OR!Bl}_Fw^M~o8C+=qB#~Tid9NAx({=~%1 z+}ZYUKJ8BPV?D!v$pJXonaaQXqL;~oPo@vXo+9)G0X-=>&5nUUs$&LLPRKx|l{?90 z0aaxYv^-Q_T$B#i$fvs>lKoRS41f^Ezc1-W#@98iPV98vIF{v$XUOvz8a&Lg1-FLf z1Pk#iKFw{$e6MLeo_Bsrj*B_ZkQiw#WQXiPZt1SdxAmhj@*D`Z^>c5s@ObZ@ezcZoPr#>gqQ#OnFqg;ZVWbhksbo zb1y-jmkPmDM9&t)rf`XYd3xsX@brXUuFx3B(hL~g^)3m2PGbM*thqGY>Qeu@4Y-nG zjx9LmW`YW;yTQdc>=U_}j$e~?!gqGb3(=9(vp;~5KQ`p{JLi~*H7aJ>jMf#21`Rwc zyGEFn$$Ork1g4RggPj_^>1VTT-IYG^{^|+&v#aII&cUjLZwxzO%rGt&I*}i+-qspb z)mjPQ@XW!oD$I$|1+{8+L{T~ENDaQqF@x0Wv|#NadgLz3s(givAb?GrWWzeosX{WI zw5b7cm-W4w)=s~?y!NLngoyeBs8@mwQfBX<(~abs4AGW`H0pwXW>A=Qcx^l&68 zT=g(w;F;qo^F%`NdjuXRg|lqfBwr@*4bh#f%iMiv&^2`jG>J+>?^|-Q+y-_2i91NVF-Sxs%UvXry zHY}Shev3;8*iQ@B)4)-VC3~P3pA?&l`$Zn7*zefq6 zax$BwFH{DvAK2C&qpD^FQ|x_z>Te`<0;mWb&u}9CaL;&x|EVn5wHK210wO+?2%QSa zc|EyOvb+qoSkAJZ!d25ILYP$Y>H$W;H>lIHU>D6wS?@#SkV=S*J{bc$Y{-eRj$Q$J zwFKw|a;?_l9(2{iEa9eXf^(-gm~`HnAig)%(bejedVB|iAB-{>Lj`kMh!SIJC2X6y zDs^(Boo^zl0pL@6W0IxZsGaz|veka$%){E)dR=F*`-W%EV!92mx`tx>`n*Rl47PxXdCZ+3<_r2+r=HNB3dO6zyaX>YedkRuy z)Yg&tF@Cd&{(AOho7HW}Q=m z#)pKQSIct1E7GZHolN~W)F$R!c~ly@HgLf=KjH%p10Wkux1EtqjJze48~*TWE7j+d zgnxDA#dQWLA1f+}lr@y;pa1VeUz zT3Te$9BRvzgvqiEU7{rWIm3V%LCKYW$=1})Jn zpj;Df0 z95eX8;AVe2k-D)5y>H<&2qq`E@aDFA)|N*exL7W$d^%@s@lUgf)~(*ZddE&KMd+Pp zC?7*f`L$K{8U_X+H*U1B$-h$M3v+8u$ zNIZbkPMRY!dR&8AE0`knNa911B-kQc@bTQb%e_WI9zGS3HHXOM6iXrCqW$qO)CKNNUB+wfFL zBr`eTzB_Hg`a&ioI{9iMTiH(?N{;TYor#+c5JXxNdTqZ|3JC+?VJ52dFs|3ys^H1( z>Gq;6I-T+rDE`)-#q4;V;6-pcftTZ95-$W|8=oP@I}mQnBqlR#O}e41vEwmDvm+hN zK3zxL%&e?CTXm}i;68S@Xnr=oelhZ{>V7;WBfhP}xBkEo=?}ngNU{NPV6sj%m0mYi z=S)E?xH%l~^$3CfQK~dY$sQcKJaa(?1Fev*Wr*+4oA7Ig-q$q{E(+8&-fmKIKE$?4 z&of91b~tkAXS(Dyx`W(RPPp4pJ8{ianyFCJURhNos10x9duqr|?fhf8It>-e&2LcZ;Id7k=W z)R+fBSX=^zrOMNQy;DKZ z!gCrREzcM%n^s^WTXI;XSGaCa?3s$iIkIcaGa7Bv$fkcnuGGir6Q~0mkwqunz~lqX z(J;kx2Dg|oTYK4qt%Xy6U_ummUfT=VN^4-#9qfp9r*!H($apm>M#`_61tK!TM3-nu z7x)O&?O-KTwG?z=+2d9^fF*TgCE=^0Nf`{w!|F@t^!cs9dcI|n)uFE1xAqPv^(7cR z-zw$F@H}7QhhSRf+jP+x!DlPpCG%rJm*^<~nS6TOjkys=#t>-VaFjjSV;fipfp^`l ztFMpirc9M2l#-IjFA%DmQr_p17BPsur|NGD|Dab22inx6*}Vb-C!I65!AWs%I+p%+ zfw`0X)x&CkmZ`^l%)OWirG8%4bARF zM?d6_%@TpD-}eUR-mstZ9=8sSb=b5NV-G5$Ffa}Xe1$6k&Z-5u-&whk(w$EI_N&wJ zbW^^0lve(Hl8_zxAocE^Ax-1DNzYWugKM2U#GLQT4vTy_6r^XkMGi%zH}S@5`|zC6*yuJZ6Iao>W3EPu1?W zvSMR~s2<~9JuMmoz#e^n?&EuM69?@?ac*+G3WZSfvri0(JOv3ja!@|sRr4-+b=K8g-BBRA!kTTu(Dn<;5H z);(BfkT_LZ(;Fse4k*Phsly8Hm7!-aQ5rb(1?XDkjI}gBO&8IlbEMF_(njf)pu`loky%BX zkL!`SJC0+a{!i#LScci3sEJ)G8k)v!~lbJ zfHF9` z_Rm;3ak@tEBwPJrEcax*#2-n}+~_qlBvm@%c_TWWI!_?UvOUCX(#?(zw?VKF$q`DC zXZbRYc?qgw@k$;!JIxq&f7q!=j0TcHBk}j5jSV094sM6BWn5vZkV0Qz2f6j0##a%0 z(AAV{f4zezXuZ|Fg!4Qy#B-$@1_J$%BA%Mo$<$9m9qJwz?ZDWs)Ss~e7WrF5+-OfycZqG8jC%gl!bmw?B{`rws}^5ors?EBd@fsy}0O3o^t-``_b# z#%hYpIcBgmFhx%%T%(&Df@cyhqA zJp3OEODk2cjx7bJM*aT@!byqI=H*8N8nCCrBo3Y@Wk#EtfC*4E#HXKLSBGJFg~ovi zKNz7_alQeAb_E2K)Bs>P1^)Iyw@Y^{B|>R;3TP54Jl*&M zRw6SYfJJY);x2C{i9Tq;Myej10nordQPvdC@X;Ot7q2c!n1#_9wdjIFo;RfNFnH(= zRT8ZelvkjSR`~f{Z_r~^_071cAdDm~j%zxgDd7HGfSV%|KIlj1f(An`yX)+u6Iy9R zALtqbsV^6?`Cgd_s`1gKCP4rf-RV*hDI+{}>JL6nSfky5W>v7{HFMVn1Bz;*V-<>n zPsiB@i8&au4Wid)4bCzrof|`s?~wZ>;fC=ri?rXK@+Ch-XQ4YC{_D4JyzyW z{~C!WNIDkR9&pwQg4`MlbkOK$VtNrHNk@Lm=%6(xr?ipcYO&Yl_`~|4lVC8B&`oT{;li)u>?|XY*3XUJe zv~RC66Ehf0?xtMHRK__xbuN|R%cW`QuBDOqQ)V#d^I;;rDnufm9%p7RFB_bun>p7K zt`#Iu+Or_HK2bHqd&gOHFE?gcXe(yEx?@BcMu2rQCcE--L1QI5UH&0Erru#ivEB~G znOYKu%~a2PW8}*wlg@)~tA8O&9Hq7PkkfwSEtG~G>lU+5D@rdf179scc;f)q)MpXT zvn<%8Sc|keYFVTeqY8^I>oH6b38<7&CV#N|?KIk@ZEPDVuFCvi0TJZhGwhvryfbmr z83+4rJx8`?U+u8ana@U&t4ucatyyp+yKjw?F@7wH?HJc&%(>Pbk(vD2rl#Hlfoo;< zz0}WUN91Cc#k9?y7q$))?tU2w^}n8+-8lI##<$)vBwZXQ8)j;T5n1ip4@tOwb_L70 zgqw|M$K4^AYan*mmFk`fy}Z`EdHe{YjxO$}*^r%5qt-B=nPL zolG5q4k4)r8$_g{LYe-<>&(>HqcTfD8U*f+?0doO+QHKB-j}nB_W;BG+eW1E$#*1v znyJ;vhn~-zMsIWeZN(889ez(uUd_~MLfZWQ`x(o|ra^Jw|DwO7Z?F^PKqg{BE3BV7_N48%-|sbK4)@-I4$O!bJY>ca;+T?XcRJJBm~7 z89HxR9)0Vpopg3PkzYAC=B+9Kp6C{zEUzWD(7IgRFKwvxQS_ZWjCS^Odu4o?F z#oF4t)&^;PP;yMIUYT^l97M($K@DHMKV&2O$9=(nNQ#kiovVSC5sA0Alq*K4W4+Zq z3aTT#783ernSH^*jlt{6o(-$WT}i`R+?3s0NLMTMoxhkIDg60LS+7&8>dj@ZNstTk zQ}T6jx)>Pj>qfkKEDx5!aX&&&246B!2_j<}40Ei9j_)Y_*TYL#IGhglSTS8~yM9}O zd$*PKcVX5Ilw%#fo*4wkzSIdMrVCOYXRh@@stiOg=smF9NJ9aIBP$dj8t0;r${!Xm{5cAmuV z?X6O~f6_6U0^G%-?-o5sYLX2Pj*pO?>@JJiv8Z-J!YjRo*EzVn(EN}EG*z0ZoCBpv z!B-<9D}szxF%5vW&_lC4a;#GycK-6v%wBRLJW&1IkNX86|}#Sq59P2sg(HXc;oM;O{Z&PN-_4`!q(`j&X}YuTu;qpoCI>T_Xw5_L1!br)LLX3>g7x(6sVX zE1aWw>k2LeQ|G?mXYGvzZ`&WttY1S?_M=PIxDX@krzx5@E|XisMNmk%Dn_F@Lmo>v z$`iNA794{|7%?xf^Z_?|@7D9jldkv|cS8JKM3Aq^#W5f(mTGc$sm(g7>9~-_6x_3d zeR@|AUyG66k!wiWeO0b&T}c<<(=mL=hZjle#w9Rjpp#!$gn13RAOgNYEt)M(4a5_> zO|O>afLEl*4Bw!ZC0f~ni(hgPook`L!(&c8qsKPx)x39QYa+#E05rK?lHdD%kJP78 zLF+d^->2;dN4^wp8A{oX!F_svQ>5Spr&q-=J7w;@bx6_&UKuWPn%z4;LYtro><_79 zJBGaU{<_TUs%GcIFf&1HUse8egGO${8$z8;1=7wH0!svb@;7pB`g3a~z5PLP|Lbl$ z{Xi)RBR5_lNyC`A;Ql*+=(;1N;9csk?WB=lv4{m27`-z06oZ(n=xn=A`SgA-Q;9=qVCi zUz6M}_n4`4^YBJ#{k`2FwOL6jtLXrq&$S{4U($u*Sa$=B^!`xKAG934=Vz>FqS{(s zPEzJ@dn#m3b_)6!n;&mHH?|Dat1U3j9A4__Ai{q#Bl0iPjG3M8^3dOT-EMC(vZC`d zK9Tp^Ici=zsbB6SVLL|U&YFbc7-f>}7-otd!)_USYMjreJt1j98K65fodq?d4@0T@ zFg<|NZqrEDk!^OG6dz!<(ClD(IfyinpTe2GOd(b?S?vRIw4*sGekE!@5#zKkReo1! zADbK?^P-rckvdHFb_UZLFuYJ-CZzjE#1luJhQrRVwi>i7`CgALriv%tOudRYR0@TZ z;xM&T;zD#gvZS)DE1(;B9vq9|i1Ktsu_;c+}MGBx;~yR(@4gO>n84T8PkDsX8k$7pj( z)U>A5g=pBqt$3kJi&X;6kZU@JZ2}0Sw-Ou%7@9o-wV>u^#?XSfutcf03+nWf2Icl7 zSj>$mp#@(pa8ukHJ_yRer3eB6Xy{}{@hhyScyyxHxY~da-*~g;pc)4G6<_Pgf;gMF z;D7p$U1&V!C?M?S0+E>u9i@cvE|YyRejK#S$JDr9oQdU91MiGjcHkcp^ryHH>@Lu> z_44y-Afp@~J6IWcvaD*j9}isLVCowbBYm^=a^(5Q^f&2M)nxz#`>r5KYhxIZ-F}Na zTJzRlF*eru6su$V40OEj=oR(%C!Nu$*U7l66ie*L5ckL>6jC;wE~94<>29rlnP1vT z&dBCM1CAUI4FAWAQsY9eZL7#@WL>yWJv_Hd^V8o~&>{FjkfW*3WPcF*#IPU9SO>W; zjv3DPwn6_SEJY+ct{72c1kGU0%%Cvx1%4$)zNT6lC|E<0X4I z;G@6y`=6~Z|1iH#@*|p210Y5B(J@2CTi12#x!weU1Pbw z@v)>!J9Ecxm~QQJYn{J#V)F8z55qITUMr)Yz1W)0m^o}1Gf3+MP-!hv7q6D+@?>7qx^QG*dMJ_m&P}JsKa&LP{h?!B zbNs>V$Zh^M`BK6kKltBkv@+vg_QRS)-Bwl zY~fZFOxVKBzD0#F#Hb>g`o1Qz#D>H<+a8sVN)1{tyA~1*7og5|aK;Dn?PmEb$NVA? zUYGFP$<{Mb!|yme91WT)V=ULFr5({D9QGZa2iS?VzcVP$l_c zV7^ocjZ2*d0D}t@ql`Wv1UA)^iS+HrErpgO_b>+&)tuX?fv!i&LDp4hxa2}Yt;0S=#zS_-$3zAfG)!uoM4)^GHK4kAw4- zqVCc~oZx;6OC|nNRMZyr)oP%_=&&Fzuvtiu#`R$#H?@T$(ashzOco-!o(!V#w6D}t zhO?{&0-_yVN}=ur=rwXUH`}^NOod2q(J?*VX_8Pmm{}C2Pfdn4sLXY#f0;5xFllcU z@0+L!E?N^9I)?Mp1Z2?%mQwIkoTXr3__2cf}O zp=2JJ0#jjYNxxyjPp9eaj~jE@J}(`juJ(k)5m4^isAe6Gy2A05sPL&lf?$h)a; z-KMO#_!C{<4szsw6ijRGrB3gibS_7SIjafm4?#Z8fs_lbw#kgIMujHHKDEL(w0e2Y z5Dop^E6wPk4>x@`6k0P3#;$u;7W{A_v0s0sq;C(cc4!X`uP(f^8V-FSXGF&gpT2p< zH9pILVVs(q9rRtBI>;H(i`DrYC-jqKiRWO5k_3(To!d zpK!gT(is4|Ia>256?^Y`nW$alx4C_{rE9nk$6WWWL^duQ`-G5nA)j8o`3&(F-9j&d zhtsrO7R>%J`p*8~bb_2nxTST?XgM+*X0v9H_IuZcjRzQfHO7i^#?VTczV2PR!rE!x z^Ex?t=H0S?`wxd^-7l@QGZ)>;p4x4>;6|=&Chct;6>cR|vTX(LP)^LRy>@{GvL))( z{W%)s8_4FT3;d?`bfVWw(i;wdi^N^(Uf^yIcMngEJ}?~On8Ci4b@pHr-J7%z0^LYN z?-;vbtT7g>RgX#Xn07j$HVuN6o_w5W&N+0M5h!Y(GA*^w%D(x}XH^C{WK5t?H7H#7 zoLwe+lkk@G7W9kE&3{0evK|#{%OBGNyrkVm_Th!+6u_>DH8+`Xy{Dygi$?n&^j><^Q9Du+2^!LkF=YlR z*y_#rLDCBfKxp9m22`Cvoewl(tsJKH%BuUdHvu#uhO#o1q6MTSq?%aNFYS=23>qNt za5qF8s${L3KtBK*3?94}7-}n(PiYAuUk($AqW8eKo)F!l!%y!E>k+)Z5gp1#J5E(b z*VDedV`5VUi<~PWrRz`%>FI*HOphQTJY(BujOey@O*VLhXy{uZ@$u95zG<4KRBg}2Aw3Hr70AyGw7b{OixvX zUw#;aG$ix~5Ft3Fsn z38H2L76d%Se43g61u4+f)2-+NlT+*v&u1c5!l{E4|Xi1KcSzt+EBCn;bSOg#6nv!P#o(VF& ze7Pyt7=!7);AXkG?FO5r2glQ$-5V%mkJ~*GUMH^er|DF}Es{nq(cfQ2S06pxBlRMF z-^vfS@@ONz`wMz~z##A-yqo9n*`@CMFVZ33U&Z!L#NMp>J@RWtv&o?xMd40knUu4~ zET^iR{0F69+CE5Wx@-$xN~!0Lf=3yKcgB;^Y|Md8o0hDPJ8ZPJk!!KmN#Lv51_8kE zD6gJpS>P4x)U-5~px#T=F)pGu7d(6!Lw4`0U5Ih3>*;{7$(Kg6r1g3_#y?=!$}SGQ zu}P>i=kwWKZl3RH-~|8bD;T8m4O2r|xb~Iw>c?iq{K*TK(d-dw_3Xz)_PtH~z2Dtw zW!E{Gt!z7|Ix@LM{6Fm?T1~=K*eR_GYEhCEFD&t}3(8KJT~jTZL4Mg@-b**}aNsuq zimR&O?!Tc<{u8$@64qz)TF-jm^mBsH)#n7sDMnv#_%4a~Bw8m^2VH|qEuvP{L8D#s z`ypu5eIeLG>F)d8k#?5%?!cA7JMP`jZClAa#_OfIX+9VC%>`(bzMD^rpBzCt?`5** ziPa{({WpgV5qL^&f6(BH$bppB{ztEJ=$71el>M>n`tX)D=@%v%W~=)=mq`8+s~B5- zRnY@EWA3~l^=|sVi2VC1CRsPj@GUCo0yX5GyFr>UM00cbbJo~cl z=YqQi*Gq<^t2cihjFAN$RrCklas#l4Y>Yf{fiRU0#du9kqN$<07HnFzh z8H{7>v`-VnwMp{6VxmRM*S|N8mpz;ueHX1u3GYL*AZ#QXO4cdva|FEdQRNA}TBz2( z;4U*LhO8bYq(ucjH%*V}=GazKR|j>~FaO%EBDAQUrK*=#q$8)FwABTC(wj$_fac3230(PS;phDF760ABtA(O$2*R2Ir zJX}!k=L#tQ-tr3k?;Xfh@NNfi(#S0t6EJkr2DddTO#}*@Qc4&|-KXNdRSrM}Y!RBoX90QCHMOi@@&{ zye2Os>cGqCY_Rug1EOos`1IfIM<$`1MVMPOAj%%!OJ0jUPyLc2xc52|mZO$4w__Lx z<>s^zaBA$8LLDKDz}j$9wd>ern*g#8EO98S8oWeTTljPxVxOmJceE2=S{g znhQM!;<}%X?)_d_2o+i&gp->g=N#gYjfjM_Wii<#J?Ua|v_vYBHvi01=`IS6MS&#+ zPjr33OUHqr<8L5AgbnX7#U{;hA;CmZ8g=dFJ-QH6bUiTi{JzJFj`b#mzCmR^VxoYQ z8i$M>pJyK2k4#cI3mQsAs1Woul6zCOO$~h^l(u&`PTa`mt#5Y!E1m8A(oJfgAo&%B z-*L=Pvo)3W-QtsTrkZo|o!Km%H?!ukRWnEI4ZVe-{*f#+D=-@5*e{B`?FUt4eT{9M z_2MBZ*Kc4$jPi|Q#@YtOhGe=xO#F(FgPCh|CoChILWT6(0lD&r@Bw~bS9^JdP3(xva8aQ zRE8l`LX?0AyE~Pk6C+mQB#al(3XXgc(QiQHb4Zv*+6h4id{xF#hY)iIf5;a= zr6!Cx@&$eqe?jy4<6awXE*J94#SldiL-_t{pQ@hjneML6+tqze?Z?_{t-bd9c{J6? zZ9OD)S{(vjUcFbQbs}|mfU5JlY0z+sY|mp~n-W8!CDzJ&&+_F97tq{}7dma7uKRhB#@;3k?(p7R9 zqX*S1+Zs;;k1%$?9>&}UN}R|5W%jheto&C@h{vUp`|oZ!z9-dgd~$gH^nIssyD1*` zF+hNcNqQ1byq3#sIQye9nVZ4W2(JV(lZg^M)WCfoLbk0zck z4-erGF5NLTJW?&$DrPym#WgDLlObQ_9M3!Kr}HhMH%dGCN7*mcK?3dL&f6WArgC75ydu0;iSYmY!!jW} zyMY3l>I0^w2ptx2^=FbCHSH(K80IfF_#G?Bu21nP3^9&JB zOCGgM(vFl#;Nl&)L?I@b1qdeS%x=&s#7U^{k6D1;)er~LX^wSi!;L$e_a)+hA}a$!W1ek#RK;K8aY1 zAYQ3O-I5|1OCY{1)eijw*@Es9%8?hNw+TrxfH+G_5PUwGj4~1WS%mNuXcK4CjnG=5 zZJF3h1LZg9hj?;#Ecr*Mq%uxFK_yRKGy#s-X+~BKGk5z?)w5x*rIvnx0?EE8(31=( z&gs3n&NR3IrlERAuK^lo&l|ZoomOhWdP~{41nqjY3qLrdyOBX4m$+DTNF2=xUR|Gm zh@(#xV^Q(eytU9!m$kvt&3)S-V}>#{?+)6Bd!#)c21968JO^y}BvyM^=!>xLD(#FV zCsVOhrVet=Z5Vp=zd2O7>B#)r9Mm^BZ~3DA7dJUgO-X)jz#4i19dgRl?IbI+d)_*? z+j$=mM2>8dt)2JCJ2WpP9F7jMmE@_LV%i?D2kp;~N)qUDh5v46PaZD?ezA!R+a@J0Np()d!+GEd| zeSMP~&a=+!u0i8jX9(_2rQwWzN9nZxGm!kzJuhLFf|G54v${NMJ_e<#6i9kg=S%Z+ot zh3B6B8-V%>`4kZ}jaLHAogL=}oBP8`-pk$S>JG1M0Mix3c>%afEc#yfL(nC-NkBV`tKV zMM9eXpWqkHNjk!3 z>D6&kJ4EodbdP?u177l3@pa8}Z4NO`Yf}Da0;j^<#_a041k*Yt6s4)L^mSDNT^U!C ztj)F*ZRwI3eiJ;ZSf!?JIBZr0X?nM#-qdRiK08U8EKPeKi)SkOW)3g8T_0iq&tW+Pq=jbWx0bO1Z z$8bH@RepDBlu&_7bd*Gh^cFBZQ4Fpd1SQ3R)JLQpwyDz9LzUrC)TO%SvMylu6jolx z3~v0T+;2~C@ieb(53vxiO;`|Mt*}i?jTOK5W@X7}FKO^Lw0WBU-83%|AE)6Fh<@&K z4=eIi=@j&yo;JTG%&2uOpz@(Ob3vQPei9(Yg`Jkog;6FIn6k+SJR z;^ukGqZFCCUhMW#<5__4R4jb@_E$C~&1D+Fbp`PL`m^o0oaR3#N5wT6q8HgzU{UCp zXK&IC1aVpTEeYKcm^L;gHvDjQk%?`$le+(C9#5wNO>>jRI7L^4raLfZs66`q)MJf6 zB1ONTHWkjPL9+r{iIGuzU+{{dw5Sg2K`o24l=E^Icz|k_dRTXt+4tg)oIL9*8hCBE zdGyMSAxqzwh3VVN)5+KyLl}FEDS{doGO(O5Ue2SmUB?XbEcviEX}+bKmdMF%`weQo zbwFGGosBIMK5Ke2ZPT~k7EI+MXV{60y^(L+jQkqgvG34kn*pcvCLXrwuDyKic3^K7 zID9%6J~EKe(gpjFY_{qB!}^&eFNgUmtc+1*KKgxXYp)@@O27ZKB}!N7OBEFL8FANo z^^}f`$I)7rq_HOiic?yOia2tGn)!_I+}HssRV;nL`RvQmxiP#hJwJJg%iKLvVeAfW z)@Z%w(gnRY$*|0$d8qo33Goax=Na4;I|_*@Z05Ab!$T%$1p5IvZjQSzlDqG;c7NT3 zF#oXZ|M2I|FvA<2+u^ z`DkDBl~g-LW5XxNExU*2Q|?7b~a{%U6A+TzQP zpA&qH{+c}Uthmj%lend655=gR*b?gu2^-Kj=`fhecYeNpSV<@+?H_#QgRCai2Qx<8 zrh4cX5@Ei{b0t@ie6J{a&u)eI?l5BR8Ng6CKB}ju1CX9Q(89GIXy|s5^yut5a6QT9 zne5DJG>XvIPDpi_+@_N>wIu{{-m}DeQk4Y+o=x|vsUO7LN89WN#0yo63+%X2R@`*~ zlydz?ibc8sfl4W(SexB^gKXX@3Ta*EnM!mh1I&+$lSGglaOYtGqD2icIiunEd5z?3 zLmJc&W1qXM_MR6;Y}0f;T270T@$uStHwFGl8Y?A17Ni$nqh82@l~$s0Z)Y;=v?s53 zdG!U=T!n@;G`g!FHZ#?+{n|zKtXbggJD{|?q+se&ha;KEL*HJWC%pHI;~=Ku5w)vt zNPA@JLQq%b=+r?;YG}_RmY;PfMLUfyA_mn!mloQA1hm)%g25@d+yyKVUW=%?#|&9E zda3tXFpuzP_n3S^v5$`eyz&mAdc+~CF%Efx#!cPU22#4G1Si+|NXtoE18ufmPZOCA z-2vgc3~`Yld&x0XoY>AJe1-{*2MZxYv_`PD6cV93t0+hT?8-T)(ekLO15cFBsR8<` z!DDFZxGFTB_Ghd_CC=Hd%4N}G5=5u+QM?6MjDi|8ueW&=tY^`f-(ZQ$F0UT5PlgFW zqY_jbN?Xi9?obM_)zd_pdC;5*>H{chM-9V)bQhniRONBH%d+T504&IqQ=2y>OgVJa zk(Rvs4JZscBwFAR9R*#ihQ2_hqKGTgI+6M+s7ZBUT{^m0Ky<<=jfIvav~*d;2YP^( zD8!s)j`ZRd_TZ5bw}}iU>wt^JMMPBsC5R7CVQ{jbOt~;szGFItwhl*L88xRA6}2jd zXmvXrx562DG$|%v`?xG<*g+7uT`{M|k!cW~L5Ml!8Kk#1srB{wa~d%;-{|1!31_y1hljtd>pM4T_Byaji^4dg@D%e z0jECDz<)8g*3OHq?y@N0v7@DN!{1t`OpG@~tlOZh&85+m#wnWNS|B)TtJ~I3o)p75 z3;;&(Yl|H~b6&Bq(mg|lap&PAxkn~V7PV|9H)r9PN>%=L9t}~=0U@8^&n?f%JM_4? zo}qz3w_Js0&t;9@fO1vi!7^|_y{Alv*b@q~$Kr`MnM1X%SxYm9LofXB$w>6k9}a@j zf{P>kFyVA-1^`h%#s~r*{n|#=Y*$Gb{?i5XXhmI;1I}Qt(Dq$$pdoPW7w9gBVyBck_sy2NO@^GduXhG8>^vZs@cGt~IiIrYd`Ede(?{1j8G!hKdEdJvR> zoftY1e%6ATBjcrTG0x8&p!Jx0TrTMn#`c=q>MXwZgWYKXtq8|Hr@|XTDi2SVEb|AW z?#@e{Vb_Ik4+{#)X2oQ29Yf>|+3I>R<$A14vd=F9#|Oq<_| zUq*sd6$#Mfn15+kA;?bj041S$)bp$hykaRWRbt>l)Gn>#T|6FfZjW5-1C|b`={f59 z6{a7f^jOvaFW)%dCo^y6^L6Y*dBHx^k^Q0b;lZMqxlj6fnGFCi&pvzFY?eLZIkHb= z9=M+4xvf!WiqtAIYf$fcWmP2c-`GyxKU9#)Mx84eyqPR}owVNCWJ`t3f*bp{ONi}8 z`67WX-t8J*Y-YKk#_s(t%biMT$7eF<-cXn;{8T|EbyV<`zvO&0>qRnu^!-Snz`mzL6TFa9(x^&ZLDQbd8;0BoR!G4_ZA09)ux9u&OkX(?o%MTV? z=@&Li>AW2_c%=<3rOlO)VYWodvK_e5Gx3_C;>=3r3O*Nk2m64t z?AzjRE)mA-`ERnJ;bkZkPI=pn?;aTCJx8PbT#j201u9bfu|} zvxPMj58ABY@WDTIbzS8n6ME{GFl=seX}e~8_ywk!7C1HPKa{oY=WS=}Eu@fqLOPsn z6?5=&u{FtwL`u*0E3#T4)>_76G-Ej|GbREe+w&s*m_lyn;&`BqeMoysj9*PF* zs%TMn7aznPiqg=UW;AQ|XX1O55U=AJiNw~_PU4*GlTK3`w>D{XQ_aXF5T!DyhDG*jsFDAD z#?JSlS+--qAep(Az)Opr(n=@~4HyV7?{Fa9sMm~V#32Jc73)kpm;jWQLx@}Lm%BYL zwkYfB3EGv(w&sW^AEzUq1H0*m&U_w&LlsBM7_K9RC=u1koGLRT-fkPyp<8Ku?xn|y zTR(78R}?7ueP~nD*(G|Ioxq--9JY3U5AaLZ%UesEUBVDd)p;BpN;eJzrPo}EFyx1> z-26JVEFl-I&-*Kr20Ly0ixFIo)10(Yp?dK#J?e{}gH~U()exV|Vm!hVc+^p|aMqlo zJy{pDNCsd&GN60qDlg>Q52#dv`jF0}F@@l!(4m(cvqs8VLrlgJEx9>W! zWPn-AkEN$>OraMnJWN}+cbnzU%C__@_GsKStdN( z7J-Sn#IpMwj~SF}rp#3pfyW`YMkGs{A7=XrmOc=YLmSia1MirYsc#jM(p7Ak*6&G$ zx4d8cjU}u3EGY3GKg+cB_x8?U7~B5pki9t++vay)q!mmb2rSB|8c9+26JkRl3Vh}1 zoSE>cc=f@>)sGI5(&wsOD!YYhuwWcTrM~yyY;rq}Ve-9V+`-LBg>B1nal_fqAN*BD zer%@79=Jh+MR58aIy>@<)MM(X>_L50LF)oVbc)%PJ$SVs>7vUq>N@My^{`qHrVxJs z)UBhB5uz_kJEa$7`db$U?2a8jB(>5zOj6~ln2DM9h~ac+%wT1hv!ULkQ>om@RWags z6lf=^HXOaXPz);N+R!%H*HFUaFKCBHUeyj?^)$1a{!GGy<69D4%&h&9F(xaVB)3ld zqA(tiy*80BQWmGjP{S|3+9l~by*8Q zH_J-HZ}WBUvx9r`@NUEIqPeC1GYnPg&A<1pQywP&hc6FQ?B~Zjl~zqM_k(gE`7=FA zMtFa{X7=X_RM9Jf3gde|U5PHamT9rR!1a>4y(o}?eulciwuL_M0UVS}t8|QjFVY2M zm=_CO(7NbvnJ;kbsFXyzKqJVQ1$sT0Cp&YzFULXKV2@do!pHSYH77jVcpR#qchpp) zhL8*KcZI0oKksAonO0HAlrxGS%T!%4Dxg;Z~;t|r_iwxFb;VDUsY%aTs z9j*91o1lrUIqU>b3B9@^PgkF6TLpqRRcq#v+gfoS9~E*RE>|iY&5jza3cBE%xIrUE zFOxYR5l4kC)KlvK4~_<d8L@At zqu+I0{by)0*sHBi=1;dX%+zJ_)iKZaqf#e-&VuBr8$*}G1Xsmy-E#>Ay;QC&>@{{5 zM4i05v^woYAC*Z(Jv@f8Z)dmJf$5aXA5f;X^<17pJaEQC$%SbxcbqCwcU-tO_Y-U@zD(FMRs;Du4MNuUoh)*_T~CbOvSk z#!@-bQf60emhxB-%NA~CG+r)~RqCnT98L7A67PAW@?o9VI%V%KWd5+XL$y0kBWfJ` zl+-(ag={Q#-hNiXXRN&Jy}GE(tNaNxu4%tbKp4aC49(*pa_9zJ34HT#+m3 zZ%Ut#QEv*)X!NW5Xhnoz;Fr*uK~<+N6CiELfZBY33_ z+mtawe`puElKxN9@ZszRnVsao&6Y<@I2*R}Ti-+va)MEZ9{U6sGgt~&80XWs?%pT% zaO2x%YOe1{xS$`KHp);X+#n;B!g$*>wmfuGDBk*TBArv{c@f)C!n=3_A48gXBlREk z<4I@C-FV}tlOLG;rU_YMFA_#qihc5Dfz^%3S4G|bmeui5#MIK9tG?d`36 zEJ_UrIQ!H2DKj?Emd;QZlpED?O$aO#pf+(%r$kK2?0m6sW&WfI{{C#Y233h!^{&b0 zzbiORv8E)!GEkdb*xE+T8)&LCf1CgRGP$9OOnfQje8;g*Q!frFy2$IIY|;1}zm_o0 zMZrN0PUQ$FE}Yu8KM%fyK~NzKr5~l zk#djK-{{#R9ZhP`B^uowyo*P<5lxK^F#c0m|Sib#f96k>{p*P0hK@@cum<2lI&6B3-_38?6j0i zuzJD4(s{ax0VRsL8tb}(*LjU$MG9p)R6)dHmc;48h-yF;4*7Y{?;-?sdcHx0K51P- zgzzEom}(22IHeP;Jf?Svmtv7Nj&zBtuagS--Z4q$jA&{*`cy#=9fk*t+Sdj{mrF`$ ztIdrwh7yX7=>G6!d9T;R#N91?2io)3?XIHmsN+c-0@0xmnL`aMHCCQ1_IVs+i~cIc|kq4st=$;g_*XR zD6WB|iY4E%(`m-U9cDspppfK0Pj{U`qqjP&9pOda_AnC?Z_gvYIx&14jjesdKx*fI zyD=-H?kaic#*lQ1jN?8jTuGcJ`h7_hBne{%>hw@^&hri0QlO85XU$1(fQSFe;MDnQ z&KurEJt2 zvC5W-G;cIkXAylW+(Vf>c$u5JqIol$VdwyJy<~apiYv3mSA}nwk6C6j=Y?{ zpM`aXn8Z=pu{G23;*}M$D>${Hch>1;atcLhq+0AvVum6jtnp&yT2aB1^{b11Oi}{)3E0N23+QIk9Lm=W$7a9<7x;N=S=tt@b zrWNWOVCCMG>d@_sk`DX^a|dsjO~+b=Y4KOH-8vsLxQ^~OeX}&=z_je~AEagdwE>yj zv5SdMa=@DK>oQ+uaM9*3LUQB^e(RfA$Zt<3BjYCr^XSPE{4a4Q)#D%S;<)QZ9z6Lf z-KI9e-lUGXr!ZUdd?al)q+=tUEi&Wo%nr!gPAV(+DH%YrXAq80)Ky3yj}~)%PaSHZ zJebBRfsZ-6bb6l!mo8{fS4X*a+BQ3i)IoIt9FLOJn#pVT#PiVHHs&06KzA^&4Nklw z_>5k?X0maH!nX-DE>~w_;9>6`|&{l5R>GOt@J+vHpgNd#2O{HWU z$|Zb+*e-|T^|Rdf>Dp9Y1sC7Py_9vEXN)Htpbqy4zBW{&x1Lt41;@NTm9V3qGQ7(} z<}i^%cbWuFlu|ElZ9E*$ykmarO>1+AzV}wh>rEcf##fURT@g7-Gs_^rMb-NwmQ(lYDY4zChVZ)Y!fJ`Lj=<3(A6L2!KLrKWRmWpKH?BBOo_oYj%U$FhK|9el!XDydMISCuQ& ztxS523>-CPn1PMmsFf0FFdt8WT2#TKcz4$sIvAe{s4kuyps=N|PziCHXW~x@IBR8OQJB* zaoxu8f3Vj~CCPkYRO-z3vGg}u$j%iNIfCW*!*f1naO4k(6O2KHNM4o6u6y>R?xwqa zkZz8N(yjDL2zzM6w_E>JrukeFwe?w?sU-yh*hAa@!aJwQK|S!}ri};+oSpffUZ(%?HDbQ|Lb`d_ zz#h?bQrZ{*K4fLpz#=(LVhIi+yPiu3)7ba3YDvj{1bGbg{FHC*w>!v1c^-kAl zeRV+ocH_fbkMf{ldy<6q#J}r|q|+dFyglt2qlT4lPB>re{oDMn(51WTC#_t#Z+5PE z&)|5*k*#9mb6Z4ydSkEH#*)PmEDwoe&{q@3P}LNovX{H9U~?`iCGG}NOPTH3+!CGKL{a3fPEDdt{=%9pf zGX|k=`|}x zf@EaL%eofr>j$1T4;rDfT9kn)HLoMWLlrtVwBzBj-2_Oy5K-TW z1G3fbh3NF4cT>;{bINv+SUQ0*Xdt+_*b}u%gkYKHgN7KLo#73Xvk-rmzjBt#-JThn zTa}E)ZxM)QRFGSAS=|6m7C$?y$S8;{bBcUi0;*?(I`4q;0vgTcqosdz6Yn_P?$BqI zLgh3--HDSa3{0WKJ@Kj5QVPxdhbmvvDR%H#j|0(5XS;EXKMqF_5MCt$?4I1+GTRM2 z&AmxZX{-jRa$3wUD`Dl@JJMdsZw0p?eoAVgHPJe|CUT}7llzS7R$^4tpekDeVMHyo zv(=JZp41H!uzev2I~#YJhJE7ouCQ=*HgCVjCz!%t9T znj7O=3|HHx?yX8bk?_pvQlzo;T-10XY9%R-tNx~<_gXJY{=fgnn6`e0xXTv`;@-sK zq_UlbvyRDT9Tw_zWpbX@#7*S0$sJZcUN77#&EJSS8F60&EHhO1|EQOlOC)rDvf!rw z;jDBb{PN`?Nw08|Z!&h?fA{yO{=buU_EugamU{;(jX9bA=mr_Pu|67h?xV(fVxtos zGrajBCSZSjgBjqfuyXJDl>6;mKRNLyH0Ao`CH|d?(pzV!t3}ggnJ(3_FF-1%WiV*= z_B@f6j)0cCV0S#K^q{EIYSFcU`L7Gp)BS*C_}jgK+wv@m~;4JbauVA&}? z(aOnbFDfs9Uc&Fo16U@k(Fvuz->JW=0}aDP_y#KbnSvP)zCDB4kC+|)GovNhkj8G2 z_Z3rwwO2w6n9JrJH(+ArwewZSmZ;PDDka%ozPXWu)SJ=xE9L7+nT!!(hnb9WcCE{P zo_d0;HEuao);keLYmza59kT25l5TmnrY3_sGul3{(d>Fn;E+1K>#Bu&g_o;JR@T>||mtdla28-IZ2A4gtD7*uyKXV)32X?Bl8 z1);UTTp_>Z5H?GrYwZ3!b*F|L>Nu11jv=-kZUc@vMV!J1zx z$y$e>BHWk^l+vPBRsEV2O^-pr=?n5EXQ4JA#7Z?c=kG51Ql}?tR<$mN6J10+D2wiF zhqi~8Nw%E8F`FR@$e0e3#oCVSiC0kXtkS*s9b2-L6dr(v>uN!*YXbCRt*uIot7uN! zH!SnQFq5rl5gbFuxB};3o-ubPrYf}-;v{gil#KK?=0r4-t-J};UZ-9AyLhGQ)sd8}Q-%E%W?z`N9Hz@k@JeG$;u=U{A5&uwST8{vQ zgTvCApOQx7Vu6!4L5w!Z+WgSm_y(PQt!NUR$uM$-+knS~dh{I5G(<54EE`~|kv}9! z8o=vIC0c~1Ic8X-^P~)61?+d(hSsHhz}cx^E~M$#IjS2zI5U>Ka-gFjQuk4&(SC1J zRpUki>5ryt&}i%9hiE#bbA4c9wm*37YE3+_K~84%u4wNRn|1ygy1}wx*e5d(3{oq$ z(?fTc?xnR!E8Lsxjcd!@Vn-836(y5&#NI+tV(>?GCc_;*nb^>kX&`my=3;m(D(ll6 zGeAMSzLXZxM>;^Y>C|wd3yz>_WmylHwVy8~9+~2RAFXLWXt}A8>G8^&z4&{qY`yS| zl2azlw{5PCf1;v`%#r;dOmtc<#0q>s9Oq&;wSVN)8OgMj?gKt6rbFjEa{Vl8|HEeR zkC>yFf6wJERBAT+8`+RdMe28D{>J;XTu9~X|2*e?ftkFoJ|X@>78%-RJoRU|AlJR6 znYt~n@}eJd>iiwZs;T;88s|)Y7OTS~LqCl!`1L$>#aN~}?EPU;=?2^$t;mgXn!ChF zMW_etPAk~1N9u8q?XL^-5M2FqhpY?PhCmI;*`rooJ^wq}pk*WVz%Dwz6k1 zQQ8;%At|kfyIz-;Ix?1|(`VZ3=s)!%pZlBoU-{cV=+Cuw(vF={R(if7(3#v)Dm_GdNL?CtY zJDPVC^bE9?!$6+m4D?Klq-~#qJ%Q1IWagrGpWAU*`$0(G%_^sRrOAdnr+OAL?Sy&j zM%mR&O-l-Cc`6LgZG&w?Dua<+`=ck-Os9B}%5#$}ly@Yb7-pv9OuAKf+bpYZr~mdB zl8u~gY~B3^J?46B`uoZ89Q%7MLm|6yeQb4-d7^|C(is9wE)5h&g_yMTS3}oBe@E2A zF-|Yc#v;=7TJ0xTne!q$+eqbhvO!-{v@l23ndxAH1hqfEUM+xfO{Al*NudK>!hWeA z0-+#&5^7V_y_uWB8PKmcxVX(SxATrUX3=)Mfs+25z;GqNXT8X$B$_QWA zIQ0T)_S6Za2u4b(CsmaGHhI@jP&lD;u1Lp2Y_D|g)ag*%VzXc8R+7N4=jFRSDXbDaf|QOrHA7e-D5q6HE8V99g_QzZ z98sUn6Xb9$GLUi5=-PQAVr#}I43GjT_X21;KOdd-RYq;Gz0^#ZLr}w{l5L1HV%Cgp zHw>~6KqsCZqK5QZzKjtEW0Oh@*5x*v=AB}D@H9H0&UO?x@+H9(rb@h8c`i^SDqiYM zeM!Kz8`l^Dr{1r69}iQZ&t<1=b4QDdetUb-_h$0qp?|5y7;^P2Tpnb)Y&*Fs6lD!)ZYR^fYQjl& z#NQ+A{FcxD_3VQEmqJk%{&7Z{{|z0U(NGmDmoR+K2A4hh#q8ST0veHRXAV`UY(O2A z?F+9RP34_3BbBjv9W#sz>kJ&5PhDg`#yAAS{Ew<00F~FNLB-S*_B`tXuUJYeN~9KO zjXO0gby4b3GfPl?WV`!rLtjZkBYJDN)gibSza-l`^e!JR|Hip<+nF2J>R6}vLW`r$ zF$z@X&3n%X#8_HQjmY?d?4EqE9k*+c+aP8fAJ)@W#*E^e}Rgwv2lz++j}nO zZ0Yq<{rq1RoPX^1r8?gXu4$8a&ilai#p?85GUs;+pTC`0K$uGyXtQlcuB{h*@$4Te zhXe0)&c6iZvd)m)^Ig~D6(wGMZ&J{7Sr@F7fUcy*1KPAHB2pHwUxxZ@;Awx9WyADpx@(uxVGaG;Ut8lR~^WG`@PKr zzqZxgJUnSS`%6i>Uy8v#_{TCgx3Dq2=?rJ94gyBmPP~W8MflG2HEDRmMPlCUO%jS5 z`Nlf~wdpU}QMu+!&%zMWT+6wa!y{p0{{jIy5+A`+<|14cx`yL_y74*Q`Dxo13y4y3 zk=#*jXf@3=W^03!qwlvRzD1sLtr zwOHJG83^~)VLx7q7*?XiL2kU%Z1dmkH|j1dJIOCAvh?P_o`QEl_;V zkeR|r9gIbN*~r%C9@?9B0u;#~l>j-ls6cf!bfKd$XBsg7=28Iz(=D>VBif)`tcJco zNmRs@X`M)Y1=Iw#BRSi?++ek!NIm(yT9!mXX+`MJwz8GFs+WrB_pQ$0@8WecaSKYM z$0WwhNvFV4MHP+n87l+Rjq)pK4%$mly>ztRdb4JY0$xK=o&{+PK<(nCnnJE)P(5aR ziY86lrw&>{G&H#8h;_j5dJ)$_>pvNa7N}8>{Ob7O|E#16|J( zWm?2uW(d^R%QRE&c~e)#da$hyzTa%9K!-gis*PE+l&Z! zwOD=}1uI1EPR6NIX~K@-OT5p)cnj&tQM0U&c-B^~d(%s$4#05eEaR2Tbw87~qb(-YU( zQ7s6hQdnfmK+5e(DNq#kakfnw^-v^~7>1n6O&_p4TcIqM5 zaq2D$O$Nj@jGn7v))B)of9WN+rIo9E;MnI-@c_dSIc&0`p3#XqZ4V@QJYJc92155l z@)@f}c%o)0li7s-bJs$sB(414%}n0ok#kJ4@CIZ!!hYxQ0t`7QZOP6o#S}tt5vTtK zgG%ao)&*X1m6pyg1fCu(5oNaMQpTcjP}JU4F<#l~)M|F^NmA1y(GRN4;f~DgveVN$ z3;yTEMnhd`&B4dt{UVpZ#3_m4SCA_F2A(T)j&q9)?UzfpwPW0HTnoa5EXHJd?DHy) zeabbPWjb7aMVeNwl#VZNyky9-+(06S4|je{>6liB?HCNl>i3={TX(k8df5Jgbo-n$ zuKX>gjeqskp6aqLog*)@@|!OV%<^fxbI|+Kmn&Tr1obGp97F8lulA#ePCX%aECH3) z3NFi0G8Z;@2TS+t$zV+ruk3DsiU-r~AGXVx$gUV4PYgoN2q)AY;q2 zFD0A#=v|)5>!_002%jYFg;$$h@=Y^$HechoO6Mio^m{7WA>dG3WW!6R{m8bj@Kd-V z#n!p#GeKK7sav@1BiIkKFQcI%9%+iWm$<6;i{j14>>2|-GcUK4j9+S5*^FFT@&KP` z3}$rabUz5xcy5T5#oqw4!mMXULwKGnk%`dF=}NaljQOFLWa+P1afy--o7cmH#$T6l z{66um78Xay*$%BVYs@~Wa$g4e)cJ{!-p`B%do9}Rjx4JiV(67CGz!eGng%Wum?m?+ zK~56y_iCT1C{^Fh4bB_4u8aYpbwOB)HHKPO2G@0t#fIG-)%u$2Qw*EYfR z@=dX-P44rI?NAIkOY|Mx!ZyE}s`POJ3R0W*v)u$wi|dJVBF@jTg`2>sOpBYFc_|fq z3D)ztOp+YQBz_SBZxdYPh%wdeF0|uWI*o81?uY=63Pg>luNsdN6`$WCGF;?W9HaI$y`YEXF1VG%~l0RqXbo0~qO^m4+)Zn&axXWk@Sn z7!8t-Q;*j)&nBi(%jk7@)R87|^4AqLud8yYhIBYk!Pv%*EXn>rtY>hn#~F1LZrD<+ zUbI@BC@mL(I*(wG(%16}LAgiu(UC#03{CX|Pa#0`ZQzx`o`nAK@#Wv; zjU2edr`ZPxo+Pu2D0YhOOb$xdRe2>m6)Vn}_FMR3MZFLLE^EUOYJT@BEL|3}fEbPo37PC3#UKvQ_Gu+8jTh%jWS28kuMjA#yR$Bxhe)J z3Nk+b2Ie@zjm$0S@t3?Di(VC@@&=wLuTz7@MDwWUSr>T4vOFiyawoXJ%qS|ui+bSK zshaL+6=lPrjdSzLwhw$x%t=zed1r9`!Lus^!}C(=F$u+8Vk+z~foxA6*U`va^A)+H z{V-LF87{lfhXdY07wTI-y2F+`VW6CR_bih%ue?I$&hzQ4zx`xqE~n5%wzs|5snA>% z6LjIQKEU}^b~F>q&1@|Dv|QMDXf%v{Wq5wu&bK?h@bsec6exc8Bf2w2I#{{>!A7hHRc^m>K+KS8EF)tuMVm&bh1XjClJmSshYryO zj(vve7tQoh(Aqox!b;;yMz)z3PieSk3&QGzHS+*2zL&l-IU1W?dVWitS2163^flbb zbw0|!{ZhVLqPIxqg_ohhr^~fGl+*Ko};guM`@Bupqtbh-ge?!Ukcij$;iK?MSt+BvI#0TT4K9{&cHlj zn$$uQS02IC5IIL@RcVpA7AUsXS?aNaR>LhW>+1+xFVbskjMX!1eVjLWqf9w+8hjNX zX2)O+ykkgfo0ceLIbs|{QM*O}%g!lkLldhXfH+20ltu|m4jl>|%Nznfw4n;#&2i%`d;AVCe>3cgEJCHsUVc&4lnHqD3%-PDsL7< z9YD}T<}SCyA5en&bW3q=e5T*wM1mHlm?mBEB8Hmt2)mR8+SpS+G3ZhkPYlUTj$b+- zM6Rm27KpTo)aA#fEY-2CcjGzDfP}~w*k`ottO;J^DDrmDb>LS=f5{wM?uJQDWyG7b z@x0`Xs?C!W&6zC{+Mxet2n1wg?ROD7*X2Cy!PfP-z%x)I3T3bsFv)enK9rTFEFr6{YI-M!I+ zGF2X9^Gz&ds$UhFuN3;?K_v!sf3#XmqAxm*K-b$lWU|_1M6`-h!yHe;@auV=W$-J~ z*GK?SVP5{63%3@Ej&97jmheXZsrVmpK{P=}hB)$UA1+vu){o&3whSUZ0N3QBJkA zbigxJ!Ke=rY%p36iEUpVxVdd?$b5Z6a=_^(jhm-w!nP!s zbI^2Dg#@)~V5bI+h-#~@mc9TDuSiR2b(B_#NAtom>P{Vds0TZP90Pe(*4WROp{i^( z*Q^Q1RlT3d9fo)Ij~yhAG9*ivD5OtxuqhOIA4Z|1l4ePa*5 zvmoJX*GtB}oU3j(XNpbEVMg^`Tq*R~GleyZ;_i-=MfSasp2$BN6)=L+cTeeFEj!D&|I{CD|alv z(zGqK;?R3)Z^0>(X-J+UEW3T<*R#N}DB;eQc%*gq;D7XGK{#+o*(1$=9kl*m{vV$; z(y1h{g*%yB7%-QOSEhWaHR_eEzv=Bt(6>0-d1$2M?s$_oN5U5RudVBcv^T{!$!zWW0u%n|71!^)Y#l}1cdLkNUrn|4grR&j$YE^Zw(b^clJOx|NGmZ9 zQG5qm&^0EQl2AIl&gSj;+&STtPvz4b4S5Xz-S1CEVibRZ<6DmF?B%_<=Ae>3D{xGk zk@ayrB3p-J5#QKrMf&NBkHcEkO7N*8TsY!r8{{?=*6A@xXkB8<(9Dx%-igd2i=kl1 zloG_j4CnKHYF!UFNzVmuW@Es8dv~gYOKf5L52F;Ht`43nvmvFc~%y{yFzNbhi1k5 zvdWu}*u`Cd>w099nG@OlU;5mXQ z!EwCYadxcq^L7sLs|zLlAn2Zy9h$|h7)M3mHSsFlV4f_j&?e7mL_?DBa+2nguK1#; zelQymsO%iMqmwjZhS{KFV`z0Kxx0!o89DAGrJxy5I!EjHQW+1`6G|5jit5H@AitP^ zF~9h{+I=mK2leY%&m63z=(VYL6}1d0q59?11%8?13Kqf=x7Y__Lqr<$=_0+O(iy9E z19@>ox(jGeE30ZJ+I@Vl@tTR(y$GRVhD{ymc)37us|h~FSKSxc<{B8EB(X*slvMK5 zB!MgP>q0Myff|^sqZ<8?k|gOhgjFfqJb8r>4Nvd2D9nmvmic-`i4p6@LCwT9$fNI@ znv`qK?x<}|Xy_WwFP7Maa@x=kdy~fb+*CN05e}XebDxsndKcgvCw%(0l8%-|9{sV} z9ad~z-PZ(%udnB_g!WIRpSgA!(O z63?u6^V2b@>`vJlME+MMr;0`M3|opfPtzUVqLNzC&?>*$0#D@X_^rm^7(CCqz$?~@ zw3bsZ=hQSSGr=NhOYJB=`=y(WocERCc3WBZgLG8S?rYM`XY8hLS{s#c^+umw z>}MtqaW$p6^NlEZPP^BH1mfFZ&^+sTPSmA3_65ztPO(m)wbUi_;;*RMMN+mY-FYAT zO4+x9jQ6W8J%wTPQ%O4e;d7-u_eCRWxMejlzSmw2oWh0KAEi?#!I+e&yn!?UVUW#ouA1Mb(Otsu+ zKt{8uc5h}KYvpbl%oLiDw7(hf+Az*8D6Vt4|m+bBsAl+k=DbF(F?D{F92mo>q~ z*~J1X%aE)i9)$%ZXs}v`UDG-kPWGVr`G6&dxbsF49e=^>W3;a}1?@X|e!T`|f&+xU zawz7LfF7$S5hS9j9NUOutkPP{-0W`>&pt3epXQ(D}%r(=+g^3RL=Dh1<@{ z=gQ%yFAtXvR~e=;bO4)`ea2(0v6lEShYgp?Y=!qEPZ>s<6u8%dzA=ya2}T%)SslW{ zyk2Cep3>ye{016Lm;=Y`^4Qj!rzmZBqsVR6+)LEr{M&Sg4VHtUZ=}MD3tIP`g zdZ|e#Z3xM565T%r$9P1?ahrJS0&N)0WaEI}+&Fi@=_08RGl%jue z8?%`o;BC*MM&LK_h^pVISxKs-o@ZU)6-%{oJgt>nV5F#{JbN$YyGh$#O8@OHtY0D% zg=5jde+5XqjQ;dTJ*!?!_;c6L0NbnRC)$Lo#*NkiM4@Q3%)`V$HErHDXm8c~*=QpJw)09`z4r4jpGyyZ(>e866GD-FFpt@h0FR^F+N?9s0dH-g1J2bf zRj-^?{V;cQU@!zZa=lo8^;Re6FqW%+-?*5?WDN-TWr3xe?F?aRf0k`-n-9utH55yG zJM2x`wpB(Q_2NZ^Keyov*N0ssy$129!8N`=+UAQ{DNTzU^f;J*JxeZ|c#NX-J+4>% z^~YVKAscM?x%jCSLMbrg-BnF)fAa6A5Z)^ne~nmKu(LArNW7n7UgGVtwQzKBIw5h^hG9N^J0g`! zn_RFk@^5T97AM2b>C7P&pM1?UQFs^be6<|Rjd813vhZV?4Yl&hC#f=|_*Yt)@7Mbf(a#?%}GQ zFc5Hxp$ZAY;u{4oanhK$N&AxhnOO0JG^`!*8?q0uw}O%-gAKGFNN1gdQR;EVNe^`` z0tnvog@(Ld=sG*m974fD+jz3|HE=cWVwf0*W43406m!UO2Ayc7fl{?($e(p{&vLZo zw%`=W8g=F6Ossx_Y7oZHQYKR(>j>Ow^w~!|4xHil?Iz+o^jTbvxdB<0e z^+a;z00G(`8Lp{eIkk8yBQ{c9cNHb85zxydu_eZFR$P1Z#9)=qvv$Xy1h^-G+eKBe z4;%}YX5+Z*CDK$EeIVG9w~PrW(D~7I-3dL<6O(|K)5wOrvBDVxJ$lINY7qC}o}e>z zJ|04utFgp(=y~GR@iBkQk|s{=XmUDe6skNn5xqW!S7be>8jmo?ds49?EIN}O>KH9zN%!`w=S%mh>VbL22OJOf&ad<8A(CNF0j>p?IB&ue9T&k?Nrf zRS*F0)#GN(Ra6O{pQOp`bb2YKen2}V?hewddJ@D=qb!Y=#5oOC)q8t9{r)-@kJK>< z49x}$f{H+=wyWcwOqse?^rcH9B&@T@G{-9fsrao#HPsH#%ffZ?uwGDT%l`<*Laukrc%b>j=oS^DMSEN+fn;! z0XSvCJ7l9ClpB%thiNGk82D*&C~n}9gvY5tscIO{(-#65Ua`8gW`bH4X`M*Di#l<4 zN5ZcqVPNDvE;;8>b~=A{SkFQA0k++xUzq456aN>}%6ki~#Ij|A?@28L#28by4dFUR zB>3FblALkC&fWa@U~=yxub!D}begln|K}CsIy<{ISn7<@aF&c*Ck;;nFuY;H(JEAu zePkWE!VK7Spe{R!Tee^Z63xs}Uym22bv%^d(LmE$VdX0QC}W0*Qq$&yF@x-Uq$|QC z7>^R|Wl9HWdAf9w*Z%2o^C!?MoZx~(NvR!T)5zCfbGG=8?UA4U@iTpT!Efi0S(}w4 zq5j>X4`5|;@5!SOe&&UAg>dmaa^a@<>(t!*OqSgIUODQ`9vRimWZd(ZMIZHD9U9q0 z@U5nNndY=iHS`c2xgQUsSLv8RuDB?(PMQ7CunQDljeSsBdfwfsfjEvH`LA1?$6U9B zf5T(J?|tHAujxWqGtM2Lvv}HUry@W7F$1)oEO-Jq|Awh?a~+>~m)A=x+hw*j>m(+ z(VbV;$=immeH+VeJuflsMdPz$=;>o6>Sf|EAsxCJ{uCL%-4ge`i_uysfVwsGRV`^{ z%mGEzV4RKp4GpPEn1&@2Kr(hg0J+C9T_{fm;sbp_TllaoI~506ew zc^!gu9QWa9H@)p+j3)_mkj$qMR0pTC4$7bhnmmeRVdQJ6xLrM;wSGOVcvC4R66oBB zYtK>JQ?bXPdgSc1uE72p;Z1qd3l| z#u-KihXa;**{g;%q00UrsWydD;5GVs8O%={7s9oUMR7PVwsSrn5s|r|N^-}cuVke^ zx?8RW`$DQqFBI9VM8JutM@i}$hWu=FbyXqoILm8_N5K(4!~j&vDl;AmK`mP96+(PJ zCoi)qm&3)8sb&lRS5$0rWUEx|HkpZHt{NnI_z`=O>|@N}G)jyaSk$G}JqCF63xs=lQ98gGLS6%~EekBc{7O|r$37K`U7 zQRfMWmp)r>tB#mz$LSJrg-w@7ZZQAQe9Bic$HL7tVu@Lwv3kMR45F;2Y93|c^cbIj zzr#O(y6}n*Tk*|z?wGoTCykQNJ>?oq6Z=9@|9>;Tpfr$ndis$hl;;d&$}4jE?x;I- zx2xi9?)o(Aa7ic|IC<-_|(pg!yuGIi%-pR&d?%TT^JgbJ*wmq zs=`|=|Lx8zd41z?ecUJs#Th-!EzC0;mM>gw_RZnMA+4|Qv69(0F5ZzGWU2P4#g;A2 zF@62#ds}=Yiwl%TK_!Z~^vtqnNYu{pcGs5}^1r=+~TBM!Smh#2zrQ#my;W=3{bE`F6^@}113UJZ@^;O{-a~XTMJ11{0J-5_{D>gLG z6p5X?Q0K#9kzjmMTpi8??u>eml{u6{7m!HwadIf=pezFF!bB6(UC56kVuf+I;Iapb z`f`Amw2ZAGv@{VH+^{w`Ezhl>5bs5yOh(8OClV7}w&(&u0ju0HgCgK?axmLeKd>hM zxHp7=95v7Ec9J0?`B!n{KNAgRzDxKGC7x?CF|16@tuQ7}VU8zEQmH56GFBFkIqzX% z8XxR=SQjUHqOIq#lvXe7Ih!lOQB2p3-t$bWHVQwrv_`;$e8ulIO8G5WYtVxibVO9T8T*h&8P` zFV~ikcBZ=FKDCyAyBwu_SEA*f1zOjYHuY5d4)boD31UaS)g|d$0Xr;pzEVI!-m{m% z_DaXH8jFIrT2Q>~W-%P4sJFs;eOynhu#?V!z(@x!)1tQSHBFR`;kdgIQhw=BmKc59 z5rSSifW0-~*l=MlGWWX8tp`Q^!_dN-t+_Rr>#Ym+j;=@H^Pnuau=W*z{p!b~jDoRd zn0a)V@_lB|26UJ)QyeI6UeCvR>RneO`EcqIyCplWxHKP`w~s9`2TP|5e$f0tqnb>V zbN=tQ8{PZtp>7-%O)Amy(p=^z-Zv7fM|1fnXT1HxEroSs>!Dp=n|>}y2Cm_DdM=;Fx9qE;DX!6$RF07BIdG&|E575=_IbSYn@EgtwUL-9Kj zLf8tHs{i>uFDcg^97{O`VDd5cWZsxp91{6p?U&1?EQw@%qRWS|im%;sLu>4fN2;0K zm}mJmN+EIY**!eW&z;@-E#;fG<90EK@AxGfs(*$vE@iL}EM0jcKh#OShh^63kzsF# z0>|VjcazTfuuV9{uhddI_%m4`TLEVRKLyw}P2RGzE)Ru%dYvfKZwqc&C|~QcY@M&{ zZa6$N>b&^il!TJ*ffAKc4eC^{x!msQ++_21@A1s-UO&TRvM&UCn!&b*meHGy`)^Hm z$85Z_+pR|>@t36Sk=;AQFP50{g4nX*`Xo zT+M#^62=R`1UcLPia7U@6PJ_eQLW^$ciS+()llu=WzciHlSM$^$ga5_+5&yPq!a^Q zJWVxWLntK`mRw3ln!ry7W>uZG1@pZsgc%gWNm~oP=g_z#QG{s}a~!HCKK&q2rJI_K zISUgpF?_7W4&>kz8-xmJp)wCaF}*II5uY32N~cV#V(B$F0;=q5rmzraT{H!*f~dae z;9)ON5qwC3aR$YF&|Jv6TyK?pn~Y>=DmU^~W@IFk*~Kf$0J8_N-J~5o6Qi#jc|SAQ z3);eLGnTTljiL_1yNtw`4jAl~#Tq3n*%m63wGah3M~1r0DXd7m47ha|I%?m!!ZEp! zpZOSO`~WM~8!ta)j~vO^8tx&o5QFf$v?NX^S}b*l?L?tRy_*w40|^`30fUi%wOJM= z!rTg4q~nE798p!gyj`SH5G6qGs#Gjv*TnKgSd1Z7h|_-uC&xtEBa zhl-b2s!VI3qhy7kxj$GD)oXMt161@4#^*o~{8-W+fU_z@YE?78i@3KC(gl#}(2Q(H znoa>kP*f$m4QM{U&sf1d1%RfRbsN*F_1@(kxi*8Tl0PyGf9OM=gESlg71y>7i|9&e zc@!`e;2ialdkJyl6Thv1M35HbomRiR${=KkrA!u!s7J}A(b|>QY%keq@|N=~k5?lH zs^HdaB!O92c3i^mt>AEXC-Cjw7 zz3liNrj~dS)L42aaHUU~kst^CiFsLs9pxg2vgKsiR;6M#5fMe!qt??p+9xKCMsKp9 zY0GTtf*fnN~Lonke)VApq!&vN7P;A`{9{ z&_ouWbWLTalrd{G4adRS4%FV{gJ6By6Y!FkrU#ng1cnnFH)dWUEqNHi)__*{9vB!S zP9&t@l7NW3OfU|^9k`!>7jf>4g4qs`Kkm-oD^yjTmMlP!mL*QXJ#exNNNbV?n{nNb zkjrN)7&3ME1uxfzSg-EJ%~TgZM$%NN;_u`x%UqT&v;&qbT@CO{d3R;0)$~}^h8DW0 z+skej`NcDegYXr{)|NB&=(dv*bz75K@7E&7wx8kSy1qS9%o&Sp#=J^mfGzb9gw+>z zF@fAiJ@%sLtrO|aR*A>#XLwOGP`x#5C~rV;xWi)7_0`=b;-0A+RGY)LxZFJY zCLukk5FAr?SjIa)&(v*ylAdnA!1b2v-Th%p&PvkUWt`0IeLp7(u`P7;O(^vYHTfjv z)~c*bTq-tqKU=HGUuW|>+En8X38*IbgSq*1p*Sw3Cu-TW3(qVpsl&;~Iu+(+ccg*% z!Iql-XgcdwadqX!J@$iam_M8;RnR#w3S#!bUudnw6`F#Bd?fxdBUmIgkJ=V^VI4!u z$+t({i+c3I6sk^5!jIiij1B*DI-?_ro&9s;p-F}52QK^1ugVwsAbQ=4#foPW|4F}+ zh0Oi967@U-49=?Wm2N zl|P*=H~wZveht*q-wBp~l3ZvHY(-uK=w58LfEmd2xO;Y|-8iwQ@T}V%yL{ojDc7aO z|Eyd;h!H1EIbTPlCpnYkwgoF9KAKj!wYrWv1f8VB%lp3eu2VCA!qAX?_TwgFqFs%#+s|@0r@G0dP&Q7((jW5(DH%PJJS>JwXE7EZKf{f-9VM5(OeY-p=k zg(*^QZ42`nb>dts5La6wUKDV^KFW0|%C4D%Jc8`&(upqCo#`|L03LkkLh0_gp1-*+ zxpidfT~}D=D~I;m^o`U3e)n}YQ@D;Zo0^zA2JaXTWL?+*-a}qtiOZM*hB!@{1+4CwoTFdB$gK5TY$5~8?V@_L+MH>rT=rXvoLTDa|-2Ap*twAkX`5o z#4^GT3hE$g)}c)@(DPUBrQwbo%iJ8?=0V#EQ3cr$Lg|~fF`56x{7eQ(cWX#OgZIE1 z9;{sh=&GmzCFn#waq2^Sv{Nrt90hFD5n~H`tl4GY7J57WCJxS)K&q+mWQLQh@X#{H zkKs?8z;YYCl&YFT2}E6~L~znOsM&IdIkuhl2jv^cbs~$G))&aoiwQ+`Kfi9(65P&$ z{Q;dzZwbwU;5v6BBILJSgz4#K93{B|!jZ57YNp^oniB901V(RNxRp{PbYp0!#`A86 zLQ`YDq*4%3JQwltMTXovoCrM-Jdx)xEI;5t%fF-jXPNw+WxoB*k6Hag=lSv2EQG!V?AtqNBh;w}{H>KlKl_YV zI|4Bdkcid{0(C<+I$yDm^ARsQ`LiU;<=yPR@pwg0_}0+L4-8rQ{^^kUWMFpZj<-*+ zhkdg7GkwM?VrOPI6_fU_p20y%>M&3>|5PQ+r(Une=-{h^oIT3X$bR#H%(@}eT@W(_BuHAA@b`}4c}RjDOh z;m!~LpQn4ejlSUaK9c#n|6}I!2hZ|{>TrD{PsKRNVH(Ha=*6*tI|yZ0@17Z0;!6q- zJo*!M#$R1vi>+rzyIgFY&Qj4fduS-jUp?El@Vj&>R=?Y_U_0y}xouzbxg_C2y|)v6 zJ_D(MsuPgAzfFps81yj=uRHgHa@$GB5Y2zcvX2 zyLTpuy~`%;sj;;B?T;~~N>-6KvAJqi+<#W^$gbi7pV>mdp54-kdP&*0=@wI$&=^Nm z%LHE9YYu*(S(8QJq{YOpV4aFROOhmD_O4^dS|Y5(iC!<7)@3!)r_wOD@UlyM1(%_L z)QZcuF){>V7b$~eq)2puF9u3WsrM4ATXBaHW112c`OS6Kz#-KaG>kS*vfwVka&W+I zvfEOse5UbTklAdU2Yc-8UUIUdK&14#;2jpvGACeagVhIqsfTh-9uX3^hCD$b0sShj-VvQ@Y@%6qG_MnPDkg@_m zaszbN4Z^npDZee%7}CtSiAG~897*JyXy}VgEBg}nhlH=AOsu2layTqOWf_wruIKhu zgn3beu|eVjJr>Kr7g6^yxn2S!TaET&nmQBS=9r}Fc6FdHy47#a2Fh{$|cd<7zJajodiUM*m5+0FW&aW^OBL?B%#)T?W z2{K_PopC*nl80sp`lYH4|A7G7Xb41O4x|_LoP1wgkdQV8<}N^Ce`dbS$Ejt#*%*6` zFOpmZx6T#)yC;#pMA|z`%`E~BI+v8wo?yD4(iiGrywU>C3{%Q+&d4#5DK&?(36zAI z$uT3Xgn+R+@^qOCz7hxT;0Vp+nG>&)(NSUtj+Dj=u1D^UrW&T@Q?eH7o#W^_c3geP z#&%?vD6+7R_Gp-q^u%{7jjyeRpiJ{Pe9VSXudawVt^ zDzH?+{+(>Wp4?gO_!}$<2dfNhyPP99!VB@~TXN+^3^P{eAcu;<$)eT}WRcGVN?8Im zZT!V#()v6d$y?u?W>mde<)5C?jRVG}py+>oi(QU+*ehk$46b<6*?fm7ABl{yW^f`T zej48~#})2iJQd^IH!n&nAKPv3NC?>y#T=%5l_aq;DtA=FKv zjsN~9(rn>BX7lR0?{Ihou5d4|WMTAT4?{5^ef#T*shfAtkIu4YxaIG-b3FP~+)pFY z7SNtH`Y(37{{bXu+Qp}~CT(mn^pVD^QI=Sa0DQA^rGu0E56 zSh1sTFEzIeKf z#tsn8`!P^Q2SkS-Dyju5S%(rR8T|J9xl#-P2?VuGt`7G)1L17~D&yif#C{*^hJC5s z6c)goCdq{syt>TN)LGMUpnO{|w@MPoSWMIts=wBItDyLB*vT%!R6BO^5R?pJadJIR z=qA1{_KM%J87|BVRjm7*Jr|WzK(UsKa+7rn;4V-N#`&4lwCkZ!ib-@RS+xp}sk{YL zT!vK2L{lGB1Rn1#fyF}GiW!W=nJwD=2~Q$2(_g?f??|P_O#m$fO&vJbD=bn0QcZoyxz_~KQK2BHx% z={<+xqIwWppy3;It}WP7lsZF>Q@{%b63BChK&7}w4Z{;xwZZWQRSUBZkV{_8QT}A% z3qD)nYc;ooWYUHj2Mg%h_L$9s9cS%iA9n^iaOU8zdOjBWRfOJzs6$2YypcK8BT8Td zj=ZfQhsG%7ts{-jQ!Hme<1y7}5~cvfK2lbg=zgBsO6hMueylYOv2`%;Y|m8T4*p;^ z9eyim^fI(5t)}rn!u1{l=$wUigs$40pT`>MCY?&Eeh5dfu*0f;iq87!WNEs+$FB0# z!A3+={LR2orRPI?>G*7lLpDtt-#!xx@9#QHg>Iz$PBjWVUH*P3q=Qp>m2C~Q>q^?b zSk4)GWz%Ap2BZtz+t9PL@uemB(2IKLr_eSq1IommlSL6FT#woocwtF`$I@EoQxhIo zLOt|XJ_#TCB}?ynv!&;>D+}{Kaxm`LN0cqKy(en#3I6yBF;CLRy&WGEg)C(5eBOgB z6G?SxY3U*=-r|?CF!{`$+J3~qRwT?#jpHL;WaK6Y zLpEdCGiesmOmgeV%WG~bF?cN|N`CNINStpF_w?o^Cs;~bIq}L*E0#kwHV}H3hy}u9 zxybAbV#Enc8C)qq(b~W#q6P)D4*t8rx_|u4P(hX>=iQfuBxXtRHUV#T=^Y2MMvL%# z@eS-y9!YG0bB5twvI7Hk#Z4X32-RK1{A0Xg(Rt|AN%B`0guChw_DzDw)6QQ-I|?(y z)_%_gt#&<3Si9cl1#+p@Ux{!aaS7b`rS9;9-yaRu&1(l=T1*<^TOH+c83Rf0J^v-$@p40KVfdN zW;nx@Q~utTq|(2ZDbC}2d#SBbR4Irb)hU^5;<3yL&s06vspMv4c(}Ag*9)K>%S2zI zs!c)?BRZI5IumTDV)F&xEZQ2D$rl-0Q08b5s+jAecmi|ZUstGWgFY6fx?WF}ra$VMo0TwekYt;B~a@ooDVTOFgp~dW)NXPMYV7qWNYHAl# z4iV_4L!cOfTxb{5WPDyu_T{xXgup?-l-Wd6BEAsKBRFqaS;Kn~e_f^BEd+&?5IsoN zOU|0%eL-2vb$xG`|#U9Zsx06qa(FX1sdxlslc<%NLf*tnFeatKQeac?Ji0{*OI2@X!tUD0-9~)5{qr+!A_hJYGKHe=jPaqAX=@ z369XU1-L>h%Hmk+pihb*ca!G+cqXwYFFiF3eez#fT7N>H2q|VH{@0^khJi?lR#~5nKQ{$O(-!~0qK6~W9U({TH zME=AD7S8?Vyo*Brq}{|%-QLW1KEF8~tE=vP34%0vqNRa`u-Ts4e}4X4HT?2>eB@_h1r)|T{ApZ0{fDR;4$lDoMF8mFwG+Y{T%@ z3e@0^YN*rRSz^nkTXTJrP)wRsc8#RDWS%nVf>-Qe_UM$DLf5veAa@KQ!FX4yPB;^@ z7$82q{gFln1}Z*Nyp9nu`LckfHtb6#6{dwSkadvX-NEtddD zthgjiq1dc~B1q+LnBslD>7$I@CEC^Rz$8Jf6iq=8c zdn{)$Syr+Fv7}{q#0*f)l15ordmoJmA?n|?=C-5&6Gs6)$io~8Rd{D9Z)+9*CdtSgOqW?mSi)EZQBVpq9V1CIP>tJnMb^FzcJ8pM!*+C(R536(9m zX26cOQ>`_>F9vO;HH!xj#e}Bw)YB4_Pfww;dh<3@?Pk=PDqTysAjc}t=ac#sI&qhl zy3w{WoC2dJi<%m>#rYZu1)RN<6|@2#+l*Y)_6li5h%$Gw?oP}rf6*b25}Xxq9Km(X z=VLs-xM8Z3n(OSCFS09=*+DL?)$Icp_G<3td<52L;k7B79~HWK!4V5*Hcz*teLT5I z=2!POLBvaI-+qXAfY`BT$3FMXU} z^t|;oi97qLJ$Klbc?Y_$gi>DC|0ntUBA-|do-_v23(~Ck8%sO;s?S5-JT@O|HiAVZyL(V9oG+A_Siqo9f|mK=chs=%wH9<+6=#P zIJ7s{(jfLffvf?u$SYj>jC!RD65o0jSLb8F?)X0TO^!^*abjt3&ZrL%k4Ga<&8YU8Z^QW!yXYW1Nvfi4(Uh$UHJ+$8@Jh?A37x@Y81V$b^iB`A2;<5+G z7{B3aZk<3k^tcBtUHIq^+r47xZ~TNi`@F;2IUNiB;TzJ16Iw5~SQq6UZcn85SmFW! zV~kXEg+RsZ542DV*i(jw)cL9%Qe5oCl^)}}Uo(iAQ9qPg5(}8`6`)+2)I3ceG&TbIN zI*cCXvF7Z#$;_j_>0=Gjkc{QHylPAC{`{Fs!ZG+T&W2xR&Sn-A=rncf);et6rAj=s zqo0gbUsxzXi2BQ*IqDLXMt>Q}d!wq|-dHQcjhUL!yEm(nY<95*=aR7CdQtqEj7c0o zO>)%U_R%xiGWckHj-G>w%{Y%^5G}KKr!n!QJbz9XH+0Q4hL?TdE;AQ&E|D^*174bQ z(p9KTW%avzx0=_)m0dQ0FAl6Gbu@jr1iYKIFm(^h|I{Jd5Iwij*pWamlM>8loMrRO zJp#jJB{qbKZcK?z6)~`<9Mfqd3IekS@Yxo4YPR;swXR`SMpO;K6AMWQ4nMW2xZOu3 zS_hZlj=RKB;PHaM2$2tfBy!$GY^DqRgoD%okcMN{=nlaLl=WOEk66dMyBnN%EsO1>{-tQi7W4)Sb~ z^9zc-v?_NPudy@~1f_Ae0{04Zum!p1Q4T()8%Mh?-rQCbeNI7};2}>^P zB*bdt+YbU1?DTR7o}+K-!W}Yc9!1I`q~}?hK^0!$6E8!R1B>^Xc3?j}cvi&GeraktP(^pAE8}TIUwJ`L+Gj_=cYX%zL^mz98 zo;!+g1I7Pz#b%d3WMkQKSz}x;Qp!h?l&hQe=MS9AaGdbt^L-UNs}T`kD$oCFN8X{3 z=+-W_vtT)fc-R-qDvKZ=c{^FerV7QQwgp~T8`C51rxO1{cZdV%@OT-eu18UG_~nva{H}QY>v5L@xWW|D2DDh*quj`0rAu56n5vuDLvLl zr-S2Sg{#&yz3&f8f4V1GdhCbtZ_X^G=5}&{pw3x=J#>SG=9gZRxuFZnbH30el}|5( zro@)M0+BvB=$F!6N#LjKQKYd88s=B<%Wc>iDBMY!z6#z;oZ1m2-W7UmCdbOMMDC++ zT!4~8kGgp|@R6sJ{mZYkbo@us?9odrcEt~0Fp=#2+j(15$kq_XIA>^b0n0a7Gu+Lx zr_lN%z4aX|ahEGWDUhXf5@~47kaEtzClq#jU-?hgI=fR`yEXkXG#h{7!Ux0IuUoPw zzU1$E{eOp|$~q)dIdg+<7J8Dy9Z;>^XSygI@p?nu^Eo} zCTAG)rju1RH{q^}z-&BG&I13CDHva3r#g$r3Iz2&SD_jb+)2irGNLhi><=tVw3w-} z2JT3BY5cOQ<52jL7@10qV;#Upr=iZLjiNx9)($i8$U#(9cW~*|LwCV>p+!jCwz|n4 z`U`2o82`TLkV&}9!l2ayJoka1XvI+6`Wm4NquWL_kxpRpq5@e3%84~CaIg7zHLNy8 zY8sRJ!V(iKk$a3{o~3dm+_CUBi{xCSNYDzeW8kbM=x|60W?acbedhgDv#Y5+i_{6> zPk79=F++48t@)!po`+jQ@v%_m<4_F9rff_rX=6WrV(wVnqkVFoG_br?!#_a$c7?Z- zVu3Zo{FEF@ku`&$g)|;wXq8Yx-by@mnsCI?ACPezRkJMUz4*x}SC(%@rUaxMDwJ8l z)&oL_>SCyKX5JG*)o`7-W*}G|wt#Z7^aa-!V?%_sjD-zpL{Fx6F!zWBh_oe`Q>{(5 zuxts1erZ!rrYuY#(o!Cl5K%o&8;_;+(bcgy1QSGBGn){!JlPgpM%hVR{Km8syEaXS zRmAzw4lGD}9gv+2P8^TOm|G+$DA@Cw5|y!zY4#iP!B1H)gIC*D40(|>N$O~DcEkm1 zei}ypkgwcakQVPSeqd?GC8ObJ+zs4A_VzR+OO}l>9CJ4bDIdzF-Ezeyc<+5~O$>z6ffm7Zj zPQXc{%aUksa`kV2e=(PwPnLjuDGwpNfl+<=AHgoL5Qf{e@cpuu&?6M&R4gY89K@3L zkrVm!0k80lX|0s{3Uz3+(Vo`-gwx^U7Onl6YpJeBD(%{T8_s59+I>>{oj)IX!0!Cn zk(yur7|C9c*NcB!X*~4s3E`~8?~Pe#ONXCYecA4aUpe0W_kQ$^zidhJD{tOT64v=< z;)-N^X4bCpClvMrzsiF7V}t2LeuY`{OQ+^6B+3sx1emR$Xc>9Ok1kXO#_0VxXPEEM zUz4Ac!pzU3G zy6Ehupx$1|8}xz{YZ%8Grc;HV(czD9ps-wV>N$sXywUly6YQarn}dP4wkSynd@!=n z6_zY74QTQfAB+w^-7gBNxW&$q)uh)&PL~s} z&uW!xn7eNZIsic{n@f%FVqCH^caSgjIVS?DSDMoF(U&=W6UYqta#?vLBsHX!LbauGRkG1X^&2^N ziRoz7CztUIiqkVytcX&6Mlt4>$QztgT`VK6r!7IvIqj@u-KaYKh#%kJn@bQBms)Nv zql~E*-(1=buo4pD#>xPf?Y5 z6SeaDRuOd%0Y6K!M=GJh+utYK(>-bzbmN8$lppKE#B&T*t^4l4DY~S#4JgmnR}qU< z>kDU7onHe-IoE{zFKZ1w&R1rQ+E;MTU7E2;Q+8!7kfc2AJZ|i*^b`>8if}mMJ(pBm zx6cSrww3;44u@Y@>w~>l5j%@(93kLvC>lD@!*Oy5)@<=2fyB#CNbuMjvAglNRY92$ zm=L>ySfOPBPxI2c)Jq@SOQ@!V~NK*r{z#*`^6;CDrdEQHOWi7Z&~Nr-8fNf~k$aq$By<`wv8u+PIvvS5Kq zas)64&5-O!##gs-9rR#T2)c@758npLEfD!>N}vsDl(@_n8^qU!(CYd+2cH?@?(UI8K@%5Pf_&j3BO3`0|5d?7rZj+XNDq>DNO->JOD}v^wH5=m(jWxY40Kiv}hs{R)Wz|$SLmqZ4h_~F1tJn`|@y`^oxn8;(a~T$R z5SZ&2?EPzNRSlIE|unx&YUeB zv^zY%eKJ}`?Hi+A32x0@!NSe5=B_EoGco_X9sLRdD9qB?!e+mU1AlD+~Rc+BlTn!5)pc4*V!{- zw&Yl`^g{ZXaelB4DUwi+t=BeD5nP%nc`S zL{UnPSP8MmT?gMNL@`@KtwNX-Zi|iHY=M$c1EN3kh3%xJHsRK%oryW6A5al|*L>-W zqf)E|a>zo{(nU4*jNcsb z-JHLZ=$=a5ipX`ky4kW!f)Xf8XFZ+FHQ1j}QTU#u=>ha>(}1$o#D{T!>ZpF$j-ZZ) zaJ0t@<@Rd``QjVbxM9DGJ@>Jlji#MRX*8;rx7MI+V)ehlx{cRIW_-Nn$c-6Lme4VcQg7m~ z5Lcr+>V+W0_5U-LpOxKXA8_xB@ffWBg0P3Jq1I`3?wWTMy_GR}UNWe^4nh$Br=gsp zBNY|s_8=mPB2rnU<#24^Ljs`Yw;xD~U;OJUityR`c%+hr z(|*BodD3^9pF1_1B`#|QK4aA_CkK|T6Z%@}v0Q}F7f8)JVL?lsjCnak>sadQT)ze$ zljiK;_am-p=AQqa9}e91hkQdxeD{Omk;4D!Ptof#oiDWh$e=ZK>)8`w`B3FjB@30d zqa=j*$(8^rwAt*q<`v?7m8I>f-H34R;%pX^%bpLrh11g7d@W z#Oar-tyG&6e`0OpI)-W};FHJRO0Ne@w->8TiE##+{;5ZV_|8yXiWv}Ips61OgAo6$H#zybq# z-X;P<_d-lULOxTAzhIIFkTHaqCm`<1AH@o$zemZEj`_qp}jyR z)DjN~a%JJ{QkeB^^++u`+r_EOD&HhN*>?6$%4DvCN}&}OCUwNceC3j~eEQsLJ7`ps zcOYb?xuxE2(`gC=aSDRcsZ**U<0f%!g#_h7_eWv#`BgAVe;jeiR}EO^STzz>0zb!f zlOu@()$*z6cZ|sKRg@PWkdPq2G^g#h&ySc>1||ae+!{Q`b1*(3tcNjOS=t+(u*gluHDFJZlj zl$!E$SdhM2excT+TOKPA7p*I<7tMl@Hiibwg(36SDZ{h5bE#A_8zZmt<<4+Gs9fQO zM1&yy#O~bwpd8iM7-X|S8brXHKy=#GtV>e;VG5bGn4OZ6dN!me(J$b0CL1r)@>!AQ zno<%^UV#EH45^@cH^?OLy%f>{_8x?uWn;6sv3EaTw+12f>|tHDag3&4%kJl#A!Mu> zCiSzN)7y_z#Xpo^v#^r2UhHGddeRt}OBZf0Q4vnT&pvHnxo&40V=*NlkkC5x9)8@N zd6(8BZeag_V)#Vq>VnwFP{E-*0=9FC$VpTI&rn1>t1J3{7oUJ$bOT@9+&=JO+HWODqi=Y zopl}~D~sRjm4!}?`0!(I>J-g8n|p#?cJ7R^W*C{O4CR4C;Ygx{^#Y zuxNUnC3pTr2+glok{wWIh**)2)(k~+euquGgZ*sEq|qTvPX_tdV+r&h=Cd8kSzOxax6qA%ryn*646@gQ&Pvzi9YlEf$<-=wE6fe zLW6Sgzx}rsH@g*UQ)rt%SpU7;zWVVWCE2&wIXY($t&@NLx6^$2SCLmtHYU;zdMf6O zk5q#1RJ`rI*v~Z<<8o?K`Z1slkrSV|h$%58s>bz3RMP0wmhNDJH~e4T9I3D7jnIIy zUwnEbzS@?;PdKrW=5s~L@y@$ayY1Q31;o@jo(kiH3rY`Enk&%de#QObT2gJa=ZDB> zF;+Br1DEFa`T6an>62zPv-vY!N9PQ>GTmX#P-K6?eVFC%T~A>;&rC5`AAZEjflVJ_C4SWOEqWqM6|5%kGdNr0s0#(Z+2FIgok!Q)qd| z?tQ?V)quKYWbkA+XW&Wh$*hEW#VUTyc-r;ez|ds6$9#a-4i3kJXxD5+^D zJ#lts%B*%M30@x~aF-3Li_jy4$LsJ(_*o3EQR1~^u#m!&p8F~!bV zA}Z9mbe1U86Pv<41j-8w5Z)ZzMnN$~q6q`llPg%oIQ>X|29@p3jnLQm<;M1<$1A92 z#pWVuh7Mi68*UprD0N2xg9I>Vm|uHBeJ`L0%QskwjpEszv&P#G${h3?S@D;aCaXMlKkDBMG;2f{u=Ker)BLfeD2jqBJL62>v0l#9lV)=XZL z1fCGDHQIaJmC4h|72Wg6V`8j$G|k@Gd9ByReY+I&5ne`H9x3+CpT=7vE{}bY&`Bhm zd&R4hEWF8P><=ol)%_Q{o9+5?_9l!?!71eM-Z>e0!$av{HFwiC*%+iYkJw|Gs2>BY z^-_Q1GuEE;ujNstcBN+i6o>GE8)#At@7TQAGp>!V)){5}={-r`COA@dvIs@O^>S85P#ef897o>C^FOZUU`DR1!q$@iIy=M4YPo}_hjU$Rkh z;l|zhJ{D+=uW|D+@Uu@|nK{|zgPrO%%k(UcEX2d@K;$+m$}1Tt(r>-H7Os3E>3ot` zFZ17fv)4@bw#wh{NvDQEYodmpI;C*sT+QKxvNVKV5|IPy>t!j=zM!h%gmnz94Sc$& znl!)n;@tn&uU1kg!Q6fz?u3cV=HSiSp+BE#-1JlLu$=CBuH8vG*(I-WgHK$T+M~Z( z3Ce;eM-IdN!|Rf?_CMV1{@I;H!a0LusE&1f^AyUE?7YL%hi{}dWq0gL!sHQ_Ua^WD zm@?yTQWNTylG_1^9|*Q1ux6OBNBId)>!Qnw=6}5*n{D2lTI0Y!DT0K)IL%WfdXgRz zc4*y`^rGHpp z<2whedeoXB*oUgr)lr-5ygR#_AJyG6lFh1o`T&asjU_hWrlxk;bkO0!`88xc>*ywQ z&L9FKYpJx~G{GIFic9Pu-v2P;S=N+Kp_Kyhok<2Y2DheZF)(G z8M7%XTV%5Z)pwqbY>U13F8$@w)ZBwS#ofCB_7H;hcNRS!aW5xe0lecUHl8U8Pkp=W zok#+4_!zXM=3=KXNg8zx&UO#;-9i>hz9@d3?V2lbgf%&LS=ogo=dm?5gM+ZZKmi(% zI<&=;b0r+z8DGSpnHEMKSCLm9d2e36-sA1!+S|z&GeyJACYHs%$Pp;w zvE!*D%mx|r)}e0XNR`icoGh*bwV&NEucog+mES-9D;~-cx!{&#u`#&H59G| z4c;rDWL|hsvTGr_#dH?A4lS(u7T%$x8e(47A|Gu}obnv&7r()k%Py}(`Ct?9OTb=& z0jHN^lDZhXG3?QTxiu)(K@`HwJc7&|4!O$7E^Gz~Yf8RAKuu-V#^AL;RFLH%3l1X- zXi||tG*>lIIYOy^m#}5Y)z=YULH(L$!%jJ=)Y7*PW@)%+J`HNSRrVe`bE#&3xyuzi6_bR=e?5<( z>&dQnxo)K{eUGDm`I@ZPO;B5gY=`XO-ral%d8e#`hOuh)hyPO?s@h37A;1^djZ`Q2DipvZrQ$#0=*p$RPYFprirFM5LEr~UtMBO=ANaKNb z1-{{E!{O_DvT)8DEPUqM%rd`zCoc>|j4d>}o&3*V=fZBdY@WcRsp4(!kwB4<6>X{7=w=FsJbuPB>HDev~2!b>g z6LF24RK^=Oz4l02y#I6j*6{Cst*}=tThakz@2%(9)lH2HYnb4d)r!3y{Ca0USLllN zSiDUGB5LwuEPj_yN~pi)7Czv;9X?^Y|FPRiGr^iWS`A_?4vqO*DTN>4Ys~i~7Fy>Q zMK~M+p#^zMp=x6bXIpyY2P~=HPC(~0cK`YT2LngJWOj~SvB#=T18X|V6#HZZUKRZ{ z(AYDr>`aDrq4TeE%3WykGz0)F+k-@F4|YMg;ncIYtzV(CE=1;k`3OP?p9uL z;U$-TFqnGefGYAoWl`XXA%_IlLgo37Go{A@1d^~(*`|hUctI#&&v?w|3~^0xo5^UG zQ>NL@u|#}YkOI(DWuJE9GGQnPq@3aH7hr0XerplO{|w-elGZnIFhM$Dn@qVlE4&$b zm}eIwTu8hITjk*aqpxP34$Xmf6%9Fra#pxRKop=Y97k6#*Y=^?Fh)d-e=A;3SuZkK zayZJSC|{Idwlv~oiXVEyV+Jp2P#?reAsO`f{=KI1()K`BxZByao96A$6N_VmnfXu2_@X2)8)v8Fw!dT@ zbF;?p8H%%4DQ#g3gn7HkkXH%Wvn1jl{<4kL-?S>4iC(ax+9269202v}NAVDcHkLn8n3@@yWX&pmdzggC#x&B4EjKAho zK<9VOl0H(oQ`Ud>>cC(o8|ueA)maXmA?MaQ>=_)_*U9b}7sA>cB;q?2 zOx|*5)^=R#n){@jS=}}8S*@;ELot=864>3(R%hnthkQ1Cq?l>KWb)K0N%F|Ia@T2| zGG@ou6MZe9t?ED$sd?fEw#8J5`a{-KET%uRvM>*XiA_fa3)yJKNd5&{?X7hO|J z-5f?OTtcYc4fF-QdE5lp9K8%tqnBt3J}T@NTcxLS!xk**I!Z%0B$U5$TO1*`?AIyHyNcKaQnC^5^3%BI zu_t8TSTm>yw+~))6w_*yGnSy5MQUiMHQ=$wGYrRJgHLA7HR~`tQY}|)*|kJ%p9z5> zMSe+O>#Wj)`kJ}0$RimZ-fuY(wrLi*e%;Uq(tDV|r(bPA0?dFm(^`mekdLB=#4nJ~ zv|5gl)I7`Fc`CES6#)c?>I=PA>?0;sMn4lCcN@nex2pYABqJ>W`Jje&hMAHzc!u)X%3y=j*} zJ_6G@x*+OQqjSrmc0m{ImrOHhVz8J=zoU?GW_S0_Er=vVQ9{5_m?+C0L!2yPp2}(- zwJq?%+L+d{)JxQS3y7uB~9;3*KAvp*`h~6-J&!q{)=stfJCY|5kPPbb9i>8E$lPd%3%2 zn2WL}9VY^qt3rqO_2r__zM!IY!a9aly2i)Fk^-wn8tycN^N9~Se~se3t(7KxovIZt z0Lf!>XeZ}A#=v~sj$-n&=jYXHCi&vb{GMRrox!5{#}(%2$ChfYY(x;%>XNy|Hg6u)=G+o4XSH&=_Xn*{U$-zWUJ1gl{P94b#fn9NseJL9%= zw(z$WhV8iNCL#SG)DORo?L}vi*q&X1-2cXR2yCboI28R2^O&% z`IoslWZn~)U(7p!Mzr5Sx-C=~Mg~((wD2X9{6?1?TL=)=n%mB)CW=Yjc4&QpJ|uwT z=8@m5uusjI_^3dk&%HmaLEZw92fD?QeS&CHV^yR-g>M@^FiPxSq*#AQu5J`rUjlF& zq~W6<6MmVC(SgP<`HSgYeJg6gjl3@KU`1BurotUF*?bxDJ;t1LqKg$sh_D!>6pyYB zU9^o1r}mJQd?NX7)Hd=K_UhYwLC%%bT@-j*I2569XBEor94LrOsr7JVy>rFoWuw%e z2ds~EY?AOF-#Ti(7RGm6UCWnmWM8zxx@LATS&A9lGF3K_u1lQl+cT8;?<=mc`)1~H zN7nD>oo%FVW8wSC_(x`_lmmI2H3KPKWbfl{lCyUmJ=fnjF-dNYv5H#Kve(Bv`R_2c zc8csl`kGdDu#)kM>vfTJDbog?aVoB&NY3XP6-2InY>zGxN)Su}<7ZgcOI;iOdX(4{ zUDAPVS?E(^d3!mw171im9n2ud3az85w-w5#r-sh^tRD&g`!L=5TP%Ig8&9~?ev_Z$ z#{3Fp3#iTSN$uq8lH#w2c4qcR$8qN}Ok5GZHm2`MhsphqPjl+)b(rBV|EA|R%X>mP ze2)#*f0-%ImtX0(AiX9?oYZ{bE=^{*kHq9CB)i>>8TES)UmRZXJ1$m z`Z2U9(xa&3J`Lscdj`%KlDTEh1|Ilu=DzrpEr$M$!Schm7un&Ts)p?S6G_G!OpZ)) zCF(~8^X8R1W}1KWCZ}59_&@ZK&zd`ULw?QlhbnUo?j{ZGZN+P^Fwh57eP~4G06i(> zaU2V>s57cP%XV-tZ}IXpxBee06gIIc5(62_LT*xbvO5p%yhl`mpSL8($FHgy~FE}u~$V7&ETtyb;DUa3^ z+FJ1Z3LE?wDF4in@{+MRlM-=xJn|R(2RVukT_!}(qh2=P*CZw;{y*;C22Qf7x))vN z)T!>%Jv}q0o1US;f!W>DLrMfG5DbX~b~g<+1hs|?I)20ygzyM4xnpATFi}|5(_k@j zO);VweI^z-(TS4W#t@&;U_0e5j zRWr;C{_g5NyY^mt?X}ll`}^bUBc5e#H?Wz>ewrk~Jr@Cz%7l#1K~v^B6j`g7Owa-B zA`J&vSVkP-DE5vq(Qv5bqp8l?%|P}l{heW8aWARj*G;>8H{ncGNn{G4HOzA?Fd~a0 zbI2u7tm0f5S742FQvU-D|=D1SX-9A`nYne#VQV zJ;yy!8@VUG+>}w0GBYd}+Pt!LZ87M(Kn<*|piVQUf(1JcdxOx5wZQq<-j38oqLhEw zpJ6179h+Wg_Ug?3UXVoMM008LV?S7uIwpnkL485&5e}TYVIH- zX$g+5bjO4+$Su#88z2z4nfJplt^5_aabntMu$&sYUnw1fT@dCV*) zr*?Y8mwd*G@@+u0hrQT?+{21h4X6m#07;l8k5nF$MZ&Zhq|Gp8J)kHc1d!CFEmseK zSsoOA#_D!?1l%z&*Z|(t93dg)XRLruK4VqPK4XQDQXT*aKY{fONMe5J>I4IG{nP;q z|4Pu6h!^W-(e4N~!}hLG8RZY>uV!K;8}@MFm>8;}L$h-B1#IX8P+C@I4Br`ufr$gC zGhrB$+4y_>1!juD4W3$Nacf6ubPc|!MF}_$2*fc{ohUbWV(!l4StKR_%OA8SNfOA2 z8LW6*S`01i6Fp)&00ucu1FmtTe=9G~uEa1k=uQKS;YjKRN$h2T;_-kUpk-8ScJ0Lw z)qfc01Qac9Vhd>x`z?OCiol#sRAkI;=%jRoZ}n07$^$_;OCQ{UM6*Wa=`iq zjF}=p+58xC-|S=BdjJZHQKo%~x@OT?M4}Kd7nvlezBy%66%#^L?t@k{;u$W`^$`QO z*o0H^x*{9{3oc5QxXjZ~hBhDqb#z=&2k#G(TP>+Sts;x&SPu{;z+TFLZ4393Iy~He zGqC^>0?oT|S<##CMaiqM?%7|q-QwM_BXf_Ek!MC!VEI>M7K{|zCQ;}VX z8Y|_)5j%L53?HsU>n5&}aN+#^ru2*cJG@!%CVc%yHz5T_q4)Az<*EmiDhZ?fGjCIy zrRw&}t&t^vc<2X1CfJSZC2_GDo8e=F@dX^~%G&|tA!@?K(Z|(UXtV<(KDaKFxwHHd zd=`g~Yz<4{t>Z;cj0E}tbPre5aJk!L60GQcl5HdAh0@LJHne!jNd&QU^aGYeKAzRQ zR%24qXP>aq!mmRm_rC|d#HUW1Jng3^s*4KW9xyCldL?%E|1EcOd}$8HAGV4g{S`~= z{ql(cq@uWYs0OD;dA|6do!{@BF6O`;Q@ko>l&^g%($@;G*myp0H| zw1gRWJbAVE*%2e7MIaak4Y7k#`n$#tC{Ge>l#k#N+DiDer>d7gaGo-HF2Z-XdPg`G z#oNV@F8G{#lM0T%!tv>m+|8Tu+NUJ1jXORnZ!^f)+(b~n7P{MKEt3R|_5{t+ZXD@R z>}&{LO`%BzqUC|2Ghnue=M{;ucmn^ugUbUCCL4jy6G-1y?m%PVt*^lG!vMaI^)42+ z5;`!H4D7JOF^82fQCNC`!CDSA!9?RNZ{#5ok4NPY5T@)j0ki|-&xqUuYjv)K=7Hf{ z!|6QxF+M<|0SOe+jan9WW<`Lh%0B$IK!`;X!9W>x5K%-hlAUmhY49)@ihu08Ye3*Q zg@B$Z0lPBNVVS(oy}02^5eKq22L|td<;_a64sK+ie;Z~Q)7%N8KU#3l#!|CBvjg69 zr-}0j;1`rIL!k;3;{sxJ4n|*KA$$ZLglX^nV%!UA4#8wu;tdT4k)^^J6M)$@F`QH$ zSW(U@`loewT@cV;((0}cSxpbv^g zy>e`d#_@)i1=AXkWk#)deE5L68nPRm0ith6w1Q9!??7q#U^{7Cv~;$BpAr@zMk&C$ z6QaiPG63H1zIo1B)Xf>1fo#(Xs*?neqY0*Ibt{hwX=N7AQeLi(k**m(*`{itTWPgM zE|gjgQ|JhVD)iaO?Sj6mOajND8pr^%Ap;cA$lvB70*d-%kYfU6C^xX7c$~N+%D63Q zj^BukOhW0N6VTjk1P7iG5iz*{H z!aZ649Z`Ioai^}N?clEZ|2I|ITY=fmPywCrgFZaiT9vkFf_ue5U z0QXLY^7(%p@IHbg+`;&77{7SgIa#C8g?;K(01t%{>&U@gO?leS_EFr8X4%pk>SC&WBKswp1XszM|4(-U-k~f zH|Dka4Q#NLpTe!3IKmx;Z;IHU)hNC-U3^F%mk1ByetsQR=qEv zx(FCQ0FG|Vq3D=7sh=BJ*))Vjq7Oj)Kg_5=;^Fc#oS-MQnJFPMw1Doa7^h$?VBpiY z{Y;6-;N*j*jh8_x(1K_QD~{8p3o1fja7~a*Y|_Bczc4tCtbx(uOF2 zaVGY)v7+i09{7bnm9tZfKPl_&aAls zF3-1%#3F<0kwqW}foBcv<;3O86Jc;r)(;pGAt40CW6^V&b783?eTTW(o_Yec23W@c zCRRxm<{5Yr2zAC4e&nXU1*jyO-L{O>Sx*Rw>8w4BjPhd8`6&06^v=Mq!Cx4|B1*%7 z@dA(yp@I`|!HM!5O!CRbR6!Ht98Mf)=m6fel%8Qu9;_~SnRXDTauUR~9VU4WWt?l7 zJwaKFFd5$N@+=TrT}m_0Ff6?1&~P{?vGw5c=woh6$in_h^IY#9LBRUxF+y z$m1hNMqO*2;d}m8TPd{i1DyN9{TgEw9Z?0U@k}cPGN_0&S(p8_AgG1YZXtcgp6OH# z3#H(}fmvVPj=GxdGh`grI>Mcl0h^I^{Y6Q1ge;NF!8rMhzJNT2bn zzB^EU1<9D}JTZs1q6Dp(cjN)UXRPE$*U9kw>ph8=eniXHzJSV)?j z3y~1X-iy8wjqjhqNu%Hy9W!i8z`xQf4n%@AP;{`Uo6~PY^89ap90iBLfGJ%oxvAVw zot136Dv$u&Wnc?2dH_Zn;YAl5Aif$OwD}Fj32%g*SpRR8*e>V1Aa|KMP0@#daW&&> zQMywQ5Q;~j%ih5JC!rzfDqbBhAU%pS{_I(Sx9fim%Qsz{3*=L0dDrfL0_$(RppG%e zg}*mi(%S;{?|l_`eLnYq`zF+SgJK&j{} z5(`3IKn^^}v`tbWtOy@{9%qn(JFNxxMrsvSKD=I1ma!8hgF|*=wzxPVC; z-?$F%8l4`lu7h50Pm2s*`$QEm)EvS1w?IF75v*6ZWGk`94i-V&C@YM=I2Nq`SB$=Z zA=Uw+Q&?((>m|ilsbH1MeE{6)R&AiN^9?)}FjW+<3gVBhyfs8)gnzNnma*;3*6^|! zm7>9A8e$Ii?q;jo3k($fiP9)~T?{@VAHz`g0~HGc>tjJUgR0F~p&K!HQ0#n#vqC){ zTbUyZ>e~ULU5Gp>gjhXaAVv{g16Wh=T8oFX76F>Fhy$Za0V6*MXd^K3E&6OlLJh>7 zCTBQO@Jwbq{$Gp^R|eJw0f`w4*D3P!u#i4A2kA>Uq8Zdl!0K)>};OH}Gqh?GNk14}|ZyOXr z9q!GtdNT?`4S{EYP{lA7(#{$N4?W}BMJo;%%P9p2)qpyZVH1(V!Y$|nktc2ytwGVv zf|EZJsQT&{)G8RGqXZ&HkjkI(Y#l-2MX-xHkj$uS2?Az6$u2dh8y1}Xj(zBF(?A0S z#W%pXhAP7Qr9@fYiWsM2C~{z61{3J}ExfpjulQ|1hqxMkeOp>}yDE@r#b}EIb}Zwe zXaLw5V#yoVyKU~NAr?ne0fSOrM{TFmw|KA+HSZ*$Lz<8Sb6nkpbk~5a2Th+YHW$eX zf7GrBhj9|D;SZXfxx`6R@m($?1&<8nWTBK$#{MJNH+57Mn1(hFHMJ>6(?-AJhE5_k znYC&p!@Ae-q`V$`0uKVW`i5C+hH*l5U+>D|Gs@J8!SID(`Wtc)*jbxuVeV4baz zNEPp{f0;TYI;L}6w_Lwt-2y(h8fMEpa3&D!9RWyVAkEU328z69NcoNR`QM=^(ET7?2*tTQ(1$VZ+0( zU8ffBvrfKoOm>apW`FKYcLj@k#c`($Zebae*;oIvD0z8*cb){*|1={vy?M}wDs19WL)g`SKFp69|+;Y}(Mg{2EX1F0mQM3|}&+X4;QT5!i^?bhuk zJSL+$k3~I>2+dSZ4skru9hT9WA)VnU>VpBBw9#e~9fWsfgAk^yNSucaK8)m(xfk4) z$gW_Z^d^B#j(sCbM|}_3+3>osNdp_vYAtb|7!zT2CBTcpiN1YVz+p=+kSkN|>Jupw zNM?eW>$rc(xg(eiYcop>Squt=_%aLJgiK+Gy3=ikiqW8wsWYSR{2Nulz@Sa_zv`Lr z9%`Tt#`q2sJ2-B9?lM(#JxWB4%HKp`#81(W=F-;Tu&3PYdk8 zVZlW=_e8$oqdlz?6om8mNmFE_+a>}*3#_OaiIgq=FUG3v3T@3PROp&vWauF9h<~3f z^ZZ&^S|!jb2?oh%z;5CzmdH>y<7T3eljImoqtKG)*r*!>qw55I8dEup_LW68XjcJ) zbpQ%qHc+}YJP~f1j&X9wFBxZmmlzdMz+m6zCAHAEJ{)`i!wO4s(;HHN9BG1ST2osq z>x{uFq8EdJ2$o5NsNUmffo6QT4-heqCCLfrMc0~O4AkMJX-lc#sx|_oDYOzNC?@GT zvDDhtG+N6;BhX5VW_e{8oB&ykYf6fVyX=-K5<9wM$aEpHcm@l;`~t){l)fK%p41&s zMP5G5QiTS9$RL1BN89r>^E#H_Ox|M%<8+97*k%uHzDwnbpzv~B&x~;lgM|}1S)T=UFEH`={-^T=~)NMoIHhivv`P=L91-X3BD4=dKSPA*c3%)<` zXxgf)!2qb0|`S>H{Eb) zNzhCLv)$lvBvh`YqaUy&qHY@sY4BQ&0bh`6>0f8(^!yQd?cBCN-gV%$f&ZBcOrX*O z#*Ktu+9-?v^p`UEmmkW7tQKex(tHShO{=)Igt7YzZ!ylJ0!Qh{6?h*Z&Ut))sL&${ z=gr(IG?aiy0=?nmi0ZnES`zrBX{s5y&8;?kvg1xMaq09Rx)L|u*S_or`@A4HG;*Oj zm6{)H4D3gLP2^UP%TMk!7GX`IVNhVe-L7=R*RD$j>k06kszpm5j`UIn=>}?4p4ca2mC6Pkxc7WhhSKqQ4a9?Jqvazx ze7XS+JSN0pq$@{4{Yxv;yk1h?_#q5Zp4r=ted4F!kg3=pZNVhi@l2a6KL1gf#Zi*(C{^cGG_H6ZJ|6$RXy)o5LRAd;YIUdTsF|4)>n*jVzz?^}x z^aj&HQg$aAZ@(4sK#_*pbz-`wul;mV{xM{o#PSl@$i3tlULgu0+kD_UJ&z^tH*%7Fk)A>{k&2 zWi>D@{v&ra9UIaDr@rA%KFLBTBgHo9dfFJ0;k+8OYY<+!t^bbuf{?prs~EkKphjL3KaY*q7X}48@MWnC2)(eauP9@v|b5uoC}5@ z;L@f712J=2AnBW15XWUT#}-OKj&XIJa-SnQE!$v;&{RUPl)MKhwyV)PSdzua3Is4Z zMOO;ySU^jdGoyik-ss_ypQ(q4uAnP?aU3`i%+RAs1H5Ydb-kPr#f(!Pb(skB70=@i<`& zEmV=xLMaR_0K6Zo#jj)G@t3!Xe)y7^npwV5<@*!i9GP^51sgx`rD1%V>*{>4yelWy z@5LKhS+sl7NNR|xFz_MqO3OZ$^l;dQ`=5p9uZrZ!tqm#Gj)xT$YR8FMjB~+DeQ+dZ zA6Fpl45Y+=fV4%La$yO_!6TFnSf0RX9WAXNj>a!XXy2v(8Wc(g6V(@FD(2w5omZ|EQ>KW3DLe&w?Ef{0el5<$FZ@IhUiy%_lNF@s z0{Zyl`{erl`vzzHyZ%Imc0ZYSFC#NrwdFx|NkI7evnY{>#4pU-_*>sYk23o+x%$|G zxcPOL2of)w;J-@4P2TW#*qsr4vS;SJ+zf7H{- zuby3%x2m;z%jwqt@Oz9ftkXJJM7!vCNx){YhJ`b)k4l?txcC%i)$vx%Jl6gl#{%{< z5*6f+LGv)iB>EG^Q8QPAXfy6*fQ33-egj`SL*Y{VSsns>*0)(70gq#Z60<{=uV6e0 zFcJq1)DNz)>;@w58kD!%nL4mMq9|(&xy8)tLF8s>8!!zp4ckj#89{iJ;C*hHt(}93WojY-Vbfzc^os5b97aY+^eVW~0EYjEoHfTTugjc}PoO3|0xw zTS>Os9iS^_K=r3_2>{yJ@+4e*s~v~A`46Cfnd_ZY)PzK6+HHUXPvyOK|A~W3Mc#MBqCq;S?>LbuJ4L@uad&Y$DuKnn#$B85IolI` zHKxOM(!9BPeswth{Rav-*2Udm3VoONB?w%1OJ(Op z_cu5dfK;`tveC`fw z%v0Es!r7#T4jo}9!(iz67rz|_TYfF%7~OI`n{&7F0;r?la=!oy!tiX#xD2Ynu_3qv z@qX{MQpAhQ9rWNrv>6x$B>N0AL^EwmJ3cPs9GhA^jnr1?08n2yeg)rO0jYlWbug|& ziMV(KAIyMHJs%DiLTkLIadLqqNzuVCz&A7zUsLHBh0-UW(GQT&kZ={RHjIKhJLXC3 z4HRDSOJcA2%Jl9>@82Uce?O|W_$N`hRXXzP2un|gcImeU$^(nPik-CRsw7${D2jLQ zaq~jRGjU5jH#3eKU1k0Up4hzufh-hdSG1gHup{FZq{}M>LcuFA9D`1LU-EiYki0ku zOf$8xDjtIuWTf~lWDE{W%3tmjA9IGH3_oHDcpLZ8>I`1}=bT{*v`#Pl1yE@x4P0$C z9ob`Vz;_?-xFeFPeX~5caMm{Q;-5>hvSW}YGAc$tf}8fw9To4*p7CUFOQ`5gSY`N{ z(+QU_Cj|NGADqIaaUa4;BEO~~1KMP@w#^Z-NV-y=&^>p744A;KU17sz&kR)Y9c6os zAB6V~$i>UaG%@lll&`Pe9(cSI`iBQBSKF?IJg|h}nNqez_Ij9?^?*rgVcT?ofGGY8 zHjp`&-_A&Cb%TQrWhD(I8vIST*Oy$m;opxX<;yF$kt5(U>og0C;#mm+7PO5+UhknL zVhdK5G6{2&sH{=Ny=?^_NAuDeD8XhPB48b0f2{ybVO_?=K-{YqW)E-;I~#5!E<~D5 zQeJ_8)q4D8vdg0U&ZED>!lHi6MkWaNU{KbBf7832a)Bp#JgFEG(2KDk$|g+1X_2<1 z21q#TDBzDYN&p9kNWt{>ECfXbs54g?xmB3sMx+rTd{(H`6{^Ls2ALj6yi2GTcK$-p z;MMV-pr|u4pGSgG)WR{OZ$H9Vn+D{R!oh$`URjxZ)E&XS>6Wjrtllw819bi|85+|K z6P$_QNI)|=nQ!_#>+%O{f|P<~#7#>bxdP*lAh3lc&cjGRGs_A4W!!C`<;-a^3kwYF zKEL3B-x3w`2p&KYFZd_6wav(X6ofM&M!FX21)(t|l9LY$mJib)tsehHX7Cg|y#16E zUQW9Vp8g>#KEiWfa2NzVkCJzS5oMfc3FUEQ1wQozdJ04+Cr1JjN7FR*ru`(?tcyOA zp)~>{IF3=gbwmRFEXH!*+a%}Khm99+@RuuVrLQG?P5#_B5jJvnmeq7 z-Dfxps>qT&=U4N)2jSj=#&3MUOvc;9N_nr#C`Z=Cpxib3Q>_ka@e7y*FU-s7_~3#~ zk?lXxhl<#`@#6?{hU%m-GBWLg+r4r-dbFo;WgUtGN>D2(iX_}DMX)A?#n3`BmH~^W zFR-Lq$qVf$0Njnz0tv*>0^V=hIW3p|pJsYt(;yB4Tr3msdJC4ne*Vpkf|t;BHVccd z_{~uF-%*;j8wb?9>9@^wyT9OlZ2{C9?)@e43@koU^zEPV{Kx;FVCVk$ed0|UUzT4O z3ZvZFN=bTwQVAwif^})r9e-v^;d}*GDGe7rq!x`FMhJLV!yM^hw3hrv)n~EKu;6bo z^2RZ%$QhiR$0xcb{#z(FK@kj_)?HWthDMvxGYX|oKk|~Usp3{%N?xnEL(<((Vi~V; zsrdVE59PLh&h3>ior!H9be?^v$ofl<`!jkIF01;$XLu?AsX(-r4S~gj#!jmE&Gs3n zpx7?ljScS~mxU)P$e?~;W#PmdCEu4IzVA)0r$wBAG_^6Tihujca!@vKn3oM8Uv4mh zIRjrzMax?kA0&9Q^*vadwFtkim3 z#LMBlZBMYIfb7a&AALX*EUbJoMfBAx~7AhozMi z$_L;;0;W4wog+081qcZY!%#qGpvB`@AO*dJK7hbXsxnl7z$W2k$P34!fCVNH>Ym&Q zqlO=noxvy^g8A^jAEXxRtciCQvxeDNorJ@o<+cvC>O6ASBobsSg#pS_a!EZnf-JMX zF>REnJ0KDg@*XFbHkOV$3wbDccp!qLyfnCj9Z3uLv4~sFHHr-=4=odBjO@Sb3gapy z@1OUXQKM@j1Kmya_SNL5Urb(Eb z6td{%k&8mLBuU`hz@%ZxyTyZALdqCPPw4O&D}>=YZUwNk4By5bZl^Er9gwFyi?q36 z0KVEDi&y6xFO0T;=&c9JVdErI;2gwMIM3GZ#-nHyFuq35hDSKqzLb`pfFef1&b(H0 zcchllj!o>AND(bRWAz-OH9~p1L-HA`K`)Unw2YPusA&>37{J%6ig44zOFgXSRNxVt zu3t0FQ(9Ck;e_6Eu=LTi+&`BkYh)XN-vCQHI=$-ym6hV*#btYS3fI9O;8=P}R zotQQXB83}=%dO5>IEW~Z!l0vy$5~v9Mn@`X+GVY1>6k7K5J3_?hSw>8u zD6R4zm5Esx;KU_2XfeSB=K|pD4q8TEFb}SlT(j=j;x%J94F1q(Dp~MS z3@1+#yTK3eT->zq?Ez)@r=2f{0EWEyqMX8tsh9@`F_u4W2u2SP-GKC53`-r4dz