From b2d17efff1c1f9241e31bb86ca47165fb6cc122f Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?David=20G=C3=A1lvez?= Date: Mon, 9 Apr 2018 17:21:35 +0200 Subject: [PATCH] Sync with Fredi's source tree 15/04/2017 IDE and Blitter work. --- FPGA_by_Fredi/.sopc_builder/filters.xml | 2 + FPGA_by_Fredi/.sopc_builder/preferences.xml | 18 + FPGA_by_Fredi/BLITTER.tdf | 314 -- FPGA_by_Fredi/BLITTER.tdf.bak | 313 -- FPGA_by_Fredi/FPGA_DATE.bsf | 42 + .../lpm_clshift0.inc => FPGA_DATE.inc} | 7 +- FPGA_by_Fredi/FPGA_DATE.qip | 5 + FPGA_by_Fredi/FPGA_DATE.tdf | 79 + FPGA_by_Fredi/FPGA_DATE_lpm_constant_d19.tdf | 30 + .../FalconIO_SDCard_IDE_CF.vhd | 221 +- .../FalconIO_SDCard_IDE_CF.vhd.bak | 1153 ----- .../FalconIO_SDCard_IDE_CF_pgk.vhd.bak | 406 -- .../WF_SND2149_IP/wf2149ip_top_soc.vhd | 4 +- .../WF_SND2149_IP/wf2149ip_top_soc.vhd.bak | 229 - .../FalconIO_SDCard_IDE_CF/dcfifo0.vhd.bak | 202 - .../FalconIO_SDCard_IDE_CF/dcfifo1.vhd.bak | 202 - .../Interrupt_Handler/interrupt_handler.tdf | 17 +- .../interrupt_handler.tdf.bak | 382 -- FPGA_by_Fredi/PLLJ_PLLSPE_INFO.txt | 20 - FPGA_by_Fredi/UNUSED | 27 - FPGA_by_Fredi/Video/BLITTER/altsyncram0.bsf | 110 - FPGA_by_Fredi/Video/BLITTER/altsyncram0.inc | 15 +- FPGA_by_Fredi/Video/BLITTER/altsyncram0.qip | 2 - FPGA_by_Fredi/Video/BLITTER/altsyncram0.tdf | 110 +- .../Video/BLITTER/altsyncram0_wave0.jpg | Bin 90072 -> 0 bytes .../Video/BLITTER/altsyncram0_waveforms.html | 13 - FPGA_by_Fredi/Video/BLITTER/blitter.tdf.ALT | 427 -- FPGA_by_Fredi/Video/BLITTER/lpm_clshift0.bsf | 54 - .../{altsyncram0.cmp => lpm_clshift144.inc} | 21 +- .../Video/BLITTER/lpm_clshift144.qip | 4 + .../Video/BLITTER/lpm_clshift144.tdf | 94 + .../Video/BLITTER/lpm_clshift384.inc | 25 + .../Video/BLITTER/lpm_clshift384.qip | 5 + .../{lpm_clshift0.tdf => lpm_clshift384.tdf} | 50 +- FPGA_by_Fredi/Video/DDR_CTR.tdf | 58 +- FPGA_by_Fredi/Video/DDR_CTR.tdf.bak | 659 --- FPGA_by_Fredi/Video/DDR_CTR_BLITTER.tdf.bak | 352 -- FPGA_by_Fredi/Video/UNUSED | 267 - FPGA_by_Fredi/Video/VIDEO_MOD_MUX_CLUTCTR.tdf | 4 +- .../Video/VIDEO_MOD_MUX_CLUTCTR.tdf.bak | 675 --- FPGA_by_Fredi/Video/Video.bdf | 4450 ++++++++--------- FPGA_by_Fredi/Video/altdpram0_waveforms.html | 16 - FPGA_by_Fredi/Video/altdpram1_waveforms.html | 16 - FPGA_by_Fredi/Video/altdpram2_waveforms.html | 16 - FPGA_by_Fredi/Video/lpm_blitter.bsf | 63 + .../lpm_clshift0.cmp => lpm_blitter.cmp} | 9 +- FPGA_by_Fredi/Video/lpm_blitter.inc | 25 + FPGA_by_Fredi/Video/lpm_blitter.qip | 6 + FPGA_by_Fredi/Video/lpm_blitter.vhd | 127 + .../Video/lpm_compare1_waveforms.html | 13 - FPGA_by_Fredi/Video/lpm_fifoDZ.bsf | 32 +- FPGA_by_Fredi/Video/lpm_fifoDZ.vhd | 10 +- FPGA_by_Fredi/Video/lpm_fifoDZ_waveforms.html | 13 - FPGA_by_Fredi/Video/lpm_fifo_dc0.bsf | 15 +- FPGA_by_Fredi/Video/lpm_fifo_dc0.cmp | 5 +- FPGA_by_Fredi/Video/lpm_fifo_dc0.inc | 5 +- FPGA_by_Fredi/Video/lpm_fifo_dc0.qip | 2 +- FPGA_by_Fredi/Video/lpm_fifo_dc0.vhd | 43 +- .../Video/lpm_fifo_dc0_waveforms.html | 13 - FPGA_by_Fredi/altddio_out0.bsf | 64 - FPGA_by_Fredi/blitter.tdf | 604 +++ FPGA_by_Fredi/blitter.tdf.bak | 604 +++ FPGA_by_Fredi/blitter.tdf.pdf | Bin 0 -> 78291 bytes FPGA_by_Fredi/firebee1.asm.rpt | 128 + FPGA_by_Fredi/firebee1.bdf | 622 ++- FPGA_by_Fredi/firebee1.done | 2 +- FPGA_by_Fredi/firebee1.fit.summary | 16 +- FPGA_by_Fredi/firebee1.flow.rpt | 428 ++ FPGA_by_Fredi/firebee1.map.summary | 16 +- FPGA_by_Fredi/firebee1.pin | 2 +- FPGA_by_Fredi/firebee1.qsf | 183 +- FPGA_by_Fredi/firebee1.sim.rpt | 247 + FPGA_by_Fredi/firebee1.tan.summary | 214 +- FPGA_by_Fredi/firebeei1.qws | 16 + FPGA_by_Fredi/incremental_db/README | 11 - .../firebee1.root_partition.cmp.cdb | Bin 957707 -> 0 bytes .../firebee1.root_partition.cmp.dfp | Bin 33 -> 0 bytes .../firebee1.root_partition.cmp.hdb | Bin 311894 -> 0 bytes .../firebee1.root_partition.cmp.kpt | Bin 209 -> 0 bytes .../firebee1.root_partition.cmp.logdb | 5 - .../firebee1.root_partition.cmp.rcfdb | Bin 1235709 -> 0 bytes .../firebee1.root_partition.cmp.re.rcfdb | Bin 1334605 -> 0 bytes .../firebee1.root_partition.map.cdb | Bin 627274 -> 0 bytes .../firebee1.root_partition.map.dpi | Bin 34612 -> 0 bytes .../firebee1.root_partition.map.hdb | Bin 322501 -> 0 bytes .../firebee1.root_partition.map.kpt | Bin 152376 -> 0 bytes .../firebee1.root_partition.merge_hb.atm | Bin 349010 -> 0 bytes FPGA_by_Fredi/lpm_counter0_wave0.jpg | Bin 56372 -> 0 bytes FPGA_by_Fredi/lpm_counter0_waveforms.html | 13 - FPGA_by_Fredi/lpm_mux0.s19 | 185 + FPGA_by_Fredi/lpm_mux1.bsf | 1838 +++++++ FPGA_by_Fredi/lpm_mux1.cmp | 278 + FPGA_by_Fredi/lpm_mux1.inc | 279 ++ .../BLITTER/lpm_clshift0.qip => lpm_mux1.qip} | 10 +- FPGA_by_Fredi/lpm_mux1.tdf | 1105 ++++ FPGA_by_Fredi/serv_req_info.txt | 115 - FPGA_by_Fredi/undo_redo.txt | 27 - 97 files changed, 9395 insertions(+), 9114 deletions(-) create mode 100644 FPGA_by_Fredi/.sopc_builder/filters.xml create mode 100644 FPGA_by_Fredi/.sopc_builder/preferences.xml delete mode 100644 FPGA_by_Fredi/BLITTER.tdf delete mode 100644 FPGA_by_Fredi/BLITTER.tdf.bak create mode 100644 FPGA_by_Fredi/FPGA_DATE.bsf rename FPGA_by_Fredi/{Video/BLITTER/lpm_clshift0.inc => FPGA_DATE.inc} (89%) create mode 100644 FPGA_by_Fredi/FPGA_DATE.qip create mode 100644 FPGA_by_Fredi/FPGA_DATE.tdf create mode 100644 FPGA_by_Fredi/FPGA_DATE_lpm_constant_d19.tdf delete mode 100644 FPGA_by_Fredi/FalconIO_SDCard_IDE_CF/FalconIO_SDCard_IDE_CF.vhd.bak delete mode 100644 FPGA_by_Fredi/FalconIO_SDCard_IDE_CF/FalconIO_SDCard_IDE_CF_pgk.vhd.bak delete mode 100644 FPGA_by_Fredi/FalconIO_SDCard_IDE_CF/WF_SND2149_IP/wf2149ip_top_soc.vhd.bak delete mode 100644 FPGA_by_Fredi/FalconIO_SDCard_IDE_CF/dcfifo0.vhd.bak delete mode 100644 FPGA_by_Fredi/FalconIO_SDCard_IDE_CF/dcfifo1.vhd.bak delete mode 100644 FPGA_by_Fredi/Interrupt_Handler/interrupt_handler.tdf.bak delete mode 100644 FPGA_by_Fredi/PLLJ_PLLSPE_INFO.txt delete mode 100644 FPGA_by_Fredi/UNUSED delete mode 100644 FPGA_by_Fredi/Video/BLITTER/altsyncram0.bsf delete mode 100644 FPGA_by_Fredi/Video/BLITTER/altsyncram0_wave0.jpg delete mode 100644 FPGA_by_Fredi/Video/BLITTER/altsyncram0_waveforms.html delete mode 100644 FPGA_by_Fredi/Video/BLITTER/blitter.tdf.ALT delete mode 100644 FPGA_by_Fredi/Video/BLITTER/lpm_clshift0.bsf rename FPGA_by_Fredi/Video/BLITTER/{altsyncram0.cmp => lpm_clshift144.inc} (69%) create mode 100644 FPGA_by_Fredi/Video/BLITTER/lpm_clshift144.qip create mode 100644 FPGA_by_Fredi/Video/BLITTER/lpm_clshift144.tdf create mode 100644 FPGA_by_Fredi/Video/BLITTER/lpm_clshift384.inc create mode 100644 FPGA_by_Fredi/Video/BLITTER/lpm_clshift384.qip rename FPGA_by_Fredi/Video/BLITTER/{lpm_clshift0.tdf => lpm_clshift384.tdf} (62%) delete mode 100644 FPGA_by_Fredi/Video/DDR_CTR.tdf.bak delete mode 100644 FPGA_by_Fredi/Video/DDR_CTR_BLITTER.tdf.bak delete mode 100644 FPGA_by_Fredi/Video/UNUSED delete mode 100644 FPGA_by_Fredi/Video/VIDEO_MOD_MUX_CLUTCTR.tdf.bak delete mode 100644 FPGA_by_Fredi/Video/altdpram0_waveforms.html delete mode 100644 FPGA_by_Fredi/Video/altdpram1_waveforms.html delete mode 100644 FPGA_by_Fredi/Video/altdpram2_waveforms.html create mode 100644 FPGA_by_Fredi/Video/lpm_blitter.bsf rename FPGA_by_Fredi/Video/{BLITTER/lpm_clshift0.cmp => lpm_blitter.cmp} (81%) create mode 100644 FPGA_by_Fredi/Video/lpm_blitter.inc create mode 100644 FPGA_by_Fredi/Video/lpm_blitter.qip create mode 100644 FPGA_by_Fredi/Video/lpm_blitter.vhd delete mode 100644 FPGA_by_Fredi/Video/lpm_compare1_waveforms.html delete mode 100644 FPGA_by_Fredi/Video/lpm_fifoDZ_waveforms.html delete mode 100644 FPGA_by_Fredi/Video/lpm_fifo_dc0_waveforms.html delete mode 100644 FPGA_by_Fredi/altddio_out0.bsf create mode 100644 FPGA_by_Fredi/blitter.tdf create mode 100644 FPGA_by_Fredi/blitter.tdf.bak create mode 100644 FPGA_by_Fredi/blitter.tdf.pdf create mode 100644 FPGA_by_Fredi/firebee1.asm.rpt create mode 100644 FPGA_by_Fredi/firebee1.flow.rpt create mode 100644 FPGA_by_Fredi/firebee1.sim.rpt create mode 100644 FPGA_by_Fredi/firebeei1.qws delete mode 100644 FPGA_by_Fredi/incremental_db/README delete mode 100644 FPGA_by_Fredi/incremental_db/compiled_partitions/firebee1.root_partition.cmp.cdb delete mode 100644 FPGA_by_Fredi/incremental_db/compiled_partitions/firebee1.root_partition.cmp.dfp delete mode 100644 FPGA_by_Fredi/incremental_db/compiled_partitions/firebee1.root_partition.cmp.hdb delete mode 100644 FPGA_by_Fredi/incremental_db/compiled_partitions/firebee1.root_partition.cmp.kpt delete mode 100644 FPGA_by_Fredi/incremental_db/compiled_partitions/firebee1.root_partition.cmp.logdb delete mode 100644 FPGA_by_Fredi/incremental_db/compiled_partitions/firebee1.root_partition.cmp.rcfdb delete mode 100644 FPGA_by_Fredi/incremental_db/compiled_partitions/firebee1.root_partition.cmp.re.rcfdb delete mode 100644 FPGA_by_Fredi/incremental_db/compiled_partitions/firebee1.root_partition.map.cdb delete mode 100644 FPGA_by_Fredi/incremental_db/compiled_partitions/firebee1.root_partition.map.dpi delete mode 100644 FPGA_by_Fredi/incremental_db/compiled_partitions/firebee1.root_partition.map.hdb delete mode 100644 FPGA_by_Fredi/incremental_db/compiled_partitions/firebee1.root_partition.map.kpt delete mode 100644 FPGA_by_Fredi/incremental_db/compiled_partitions/firebee1.root_partition.merge_hb.atm delete mode 100644 FPGA_by_Fredi/lpm_counter0_wave0.jpg delete mode 100644 FPGA_by_Fredi/lpm_counter0_waveforms.html create mode 100644 FPGA_by_Fredi/lpm_mux0.s19 create mode 100644 FPGA_by_Fredi/lpm_mux1.bsf create mode 100644 FPGA_by_Fredi/lpm_mux1.cmp create mode 100644 FPGA_by_Fredi/lpm_mux1.inc rename FPGA_by_Fredi/{Video/BLITTER/lpm_clshift0.qip => lpm_mux1.qip} (64%) create mode 100644 FPGA_by_Fredi/lpm_mux1.tdf delete mode 100644 FPGA_by_Fredi/serv_req_info.txt delete mode 100644 FPGA_by_Fredi/undo_redo.txt diff --git a/FPGA_by_Fredi/.sopc_builder/filters.xml b/FPGA_by_Fredi/.sopc_builder/filters.xml new file mode 100644 index 0000000..47a9392 --- /dev/null +++ b/FPGA_by_Fredi/.sopc_builder/filters.xml @@ -0,0 +1,2 @@ + + diff --git a/FPGA_by_Fredi/.sopc_builder/preferences.xml b/FPGA_by_Fredi/.sopc_builder/preferences.xml new file mode 100644 index 0000000..80f9764 --- /dev/null +++ b/FPGA_by_Fredi/.sopc_builder/preferences.xml @@ -0,0 +1,18 @@ + + + + + + + + + + + + + + + + + + diff --git a/FPGA_by_Fredi/BLITTER.tdf b/FPGA_by_Fredi/BLITTER.tdf deleted file mode 100644 index 536bd9a..0000000 --- a/FPGA_by_Fredi/BLITTER.tdf +++ /dev/null @@ -1,314 +0,0 @@ --- WARNING: Do NOT edit the input and output ports in this file in a text --- editor if you plan to continue editing the block that represents it in --- the Block Editor! File corruption is VERY likely to occur. - --- Copyright (C) 1991-2010 Altera Corporation --- Your use of Altera Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Altera Program License --- Subscription Agreement, Altera MegaCore Function License --- Agreement, or other applicable license agreement, including, --- without limitation, that your use is for the sole purpose of --- programming logic devices manufactured by Altera and sold by --- Altera or its authorized distributors. Please refer to the --- applicable agreement for further details. - - --- Generated by Quartus II Version 9.1 (Build Build 350 03/24/2010) --- Created on Sat Jan 15 11:06:17 2011 -INCLUDE "lpm_bustri_WORD.inc"; -INCLUDE "VIDEO/BLITTER/lpm_clshift0.INC"; -INCLUDE "VIDEO/BLITTER/altsyncram0.INC"; - -CONSTANT BL_SKEW_LF = 255; - --- Title Statement (optional) -TITLE "Blitter"; - - --- Parameters Statement (optional) - --- {{ALTERA_PARAMETERS_BEGIN}} DO NOT REMOVE THIS LINE! --- {{ALTERA_PARAMETERS_END}} DO NOT REMOVE THIS LINE! - - --- Subdesign Section - -SUBDESIGN BLITTER -( - -- {{ALTERA_IO_BEGIN}} DO NOT REMOVE THIS LINE! - nRSTO : INPUT; - MAIN_CLK : INPUT; - FB_ALE : INPUT; - nFB_WR : INPUT; - nFB_OE : INPUT; - FB_SIZE0 : INPUT; - FB_SIZE1 : INPUT; - VIDEO_RAM_CTR[15..0] : INPUT; - BLITTER_ON : INPUT; - FB_ADR[31..0] : INPUT; - nFB_CS1 : INPUT; - nFB_CS2 : INPUT; - nFB_CS3 : INPUT; - DDRCLK0 : INPUT; - BLITTER_DIN[127..0] : INPUT; - BLITTER_DACK[4..0] : INPUT; - SR_BLITTER_DACK : INPUT; - BLITTER_RUN : OUTPUT; - BLITTER_DOUT[127..0] : OUTPUT; - BLITTER_ADR[31..0] : OUTPUT; - BLITTER_SIG : OUTPUT; - BLITTER_WR : OUTPUT; - BLITTER_TA : OUTPUT; - FB_AD[31..0] : BIDIR; - -- {{ALTERA_IO_END}} DO NOT REMOVE THIS LINE! -) - -VARIABLE - FB_B[3..0] :NODE; - FB_16B[1..0] :NODE; - BLITTER_CS :NODE; - BL_BUSY :NODE; - BL_HRAM_CS :NODE; - BL_HRAM_ADR[3..0] :NODE; - BL_HRAM_OUT[15..0] :NODE; - BL_HRAM_BE[1..0] :NODE; - BL_SRC_X_INC_CS :NODE; - BL_SRC_X_INC[15..0] :DFFE; - BL_SRC_Y_INC_CS :NODE; - BL_SRC_Y_INC[15..0] :DFFE; - BL_ENDMASK1_CS :NODE; - BL_ENDMASK1[15..0] :DFFE; - BL_ENDMASK2_CS :NODE; - BL_ENDMASK2[15..0] :DFFE; - BL_ENDMASK3_CS :NODE; - BL_ENDMASK3[15..0] :DFFE; - BL_SRC_ADRH_CS :NODE; - BL_SRC_ADRL_CS :NODE; - BL_SRC_ADR[31..0] :DFFE; - BL_DST_X_INC_CS :NODE; - BL_DST_X_INC[15..0] :DFFE; - BL_DST_Y_INC_CS :NODE; - BL_DST_Y_INC[15..0] :DFFE; - BL_DST_ADRH_CS :NODE; - BL_DST_ADRL_CS :NODE; - BL_DST_ADR[31..0] :DFFE; - BL_X_CNT_CS :NODE; - BL_X_CNT[15..0] :DFFE; - BL_Y_CNT_CS :NODE; - BL_Y_CNT[15..0] :DFFE; - BL_HT_OP_CS :NODE; - BL_HT_OP[7..0] :DFFE; - BL_LC_OP[7..0] :DFFE; - BL_LN_CS :NODE; - BL_LN[7..0] :DFFE; - BL_SKEW[7..0] :DFFE; - - BL_SKEW_EXT[6..0] :NODE; - BL_SKEW_IN[255..0] :DFFE; - BL_SKEW_OUT[255..0] :NODE; - - BL_DATA_DDR_READY :DFF; -- 1 WENN DATEN GESCHRIEBEN ODER LESBAR - BL_READ_SRC :DFFE; - BL_DST_BUFFER[127..0] :DFFE; - BL_READ_DST :DFFE; - - HOP_OUT[127..0] :NODE; - - COUNT[18..0] :DFF; - -BEGIN --- BYT SELECT 32 BIT - FB_B0 = FB_ADR[1..0]==0; -- ADR==0 - FB_B1 = FB_ADR[1..0]==1 -- ADR==1 - # FB_SIZE1 & !FB_SIZE0 & !FB_ADR1 -- HIGH WORD - # FB_SIZE1 & FB_SIZE0 # !FB_SIZE1 & !FB_SIZE0; -- LONG UND LINE - FB_B2 = FB_ADR[1..0]==2 -- ADR==2 - # FB_SIZE1 & FB_SIZE0 # !FB_SIZE1 & !FB_SIZE0; -- LONG UND LINE - FB_B3 = FB_ADR[1..0]==3 -- ADR==3 - # FB_SIZE1 & !FB_SIZE0 & FB_ADR1 -- LOW WORD - # FB_SIZE1 & FB_SIZE0 # !FB_SIZE1 & !FB_SIZE0; -- LONG UND LINE --- BYT SELECT 16 BIT - FB_16B0 = FB_ADR[0]==0; -- ADR==0 - FB_16B1 = FB_ADR[0]==1 -- ADR==1 - # !(!FB_SIZE1 & FB_SIZE0); -- NOT BYT --- BLITTER CS - BLITTER_CS = !BL_BUSY & !nFB_CS1 & FB_ADR[19..6]==H"3E28"; -- FFFF8A00-3F/40 - BLITTER_TA = BLITTER_CS; --- REGISTER - -- HALFTON RAM - BL_HRAM_CS = !BL_BUSY & !nFB_CS1 & FB_ADR[19..5]==H"7C50"; -- $F8A00/20 - BL_HRAM_BE1 = BL_HRAM_CS & FB_16B0 # !BL_HRAM_CS; - BL_HRAM_BE0 = BL_HRAM_CS & FB_16B1 # !BL_HRAM_CS; - BL_HRAM_ADR[] = BL_HRAM_CS & FB_ADR[4..1] - # !BL_HRAM_CS & BL_LN[3..0]; - BL_HRAM_OUT[] = altsyncram0(BL_HRAM_ADR[],BL_HRAM_BE[],DDRCLK0,FB_AD[31..16],BL_HRAM_CS & !nFB_WR); - -- SRC X INC - BL_SRC_X_INC[].CLK = MAIN_CLK; - BL_SRC_X_INC[] = !BL_BUSY & FB_AD[31..16]; - BL_SRC_X_INC_CS = !BL_BUSY & !nFB_CS1 & FB_ADR[19..1]==H"7C510"; -- $F8A20/2 - BL_SRC_X_INC[15..8].ENA = BL_SRC_X_INC_CS & !nFB_WR & FB_16B0; - BL_SRC_X_INC[7..0].ENA = BL_SRC_X_INC_CS & !nFB_WR & FB_16B1; - -- SRC Y INC - BL_SRC_Y_INC[].CLK = MAIN_CLK; - BL_SRC_Y_INC[] = !BL_BUSY & FB_AD[31..16]; - BL_SRC_Y_INC_CS = !BL_BUSY & !nFB_CS1 & FB_ADR[19..1]==H"7C511"; -- $F8A22/2 - BL_SRC_Y_INC[15..8].ENA = BL_SRC_Y_INC_CS & !nFB_WR & FB_16B0; - BL_SRC_Y_INC[7..0].ENA = BL_SRC_Y_INC_CS & !nFB_WR & FB_16B1; - -- SRC ADR HIGH - BL_SRC_ADR[].CLK = MAIN_CLK; - BL_SRC_ADR[31..16] = !BL_BUSY & FB_AD[31..16]; - BL_SRC_ADRH_CS = !BL_BUSY & !nFB_CS1 & FB_ADR[19..1]==H"7C512"; -- $F8A24/2 - BL_SRC_ADR[31..24].ENA = BL_SRC_ADRH_CS & !nFB_WR & FB_16B0; - BL_SRC_ADR[23..16].ENA = BL_SRC_ADRH_CS & !nFB_WR & FB_16B1; - -- SRC ADR LOW - BL_SRC_ADR[].CLK = MAIN_CLK; - BL_SRC_ADR[15..0] = !BL_BUSY & FB_AD[31..16]; - BL_SRC_ADRL_CS = !BL_BUSY & !nFB_CS1 & FB_ADR[19..1]==H"7C513"; -- $F8A26/2 - BL_SRC_ADR[15..8].ENA = BL_SRC_ADRL_CS & !nFB_WR & FB_16B0; - BL_SRC_ADR[7..0].ENA = BL_SRC_ADRL_CS & !nFB_WR & FB_16B1; - -- ENDMASK 1 - BL_ENDMASK1[].CLK = MAIN_CLK; - BL_ENDMASK1[] = FB_AD[31..16]; - BL_ENDMASK1_CS = !BL_BUSY & !nFB_CS1 & FB_ADR[19..1]==H"7C514"; -- $F8A28/2 - BL_ENDMASK1[15..8].ENA = BL_ENDMASK1_CS & !nFB_WR & FB_16B0; - BL_ENDMASK1[7..0].ENA = BL_ENDMASK1_CS & !nFB_WR & FB_16B1; - -- ENDMASK 2 - BL_ENDMASK2[].CLK = MAIN_CLK; - BL_ENDMASK2[] = FB_AD[31..16]; - BL_ENDMASK2_CS = !BL_BUSY & !nFB_CS1 & FB_ADR[19..1]==H"7C515"; -- $F8A2A/2 - BL_ENDMASK2[15..8].ENA = BL_ENDMASK2_CS & !nFB_WR & FB_16B0; - BL_ENDMASK2[7..0].ENA = BL_ENDMASK2_CS & !nFB_WR & FB_16B1; - -- ENDMASK 3 - BL_ENDMASK3[].CLK = MAIN_CLK; - BL_ENDMASK3[] = FB_AD[31..16]; - BL_ENDMASK3_CS = !BL_BUSY & !nFB_CS1 & FB_ADR[19..1]==H"7C516"; -- $F8A2C/2 - BL_ENDMASK3[15..8].ENA = BL_ENDMASK3_CS & !nFB_WR & FB_16B0; - BL_ENDMASK3[7..0].ENA = BL_ENDMASK3_CS & !nFB_WR & FB_16B1; - -- DST X INC - BL_DST_X_INC[].CLK = MAIN_CLK; - BL_DST_X_INC[] = !BL_BUSY & FB_AD[31..16]; - BL_DST_X_INC_CS = !BL_BUSY & !nFB_CS1 & FB_ADR[19..1]==H"7C517"; -- $F8A2E/2 - BL_DST_X_INC[15..8].ENA = BL_DST_X_INC_CS & !nFB_WR & FB_16B0; - BL_DST_X_INC[7..0].ENA = BL_DST_X_INC_CS & !nFB_WR & FB_16B1; - -- DST Y INC - BL_DST_Y_INC[].CLK = MAIN_CLK; - BL_DST_Y_INC[] = !BL_BUSY & FB_AD[31..16]; - BL_DST_Y_INC_CS = !BL_BUSY & !nFB_CS1 & FB_ADR[19..1]==H"7C518"; -- $F8A30/2 - BL_DST_Y_INC[15..8].ENA = BL_DST_Y_INC_CS & !nFB_WR & FB_16B0; - BL_DST_Y_INC[7..0].ENA = BL_DST_Y_INC_CS & !nFB_WR & FB_16B1; - -- DST ADR HIGH - BL_DST_ADR[].CLK = MAIN_CLK; - BL_DST_ADR[31..16] = !BL_BUSY & FB_AD[31..16]; - BL_DST_ADRH_CS = !BL_BUSY & !nFB_CS1 & FB_ADR[19..1]==H"7C512"; -- $F8A24/2 - BL_DST_ADR[31..24].ENA = BL_DST_ADRH_CS & !nFB_WR & FB_16B0; - BL_DST_ADR[23..16].ENA = BL_DST_ADRH_CS & !nFB_WR & FB_16B1; - -- DST ADR LOW - BL_DST_ADR[].CLK = MAIN_CLK; - BL_DST_ADR[15..0] = !BL_BUSY & FB_AD[31..16]; - BL_DST_ADRL_CS = !BL_BUSY & !nFB_CS1 & FB_ADR[19..1]==H"7C513"; -- $F8A26/2 - BL_DST_ADR[15..8].ENA = BL_DST_ADRL_CS & !nFB_WR & FB_16B0; - BL_DST_ADR[7..0].ENA = BL_DST_ADRL_CS & !nFB_WR & FB_16B1; - -- X COUNT - BL_X_CNT[].CLK = MAIN_CLK; - BL_X_CNT[] = !BL_BUSY & FB_AD[31..16]; - BL_X_CNT_CS = !BL_BUSY & !nFB_CS1 & FB_ADR[19..1]==H"7C51B"; -- $F8A36/2 - BL_X_CNT[15..8].ENA = BL_X_CNT_CS & !nFB_WR & FB_16B0; - BL_X_CNT[7..0].ENA = BL_X_CNT_CS & !nFB_WR & FB_16B1; - -- Y COUNT - BL_Y_CNT[].CLK = MAIN_CLK; - BL_Y_CNT[] = !BL_BUSY & FB_AD[31..16]; - BL_Y_CNT_CS = !BL_BUSY & !nFB_CS1 & FB_ADR[19..1]==H"7C51C"; -- $F8A38/2 - BL_Y_CNT[15..8].ENA = BL_Y_CNT_CS & !nFB_WR & FB_16B0; - BL_Y_CNT[7..0].ENA = BL_Y_CNT_CS & !nFB_WR & FB_16B1; - -- HALFTONE OP BYT - BL_HT_OP[].CLK = MAIN_CLK; - BL_HT_OP[] = FB_AD[31..24]; - BL_HT_OP_CS = !BL_BUSY & !nFB_CS1 & FB_ADR[19..1]==H"7C51D"; -- $F8A3A/2 - BL_HT_OP[7..0].ENA = BL_HT_OP_CS & !nFB_WR & FB_16B0; - -- LOGIC OP BYT - BL_LC_OP[].CLK = MAIN_CLK; - BL_LC_OP[] = FB_AD[23..16]; - BL_LC_OP[7..0].ENA = BL_HT_OP_CS & !nFB_WR & FB_16B1; -- $F8A3B - -- LINE NUMBER BYT - BL_LN[].CLK = MAIN_CLK; - BL_LN[] = !BL_BUSY & FB_AD[31..24]; - BL_LN_CS = !BL_BUSY & !nFB_CS1 & FB_ADR[19..1]==H"7C51E"; -- $F8A3C/2 - BL_LN[7..0].ENA = BL_LN_CS & !nFB_WR & FB_16B0; - -- SKEW BYT - BL_SKEW[].CLK = MAIN_CLK; - BL_SKEW[] = FB_AD[31..24]; - BL_SKEW[7..0].ENA = BL_LN_CS & !nFB_WR & FB_16B1; -- $F8A3D ---- REGISTER OUT - FB_AD[31..16] = lpm_bustri_WORD( - BL_HRAM_CS & BL_HRAM_OUT[] - # BL_SRC_X_INC_CS & BL_SRC_X_INC[] - # BL_SRC_Y_INC_CS & BL_SRC_Y_INC[] - # BL_SRC_ADRH_CS & BL_SRC_ADR[31..16] - # BL_SRC_ADRL_CS & BL_SRC_ADR[15..0] - # BL_ENDMASK1_CS & BL_ENDMASK1[] - # BL_ENDMASK2_CS & BL_ENDMASK2[] - # BL_ENDMASK3_CS & BL_ENDMASK3[] - # BL_DST_X_INC_CS & BL_DST_X_INC[] - # BL_DST_Y_INC_CS & BL_DST_Y_INC[] - # BL_DST_ADRH_CS & BL_DST_ADR[31..16] - # BL_DST_ADRL_CS & BL_DST_ADR[15..0] - # BL_X_CNT_CS & BL_X_CNT[] - # BL_Y_CNT_CS & BL_Y_CNT[] - # BL_HT_OP_CS & (BL_HT_OP[],BL_LC_OP[]) - # BL_LN_CS & (BL_LN[],BL_SKEW[]) - ,BLITTER_CS & !nFB_OE); -- FFFF8A00-3F/40 ------------------------------------------ --- - BL_READ_SRC.CLK = DDRCLK0; - BL_READ_DST.CLK = DDRCLK0; - --- READY SIGNAL 1 CLOCK SPÄTER - BL_DATA_DDR_READY.CLK = DDRCLK0; - BL_DATA_DDR_READY = BL_DATA_DDR_READY & BLITTER_DACK0; --- SRC BUFFER LADEN - BL_SKEW_IN[].CLK = DDRCLK0; - BL_SKEW_IN[].ENA = BL_DATA_DDR_READY & BL_READ_SRC; - BL_SKEW_IN[255..128] = BLITTER_DIN[]; - BL_SKEW_IN[127..0] = BL_SKEW_IN[255..128]; --- DST BUFFER LADEN - BL_DST_BUFFER[].CLK = DDRCLK0; - BL_DST_BUFFER[].ENA = BL_DATA_DDR_READY & BL_READ_DST; - BL_DST_BUFFER[] = BLITTER_DIN[]; --- SKEW EXTENDET - BL_SKEW_EXT[6..4] = BL_SRC_ADR[3..1]; - BL_SKEW_EXT[3..0] = BL_SKEW[3..0]; --- SKEW EXT MUX - BL_SKEW_OUT[] = lpm_clshift0(BL_SKEW_IN[],BL_SKEW_EXT[]); -- BIT 127..0 SIND RELEVANT --- HOP - IF BL_HT_OP[1..0]==B"00" THEN - HOP_OUT[] = H"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF"; - ELSE - IF BL_HT_OP[1..0]==B"01" THEN - HOP_OUT[] = (BL_HRAM_OUT[],BL_HRAM_OUT[],BL_HRAM_OUT[],BL_HRAM_OUT[],BL_HRAM_OUT[],BL_HRAM_OUT[],BL_HRAM_OUT[],BL_HRAM_OUT[]); - ELSE - IF BL_HT_OP[1..0]==B"10" THEN - HOP_OUT[] = BL_SKEW_OUT[127..0]; - ELSE - HOP_OUT[] = BL_SKEW_OUT[127..0] & (BL_HRAM_OUT[],BL_HRAM_OUT[],BL_HRAM_OUT[],BL_HRAM_OUT[],BL_HRAM_OUT[],BL_HRAM_OUT[],BL_HRAM_OUT[],BL_HRAM_OUT[]); - END IF; - END IF; - END IF; - - - - BLITTER_RUN = GND; --VCC; - BLITTER_SIG = GND; --VCC; - BLITTER_WR = GND; --VCC; - BL_BUSY = GND; - - COUNT[] = COUNT[] + 16; - COUNT[].CLK = BLITTER_DACK0; - BLITTER_DOUT[] = H"112233445566778899AABBCCDDEEFF00"; - BLITTER_ADR[] = (0, COUNT[]) + 400000; - -END; - diff --git a/FPGA_by_Fredi/BLITTER.tdf.bak b/FPGA_by_Fredi/BLITTER.tdf.bak deleted file mode 100644 index b80e5c2..0000000 --- a/FPGA_by_Fredi/BLITTER.tdf.bak +++ /dev/null @@ -1,313 +0,0 @@ --- WARNING: Do NOT edit the input and output ports in this file in a text --- editor if you plan to continue editing the block that represents it in --- the Block Editor! File corruption is VERY likely to occur. - --- Copyright (C) 1991-2010 Altera Corporation --- Your use of Altera Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Altera Program License --- Subscription Agreement, Altera MegaCore Function License --- Agreement, or other applicable license agreement, including, --- without limitation, that your use is for the sole purpose of --- programming logic devices manufactured by Altera and sold by --- Altera or its authorized distributors. Please refer to the --- applicable agreement for further details. - - --- Generated by Quartus II Version 9.1 (Build Build 350 03/24/2010) --- Created on Sat Jan 15 11:06:17 2011 -INCLUDE "lpm_bustri_WORD.inc"; -INCLUDE "VIDEO/BLITTER/lpm_clshift0.INC"; -INCLUDE "VIDEO/BLITTER/altsyncram0.INC"; - -CONSTANT BL_SKEW_LF = 255; - --- Title Statement (optional) -TITLE "Blitter"; - - --- Parameters Statement (optional) - --- {{ALTERA_PARAMETERS_BEGIN}} DO NOT REMOVE THIS LINE! --- {{ALTERA_PARAMETERS_END}} DO NOT REMOVE THIS LINE! - - --- Subdesign Section - -SUBDESIGN BLITTER -( - -- {{ALTERA_IO_BEGIN}} DO NOT REMOVE THIS LINE! - nRSTO : INPUT; - MAIN_CLK : INPUT; - FB_ALE : INPUT; - nFB_WR : INPUT; - nFB_OE : INPUT; - FB_SIZE0 : INPUT; - FB_SIZE1 : INPUT; - VIDEO_RAM_CTR[15..0] : INPUT; - BLITTER_ON : INPUT; - FB_ADR[31..0] : INPUT; - nFB_CS1 : INPUT; - nFB_CS2 : INPUT; - nFB_CS3 : INPUT; - DDRCLK0 : INPUT; - BLITTER_DIN[127..0] : INPUT; - BLITTER_DACK[4..0] : INPUT; - SR_BLITTER_DACK : INPUT; - BLITTER_RUN : OUTPUT; - BLITTER_DOUT[127..0] : OUTPUT; - BLITTER_ADR[31..0] : OUTPUT; - BLITTER_SIG : OUTPUT; - BLITTER_WR : OUTPUT; - BLITTER_TA : OUTPUT; - FB_AD[31..0] : BIDIR; - -- {{ALTERA_IO_END}} DO NOT REMOVE THIS LINE! -) - -VARIABLE - FB_B[3..0] :NODE; - FB_16B[1..0] :NODE; - BLITTER_CS :NODE; - BL_BUSY :NODE; - BL_HRAM_CS :NODE; - BL_HRAM_ADR[3..0] :NODE; - BL_HRAM_OUT[15..0] :NODE; - BL_HRAM_BE[1..0] :NODE; - BL_SRC_X_INC_CS :NODE; - BL_SRC_X_INC[15..0] :DFFE; - BL_SRC_Y_INC_CS :NODE; - BL_SRC_Y_INC[15..0] :DFFE; - BL_ENDMASK1_CS :NODE; - BL_ENDMASK1[15..0] :DFFE; - BL_ENDMASK2_CS :NODE; - BL_ENDMASK2[15..0] :DFFE; - BL_ENDMASK3_CS :NODE; - BL_ENDMASK3[15..0] :DFFE; - BL_SRC_ADRH_CS :NODE; - BL_SRC_ADRL_CS :NODE; - BL_SRC_ADR[31..0] :DFFE; - BL_DST_X_INC_CS :NODE; - BL_DST_X_INC[15..0] :DFFE; - BL_DST_Y_INC_CS :NODE; - BL_DST_Y_INC[15..0] :DFFE; - BL_DST_ADRH_CS :NODE; - BL_DST_ADRL_CS :NODE; - BL_DST_ADR[31..0] :DFFE; - BL_X_CNT_CS :NODE; - BL_X_CNT[15..0] :DFFE; - BL_Y_CNT_CS :NODE; - BL_Y_CNT[15..0] :DFFE; - BL_HT_OP_CS :NODE; - BL_HT_OP[7..0] :DFFE; - BL_LC_OP[7..0] :DFFE; - BL_LN_CS :NODE; - BL_LN[7..0] :DFFE; - BL_SKEW[7..0] :DFFE; - - BL_SKEW_EXT[6..0] :NODE; - BL_SKEW_IN[255..0] :DFFE; - BL_SKEW_OUT[255..0] :NODE; - - BL_DATA_DDR_READY :DFF; -- 1 WENN DATEN GESCHRIEBEN ODER LESBAR - BL_READ_SRC :DFFE; - BL_DST_BUFFER[127..0] :DFFE; - BL_READ_DST :DFFE; - - HOP_OUT[127..0] :NODE; - - COUNT[18..0] :DFF; - -BEGIN --- BYT SELECT 32 BIT - FB_B0 = FB_ADR[1..0]==0; -- ADR==0 - FB_B1 = FB_ADR[1..0]==1 -- ADR==1 - # FB_SIZE1 & !FB_SIZE0 & !FB_ADR1 -- HIGH WORD - # FB_SIZE1 & FB_SIZE0 # !FB_SIZE1 & !FB_SIZE0; -- LONG UND LINE - FB_B2 = FB_ADR[1..0]==2 -- ADR==2 - # FB_SIZE1 & FB_SIZE0 # !FB_SIZE1 & !FB_SIZE0; -- LONG UND LINE - FB_B3 = FB_ADR[1..0]==3 -- ADR==3 - # FB_SIZE1 & !FB_SIZE0 & FB_ADR1 -- LOW WORD - # FB_SIZE1 & FB_SIZE0 # !FB_SIZE1 & !FB_SIZE0; -- LONG UND LINE --- BYT SELECT 16 BIT - FB_16B0 = FB_ADR[0]==0; -- ADR==0 - FB_16B1 = FB_ADR[0]==1 -- ADR==1 - # !(!FB_SIZE1 & FB_SIZE0); -- NOT BYT --- BLITTER CS - BLITTER_CS = !BL_BUSY & !nFB_CS1 & FB_ADR[19..6]==H"3E28"; -- FFFF8A00-3F/40 - BLITTER_TA = BLITTER_CS; --- REGISTER - -- HALFTON RAM - BL_HRAM_CS = !BL_BUSY & !nFB_CS1 & FB_ADR[19..5]==H"7C50"; -- $F8A00/20 - BL_HRAM_BE1 = BL_HRAM_CS & FB_16B0 # !BL_HRAM_CS; - BL_HRAM_BE0 = BL_HRAM_CS & FB_16B1 # !BL_HRAM_CS; - BL_HRAM_ADR[] = BL_HRAM_CS & FB_ADR[4..1] - # !BL_HRAM_CS & BL_LN[3..0]; - BL_HRAM_OUT[] = altsyncram0(BL_HRAM_ADR[],BL_HRAM_BE[],DDRCLK0,FB_AD[31..16],BL_HRAM_CS & !nFB_WR); - -- SRC X INC - BL_SRC_X_INC[].CLK = MAIN_CLK; - BL_SRC_X_INC[] = !BL_BUSY & FB_AD[31..16]; - BL_SRC_X_INC_CS = !BL_BUSY & !nFB_CS1 & FB_ADR[19..1]==H"7C510"; -- $F8A20/2 - BL_SRC_X_INC[15..8].ENA = BL_SRC_X_INC_CS & !nFB_WR & FB_16B0; - BL_SRC_X_INC[7..0].ENA = BL_SRC_X_INC_CS & !nFB_WR & FB_16B1; - -- SRC Y INC - BL_SRC_Y_INC[].CLK = MAIN_CLK; - BL_SRC_Y_INC[] = !BL_BUSY & FB_AD[31..16]; - BL_SRC_Y_INC_CS = !BL_BUSY & !nFB_CS1 & FB_ADR[19..1]==H"7C511"; -- $F8A22/2 - BL_SRC_Y_INC[15..8].ENA = BL_SRC_Y_INC_CS & !nFB_WR & FB_16B0; - BL_SRC_Y_INC[7..0].ENA = BL_SRC_Y_INC_CS & !nFB_WR & FB_16B1; - -- SRC ADR HIGH - BL_SRC_ADR[].CLK = MAIN_CLK; - BL_SRC_ADR[31..16] = !BL_BUSY & FB_AD[31..16]; - BL_SRC_ADRH_CS = !BL_BUSY & !nFB_CS1 & FB_ADR[19..1]==H"7C512"; -- $F8A24/2 - BL_SRC_ADR[31..24].ENA = BL_SRC_ADRH_CS & !nFB_WR & FB_16B0; - BL_SRC_ADR[23..16].ENA = BL_SRC_ADRH_CS & !nFB_WR & FB_16B1; - -- SRC ADR LOW - BL_SRC_ADR[].CLK = MAIN_CLK; - BL_SRC_ADR[15..0] = !BL_BUSY & FB_AD[31..16]; - BL_SRC_ADRL_CS = !BL_BUSY & !nFB_CS1 & FB_ADR[19..1]==H"7C513"; -- $F8A26/2 - BL_SRC_ADR[15..8].ENA = BL_SRC_ADRL_CS & !nFB_WR & FB_16B0; - BL_SRC_ADR[7..0].ENA = BL_SRC_ADRL_CS & !nFB_WR & FB_16B1; - -- ENDMASK 1 - BL_ENDMASK1[].CLK = MAIN_CLK; - BL_ENDMASK1[] = FB_AD[31..16]; - BL_ENDMASK1_CS = !BL_BUSY & !nFB_CS1 & FB_ADR[19..1]==H"7C514"; -- $F8A28/2 - BL_ENDMASK1[15..8].ENA = BL_ENDMASK1_CS & !nFB_WR & FB_16B0; - BL_ENDMASK1[7..0].ENA = BL_ENDMASK1_CS & !nFB_WR & FB_16B1; - -- ENDMASK 2 - BL_ENDMASK2[].CLK = MAIN_CLK; - BL_ENDMASK2[] = FB_AD[31..16]; - BL_ENDMASK2_CS = !BL_BUSY & !nFB_CS1 & FB_ADR[19..1]==H"7C515"; -- $F8A2A/2 - BL_ENDMASK2[15..8].ENA = BL_ENDMASK2_CS & !nFB_WR & FB_16B0; - BL_ENDMASK2[7..0].ENA = BL_ENDMASK2_CS & !nFB_WR & FB_16B1; - -- ENDMASK 3 - BL_ENDMASK3[].CLK = MAIN_CLK; - BL_ENDMASK3[] = FB_AD[31..16]; - BL_ENDMASK3_CS = !BL_BUSY & !nFB_CS1 & FB_ADR[19..1]==H"7C516"; -- $F8A2C/2 - BL_ENDMASK3[15..8].ENA = BL_ENDMASK3_CS & !nFB_WR & FB_16B0; - BL_ENDMASK3[7..0].ENA = BL_ENDMASK3_CS & !nFB_WR & FB_16B1; - -- DST X INC - BL_DST_X_INC[].CLK = MAIN_CLK; - BL_DST_X_INC[] = !BL_BUSY & FB_AD[31..16]; - BL_DST_X_INC_CS = !BL_BUSY & !nFB_CS1 & FB_ADR[19..1]==H"7C517"; -- $F8A2E/2 - BL_DST_X_INC[15..8].ENA = BL_DST_X_INC_CS & !nFB_WR & FB_16B0; - BL_DST_X_INC[7..0].ENA = BL_DST_X_INC_CS & !nFB_WR & FB_16B1; - -- DST Y INC - BL_DST_Y_INC[].CLK = MAIN_CLK; - BL_DST_Y_INC[] = !BL_BUSY & FB_AD[31..16]; - BL_DST_Y_INC_CS = !BL_BUSY & !nFB_CS1 & FB_ADR[19..1]==H"7C518"; -- $F8A30/2 - BL_DST_Y_INC[15..8].ENA = BL_DST_Y_INC_CS & !nFB_WR & FB_16B0; - BL_DST_Y_INC[7..0].ENA = BL_DST_Y_INC_CS & !nFB_WR & FB_16B1; - -- DST ADR HIGH - BL_DST_ADR[].CLK = MAIN_CLK; - BL_DST_ADR[31..16] = !BL_BUSY & FB_AD[31..16]; - BL_DST_ADRH_CS = !BL_BUSY & !nFB_CS1 & FB_ADR[19..1]==H"7C512"; -- $F8A24/2 - BL_DST_ADR[31..24].ENA = BL_DST_ADRH_CS & !nFB_WR & FB_16B0; - BL_DST_ADR[23..16].ENA = BL_DST_ADRH_CS & !nFB_WR & FB_16B1; - -- DST ADR LOW - BL_DST_ADR[].CLK = MAIN_CLK; - BL_DST_ADR[15..0] = !BL_BUSY & FB_AD[31..16]; - BL_DST_ADRL_CS = !BL_BUSY & !nFB_CS1 & FB_ADR[19..1]==H"7C513"; -- $F8A26/2 - BL_DST_ADR[15..8].ENA = BL_DST_ADRL_CS & !nFB_WR & FB_16B0; - BL_DST_ADR[7..0].ENA = BL_DST_ADRL_CS & !nFB_WR & FB_16B1; - -- X COUNT - BL_X_CNT[].CLK = MAIN_CLK; - BL_X_CNT[] = !BL_BUSY & FB_AD[31..16]; - BL_X_CNT_CS = !BL_BUSY & !nFB_CS1 & FB_ADR[19..1]==H"7C51B"; -- $F8A36/2 - BL_X_CNT[15..8].ENA = BL_X_CNT_CS & !nFB_WR & FB_16B0; - BL_X_CNT[7..0].ENA = BL_X_CNT_CS & !nFB_WR & FB_16B1; - -- Y COUNT - BL_Y_CNT[].CLK = MAIN_CLK; - BL_Y_CNT[] = !BL_BUSY & FB_AD[31..16]; - BL_Y_CNT_CS = !BL_BUSY & !nFB_CS1 & FB_ADR[19..1]==H"7C51C"; -- $F8A38/2 - BL_Y_CNT[15..8].ENA = BL_Y_CNT_CS & !nFB_WR & FB_16B0; - BL_Y_CNT[7..0].ENA = BL_Y_CNT_CS & !nFB_WR & FB_16B1; - -- HALFTONE OP BYT - BL_HT_OP[].CLK = MAIN_CLK; - BL_HT_OP[] = FB_AD[31..24]; - BL_HT_OP_CS = !BL_BUSY & !nFB_CS1 & FB_ADR[19..1]==H"7C51D"; -- $F8A3A/2 - BL_HT_OP[7..0].ENA = BL_HT_OP_CS & !nFB_WR & FB_16B0; - -- LOGIC OP BYT - BL_LC_OP[].CLK = MAIN_CLK; - BL_LC_OP[] = FB_AD[23..16]; - BL_LC_OP[7..0].ENA = BL_HT_OP_CS & !nFB_WR & FB_16B1; -- $F8A3B - -- LINE NUMBER BYT - BL_LN[].CLK = MAIN_CLK; - BL_LN[] = !BL_BUSY & FB_AD[31..24]; - BL_LN_CS = !BL_BUSY & !nFB_CS1 & FB_ADR[19..1]==H"7C51E"; -- $F8A3C/2 - BL_LN[7..0].ENA = BL_LN_CS & !nFB_WR & FB_16B0; - -- SKEW BYT - BL_SKEW[].CLK = MAIN_CLK; - BL_SKEW[] = FB_AD[31..24]; - BL_SKEW[7..0].ENA = BL_LN_CS & !nFB_WR & FB_16B1; -- $F8A3D ---- REGISTER OUT - FB_AD[31..16] = lpm_bustri_WORD( - BL_HRAM_CS & BL_HRAM_OUT[] - # BL_SRC_X_INC_CS & BL_SRC_X_INC[] - # BL_SRC_Y_INC_CS & BL_SRC_Y_INC[] - # BL_SRC_ADRH_CS & BL_SRC_ADR[31..16] - # BL_SRC_ADRL_CS & BL_SRC_ADR[15..0] - # BL_ENDMASK1_CS & BL_ENDMASK1[] - # BL_ENDMASK2_CS & BL_ENDMASK2[] - # BL_ENDMASK3_CS & BL_ENDMASK3[] - # BL_DST_X_INC_CS & BL_DST_X_INC[] - # BL_DST_Y_INC_CS & BL_DST_Y_INC[] - # BL_DST_ADRH_CS & BL_DST_ADR[31..16] - # BL_DST_ADRL_CS & BL_DST_ADR[15..0] - # BL_X_CNT_CS & BL_X_CNT[] - # BL_Y_CNT_CS & BL_Y_CNT[] - # BL_HT_OP_CS & (BL_HT_OP[],BL_LC_OP[]) - # BL_LN_CS & (BL_LN[],BL_SKEW[]) - ,BLITTER_CS & !nFB_OE); -- FFFF8A00-3F/40 ------------------------------------------ --- - BL_READ_SRC.CLK = DDRCLK0; - BL_READ_DST.CLK = DDRCLK0; - --- READY SIGNAL 1 CLOCK SPÄTER - BL_DATA_DDR_READY.CLK = DDRCLK0; - BL_DATA_DDR_READY = BL_DATA_DDR_READY & BLITTER_DACK0; --- SRC BUFFER LADEN - BL_SKEW_IN[].CLK = DDRCLK0; - BL_SKEW_IN[].ENA = BL_DATA_DDR_READY & BL_READ_SRC; - BL_SKEW_IN[255..128] = BLITTER_DIN[]; - BL_SKEW_IN[127..0] = BL_SKEW_IN[255..128]; --- DST BUFFER LADEN - BL_DST_BUFFER[].CLK = DDRCLK0; - BL_DST_BUFFER[].ENA = BL_DATA_DDR_READY & BL_READ_DST; - BL_DST_BUFFER[] = BLITTER_DIN[]; --- SKEW EXTENDET - BL_SKEW_EXT[6..4] = BL_SRC_ADR[3..1]; - BL_SKEW_EXT[3..0] = BL_SKEW[3..0]; --- SKEW EXT MUX - BL_SKEW_OUT[] = lpm_clshift0(BL_SKEW_IN[],BL_SKEW_EXT[]); -- BIT 127..0 SIND RELEVANT --- HOP - IF BL_HT_OP[1..0]==B"00" THEN - HOP_OUT[] = H"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF"; - ELSE - IF BL_HT_OP[1..0]==B"01" THEN - HOP_OUT[] = (BL_HRAM_OUT[],BL_HRAM_OUT[],BL_HRAM_OUT[],BL_HRAM_OUT[],BL_HRAM_OUT[],BL_HRAM_OUT[],BL_HRAM_OUT[],BL_HRAM_OUT[]); - ELSE - IF BL_HT_OP[1..0]==B"10" THEN - HOP_OUT[] = BL_SKEW_OUT[127..0]; - ELSE - HOP_OUT[] = BL_SKEW_OUT[127..0] & (BL_HRAM_OUT[],BL_HRAM_OUT[],BL_HRAM_OUT[],BL_HRAM_OUT[],BL_HRAM_OUT[],BL_HRAM_OUT[],BL_HRAM_OUT[],BL_HRAM_OUT[]); - END IF; - END IF; - END IF; - - - - BLITTER_RUN = gnd; --VCC; - BLITTER_SIG = gnd; --VCC; - BLITTER_WR = gnd; --VCC; - - COUNT[] = COUNT[] + 16; - COUNT[].CLK = BLITTER_DACK0; - BLITTER_DOUT[] = H"112233445566778899AABBCCDDEEFF00"; - BLITTER_ADR[] = (0, COUNT[]) + 400000; - -END; - diff --git a/FPGA_by_Fredi/FPGA_DATE.bsf b/FPGA_by_Fredi/FPGA_DATE.bsf new file mode 100644 index 0000000..fd5ac89 --- /dev/null +++ b/FPGA_by_Fredi/FPGA_DATE.bsf @@ -0,0 +1,42 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 1991-2010 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ +(header "symbol" (version "1.1")) +(symbol + (rect 0 0 88 48) + (text "FPGA_DATE" (rect 6 1 96 17)(font "Arial" (font_size 10))) + (text "inst" (rect 8 32 25 44)(font "Arial" )) + (port + (pt 88 24) + (output) + (text "result[31..0]" (rect 0 0 67 14)(font "Arial" (font_size 8))) + (text "result[31..0]" (rect 85 -31 98 24)(font "Arial" (font_size 8))(invisible)) + (line (pt 88 24)(pt 72 24)(line_width 3)) + ) + (drawing + (text "319037463" (rect 27 18 72 30)(font "Arial" )) + (text "32" (rect 77 25 87 37)(font "Arial" )) + (line (pt 16 16)(pt 72 16)(line_width 1)) + (line (pt 72 16)(pt 72 32)(line_width 1)) + (line (pt 72 32)(pt 16 32)(line_width 1)) + (line (pt 16 32)(pt 16 16)(line_width 1)) + (line (pt 72 28)(pt 80 20)(line_width 1)) + ) +) diff --git a/FPGA_by_Fredi/Video/BLITTER/lpm_clshift0.inc b/FPGA_by_Fredi/FPGA_DATE.inc similarity index 89% rename from FPGA_by_Fredi/Video/BLITTER/lpm_clshift0.inc rename to FPGA_by_Fredi/FPGA_DATE.inc index ccf215e..2aab8ca 100644 --- a/FPGA_by_Fredi/Video/BLITTER/lpm_clshift0.inc +++ b/FPGA_by_Fredi/FPGA_DATE.inc @@ -13,12 +13,11 @@ --applicable agreement for further details. -FUNCTION lpm_clshift0 +FUNCTION FPGA_DATE ( - data[255..0], - distance[6..0] + ) RETURNS ( - result[255..0] + result[31..0] ); diff --git a/FPGA_by_Fredi/FPGA_DATE.qip b/FPGA_by_Fredi/FPGA_DATE.qip new file mode 100644 index 0000000..8a1183f --- /dev/null +++ b/FPGA_by_Fredi/FPGA_DATE.qip @@ -0,0 +1,5 @@ +set_global_assignment -name IP_TOOL_NAME "LPM_CONSTANT" +set_global_assignment -name IP_TOOL_VERSION "9.1" +set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "FPGA_DATE.tdf"] +set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "FPGA_DATE.bsf"] +set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "FPGA_DATE.inc"] diff --git a/FPGA_by_Fredi/FPGA_DATE.tdf b/FPGA_by_Fredi/FPGA_DATE.tdf new file mode 100644 index 0000000..6769853 --- /dev/null +++ b/FPGA_by_Fredi/FPGA_DATE.tdf @@ -0,0 +1,79 @@ +-- megafunction wizard: %LPM_CONSTANT% +-- GENERATION: STANDARD +-- VERSION: WM1.0 +-- MODULE: lpm_constant + +-- ============================================================ +-- File Name: FPGA_DATE.tdf +-- Megafunction Name(s): +-- lpm_constant +-- +-- Simulation Library Files(s): +-- +-- ============================================================ +-- ************************************************************ +-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! +-- +-- 9.1 Build 350 03/24/2010 SP 2 SJ Web Edition +-- ************************************************************ + + +--Copyright (C) 1991-2010 Altera Corporation +--Your use of Altera Corporation's design tools, logic functions +--and other software and tools, and its AMPP partner logic +--functions, and any output files from any of the foregoing +--(including device programming or simulation files), and any +--associated documentation or information are expressly subject +--to the terms and conditions of the Altera Program License +--Subscription Agreement, Altera MegaCore Function License +--Agreement, or other applicable license agreement, including, +--without limitation, that your use is for the sole purpose of +--programming logic devices manufactured by Altera and sold by +--Altera or its authorized distributors. Please refer to the +--applicable agreement for further details. + +-- Clearbox generated function header +FUNCTION FPGA_DATE_lpm_constant_d19 () +RETURNS ( result[31..0]); + + + + +SUBDESIGN FPGA_DATE +( + result[31..0] : OUTPUT; +) + +VARIABLE + + FPGA_DATE_lpm_constant_d19_component : FPGA_DATE_lpm_constant_d19; + +BEGIN + + result[31..0] = FPGA_DATE_lpm_constant_d19_component.result[31..0]; +END; + + + +-- ============================================================ +-- CNX file retrieval info +-- ============================================================ +-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone III" +-- Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0" +-- Retrieval info: PRIVATE: JTAG_ID STRING "NONE" +-- Retrieval info: PRIVATE: Radix NUMERIC "16" +-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" +-- Retrieval info: PRIVATE: Value NUMERIC "319037463" +-- Retrieval info: PRIVATE: nBit NUMERIC "32" +-- Retrieval info: CONSTANT: LPM_CVALUE NUMERIC "319037463" +-- Retrieval info: CONSTANT: LPM_HINT STRING "ENABLE_RUNTIME_MOD=NO" +-- Retrieval info: CONSTANT: LPM_TYPE STRING "LPM_CONSTANT" +-- Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "32" +-- Retrieval info: USED_PORT: result 0 0 32 0 OUTPUT NODEFVAL result[31..0] +-- Retrieval info: CONNECT: result 0 0 32 0 @result 0 0 32 0 +-- Retrieval info: LIBRARY: lpm lpm.lpm_components.all +-- Retrieval info: GEN_FILE: TYPE_NORMAL FPGA_DATE.tdf TRUE +-- Retrieval info: GEN_FILE: TYPE_NORMAL FPGA_DATE.inc TRUE +-- Retrieval info: GEN_FILE: TYPE_NORMAL FPGA_DATE.cmp FALSE +-- Retrieval info: GEN_FILE: TYPE_NORMAL FPGA_DATE.bsf TRUE FALSE +-- Retrieval info: GEN_FILE: TYPE_NORMAL FPGA_DATE_inst.tdf FALSE diff --git a/FPGA_by_Fredi/FPGA_DATE_lpm_constant_d19.tdf b/FPGA_by_Fredi/FPGA_DATE_lpm_constant_d19.tdf new file mode 100644 index 0000000..a611f11 --- /dev/null +++ b/FPGA_by_Fredi/FPGA_DATE_lpm_constant_d19.tdf @@ -0,0 +1,30 @@ +--lpm_constant CBX_AUTO_BLACKBOX="ALL" ENABLE_RUNTIME_MOD="NO" LPM_CVALUE=13042017 LPM_WIDTH=32 result +--VERSION_BEGIN 9.1SP2 cbx_lpm_constant 2010:03:24:20:43:43:SJ cbx_mgl 2010:03:24:21:01:05:SJ VERSION_END + + +-- Copyright (C) 1991-2010 Altera Corporation +-- Your use of Altera Corporation's design tools, logic functions +-- and other software and tools, and its AMPP partner logic +-- functions, and any output files from any of the foregoing +-- (including device programming or simulation files), and any +-- associated documentation or information are expressly subject +-- to the terms and conditions of the Altera Program License +-- Subscription Agreement, Altera MegaCore Function License +-- Agreement, or other applicable license agreement, including, +-- without limitation, that your use is for the sole purpose of +-- programming logic devices manufactured by Altera and sold by +-- Altera or its authorized distributors. Please refer to the +-- applicable agreement for further details. + + + +--synthesis_resources = +SUBDESIGN FPGA_DATE_lpm_constant_d19 +( + result[31..0] : output; +) + +BEGIN + result[] = B"00010011000001000010000000010111"; +END; +--VALID FILE diff --git a/FPGA_by_Fredi/FalconIO_SDCard_IDE_CF/FalconIO_SDCard_IDE_CF.vhd b/FPGA_by_Fredi/FalconIO_SDCard_IDE_CF/FalconIO_SDCard_IDE_CF.vhd index f3aeb16..31728ca 100644 --- a/FPGA_by_Fredi/FalconIO_SDCard_IDE_CF/FalconIO_SDCard_IDE_CF.vhd +++ b/FPGA_by_Fredi/FalconIO_SDCard_IDE_CF/FalconIO_SDCard_IDE_CF.vhd @@ -42,6 +42,7 @@ ENTITY FalconIO_SDCard_IDE_CF IS CLK2M : IN STD_LOGIC; CLK500k : IN STD_LOGIC; nFB_CS1 : IN STD_LOGIC; + nFB_CS3 : IN STD_LOGIC; FB_SIZE0 : IN STD_LOGIC; FB_SIZE1 : IN STD_LOGIC; nFB_BURST : IN STD_LOGIC; @@ -83,11 +84,12 @@ ENTITY FalconIO_SDCard_IDE_CF IS nFB_OE : IN STD_LOGIC; VSYNC : IN STD_LOGIC; HSYNC : IN STD_LOGIC; + BLITTER_INT : IN STD_LOGIC; DSP_INT : IN STD_LOGIC; nBLANK : IN STD_LOGIC; FDC_CLK : IN STD_LOGIC; FB_ALE : IN STD_LOGIC; - ACP_CONF : IN STD_LOGIC_VECTOR(31 downto 24); + ACP_CONF : IN STD_LOGIC_VECTOR(31 downto 0); nIDE_CS1 : OUT STD_LOGIC; nIDE_CS0 : OUT STD_LOGIC; LP_STR : OUT STD_LOGIC; @@ -132,7 +134,6 @@ ENTITY FalconIO_SDCard_IDE_CF IS DMA_DRQ : OUT STD_LOGIC; FB_AD : INOUT STD_LOGIC_VECTOR(31 downto 0); LP_D : INOUT STD_LOGIC_VECTOR(7 downto 0); - SND_A : INOUT STD_LOGIC_VECTOR(7 downto 0); ACSI_D : INOUT STD_LOGIC_VECTOR(7 downto 0); SCSI_D : INOUT STD_LOGIC_VECTOR(7 downto 0); SCSI_PAR : INOUT STD_LOGIC; @@ -140,7 +141,8 @@ ENTITY FalconIO_SDCard_IDE_CF IS nSCSI_BUSY : INOUT STD_LOGIC; nSCSI_RST : INOUT STD_LOGIC; SD_CD_DATA3 : INOUT STD_LOGIC; - SD_CDM_D1 : INOUT STD_LOGIC + SD_CDM_D1 : INOUT STD_LOGIC; + VIDEO_TA : IN STD_LOGIC ); -- {{ALTERA_IO_END}} DO NOT REMOVE THIS LINE! @@ -156,7 +158,7 @@ signal RESETn : STD_LOGIC; signal FB_B0 : STD_LOGIC; -- UPPER BYT BEI 16BIT BUS signal FB_B1 : STD_LOGIC; -- LOWER BYT BEI 16BIT BUS signal BYT : STD_LOGIC; -- WENN BYT -> 1 -signal LONG : STD_LOGIC; -- WENN -> 1 +signal LONG : STD_LOGIC; -- WENN Long -> 1 signal FB_ADI : STD_LOGIC_VECTOR(15 downto 0); -- gespeicherte writedaten signal nResetatio : STD_LOGIC; -- reset atari bausteine -- KEYBOARD MIDI @@ -184,7 +186,6 @@ signal SNDCS_I : STD_LOGIC; signal SNDIR_I : STD_LOGIC; signal LP_DIR_X : STD_LOGIC; signal DA_OUT_X : STD_LOGIC_VECTOR(7 downto 0); -signal SND_A_X : STD_LOGIC_VECTOR(7 downto 0); signal LP_D_X : STD_LOGIC_VECTOR(7 downto 0); signal nLP_STR : STD_LOGIC; -- DMA SOUND @@ -278,10 +279,11 @@ signal SEL_EN : STD_LOGIC; -- IDE signal nnIDE_RES : STD_LOGIC; signal IDE_CF_CS : STD_LOGIC; -signal IDE_CF_TA : STD_LOGIC; -signal NEXT_nIDE_RD : STD_LOGIC; -signal NEXT_nIDE_WR : STD_LOGIC; -type CMD_STATES is( IDLE, T1, T6, T7); +signal IDE_DRIVE0 : STD_LOGIC; +signal IDE_DRIVE1 : STD_LOGIC; +signal IDE_DCS : STD_LOGIC; +signal IDE_TA : STD_LOGIC; +type CMD_STATES is(IDLE,T1,T2,T3,T4,T5,T6,T7,T8,T9); signal CMD_STATE : CMD_STATES; signal NEXT_CMD_STATE : CMD_STATES; -- Paddle @@ -294,13 +296,13 @@ FB_B0 <= '1' when FB_ADR(0) = '0' or BYT = '0' else '0'; FB_B1 <= '1' when FB_ADR(0) = '1' or BYT = '0' else '0'; FALCON_IO_TA <= '1' when ACIA_CS_I = '1' or DTACK_OUT_MFPn = '0' or DMA_MODUS_CS ='1' or dma_snd_cs = '1' or paddle_cs = '1' - or DMA_ADR_CS = '1' or DMA_DIRM_CS = '1' or DMA_BYT_CNT_CS = '1' or FCF_CS = '1' or IDE_CF_TA = '1' else '0';--SNDCS = '1' or + or DMA_ADR_CS = '1' or DMA_DIRM_CS = '1' or DMA_BYT_CNT_CS = '1' or FCF_CS = '1' or IDE_TA = '1' else '0'; --SNDCS = '1' or SUB_BUS <= '1' when nFB_WR = '1' and ROM_CS = '1' ELSE - '1' when nFB_WR = '1' and IDE_CF_CS = '1' ELSE - '1' when nFB_WR = '0' and nIDE_WR = '0' ELSE '0'; -nRP_UDS <= '0' when nFB_CS1 = '0' and SUB_BUS = '1' and FB_B0 = '1' else '1'; -nRP_LDS <= '0' when nFB_CS1 = '0' and SUB_BUS = '1' and FB_B1 = '1' else '1'; -nDREQ0 <= '0'; + '1' when IDE_CF_CS = '1' ELSE + '1' when nFB_CS3 = '0' ELSE '0'; +nRP_UDS <= '0' when SUB_BUS = '1' and FB_B0 = '1' else '1'; +nRP_LDS <= '0' when SUB_BUS = '1' and FB_B1 = '1' else '1'; + -- input daten halten process(MAIN_CLK, nFB_WR, FB_AD(31 downto 16), FB_ADI(15 downto 0)) begin @@ -329,64 +331,145 @@ CMD_REG: process(nRSTO, MAIN_CLK, CMD_STATE, NEXT_CMD_STATE) CMD_STATE <= IDLE; elsif rising_edge(MAIN_CLK) then CMD_STATE <= NEXT_CMD_STATE; -- go to next - nIDE_RD <= NEXT_nIDE_RD; -- go to next - nIDE_WR <= NEXT_nIDE_WR; -- go to next else CMD_STATE <= CMD_STATE; -- halten - nIDE_RD <= nIDE_RD; -- halten - nIDE_WR <= nIDE_WR; -- halten end if; end process CMD_REG; - CMD_DECODER: process(CMD_STATE, NEXT_CMD_STATE, NEXT_nIDE_RD, NEXT_nIDE_WR, IDE_RDY, IDE_CF_TA) + CMD_DECODER: process(CMD_STATE, NEXT_CMD_STATE, nIDE_RD, nIDE_WR, IDE_RDY) begin case CMD_STATE is when IDLE => - IDE_CF_TA <= '0'; - if IDE_CF_CS = '1' then - NEXT_nIDE_RD <= not nFB_WR; - NEXT_nIDE_WR <= nFB_WR; - NEXT_CMD_STATE <= T1; + IDE_TA <= '0'; + nIDE_RD <= '1'; + nIDE_WR <= '1'; + if IDE_DCS = '1' then + if FB_ADR(6) = '0' then + if ACP_CONF(18 downto 16) = x"1" then + NEXT_CMD_STATE <= T3; + else + if ACP_CONF(18 downto 16) = x"2" then + NEXT_CMD_STATE <= T2; + else + NEXT_CMD_STATE <= T1; + end if; + end if; + else + if ACP_CONF(22 downto 20) = x"1" then + NEXT_CMD_STATE <= T3; + else + if ACP_CONF(22 downto 20) = x"2" then + NEXT_CMD_STATE <= T2; + else + NEXT_CMD_STATE <= T1; + end if; + end if; + end if; else - NEXT_nIDE_RD <= '1'; - NEXT_nIDE_WR <= '1'; - NEXT_CMD_STATE <= IDLE; + if IDE_CF_CS = '1' then + NEXT_CMD_STATE <= T1; + else + NEXT_CMD_STATE <= IDLE; + end if; end if; when T1 => - IDE_CF_TA <= '0'; - NEXT_nIDE_RD <= not nFB_WR; - NEXT_nIDE_WR <= nFB_WR; - NEXT_CMD_STATE <= T6; - when T6 => - IF IDE_RDY = '1' then - IDE_CF_TA <= '1'; - NEXT_nIDE_RD <= '1'; - NEXT_nIDE_WR <= '1'; - NEXT_CMD_STATE <= T7; + IDE_TA <= '0'; + nIDE_RD <= not nFB_WR; + nIDE_WR <= nFB_WR; + NEXT_CMD_STATE <= T2; + when T2 => + IDE_TA <= '0'; + nIDE_RD <= not nFB_WR; + nIDE_WR <= nFB_WR; + NEXT_CMD_STATE <= T3; + when T3 => + nIDE_RD <= not nFB_WR; + nIDE_WR <= nFB_WR; + IF IDE_RDY = '0' then + IDE_TA <= '0'; + NEXT_CMD_STATE <= T3; else - IDE_CF_TA <= '0'; - NEXT_nIDE_RD <= not nFB_WR; - NEXT_nIDE_WR <= nFB_WR; - NEXT_CMD_STATE <= T6; + IDE_TA <= '1'; + NEXT_CMD_STATE <= T5; end if; - when T7 => - IDE_CF_TA <= '0'; - NEXT_nIDE_RD <= '1'; - NEXT_nIDE_WR <= '1'; + when T4 => + IDE_TA <= '0'; + nIDE_RD <= '1'; + nIDE_WR <= '1'; NEXT_CMD_STATE <= IDLE; + when T5 => + IDE_TA <= '0'; + nIDE_RD <= '1'; + nIDE_WR <= '1'; + if IDE_DCS = '0' or FB_SIZE0 = '1' or FB_SIZE1 = '1' then -- wenn kein cs oder nicht long ->> fertig + NEXT_CMD_STATE <= T4; + else + if FB_ADR(6) = '0' then + if ACP_CONF(18 downto 16) = x"1" then + NEXT_CMD_STATE <= T9; + else + if ACP_CONF(18 downto 16) = x"2" then + NEXT_CMD_STATE <= T8; + else + NEXT_CMD_STATE <= T6; + end if; + end if; + else + if ACP_CONF(22 downto 20) = x"1" then + NEXT_CMD_STATE <= T9; + else + if ACP_CONF(22 downto 20) = x"2" then + NEXT_CMD_STATE <= T8; + else + NEXT_CMD_STATE <= T6; + end if; + end if; + end if; + end if; + when T6 => + IDE_TA <= '0'; + nIDE_RD <= '1'; + nIDE_WR <= '1'; + NEXT_CMD_STATE <= T7; + when T7 => + IDE_TA <= '0'; + nIDE_RD <= not nFB_WR; + nIDE_WR <= nFB_WR; + NEXT_CMD_STATE <= T8; + when T8 => + IDE_TA <= '0'; + nIDE_RD <= not nFB_WR; + nIDE_WR <= nFB_WR; + NEXT_CMD_STATE <= T9; + when T9 => + nIDE_RD <= not nFB_WR; + nIDE_WR <= nFB_WR; + IF IDE_RDY = '0' then + IDE_TA <= '0'; + NEXT_CMD_STATE <= T9; + else + IDE_TA <= '1'; + NEXT_CMD_STATE <= T4; + end if; end case; end process CMD_DECODER; -IDE_RES <= not nnIDE_RES and nRSTO; -IDE_CF_CS <= '1' when nFB_CS1 = '0' and FB_ADR(19 downto 7) = x"0" else '0'; -- FFF0'0000/80 -nCF_CS0 <= '0' when ACP_CONF(31) = '0' and FB_ADR(19 downto 5) = x"0" else -- FFFO'0000-FFF0'001F - '0' when ACP_CONF(31) = '1' and FB_ADR(19 downto 5) = x"2" else '1'; -- FFFO'0040-FFF0'005F -nCF_CS1 <= '0' when ACP_CONF(31) = '0' and FB_ADR(19 downto 5) = x"1" else -- FFF0'0020-FFF0'003F - '0' when ACP_CONF(31) = '1' and FB_ADR(19 downto 5) = x"3" else '1'; -- FFFO'0060-FFF0'007F -nIDE_CS0 <= '0' when ACP_CONF(30) = '0' and FB_ADR(19 downto 5) = x"2" else -- FFF0'0040-FFF0'005F - '0' when ACP_CONF(30) = '1' and FB_ADR(19 downto 5) = x"0" else '1'; -- FFFO'0000-FFF0'001F -nIDE_CS1 <= '0' when ACP_CONF(30) = '0' and FB_ADR(19 downto 5) = x"3" else -- FFF0'0060-FFF0'007F - '0' when ACP_CONF(30) = '1' and FB_ADR(19 downto 5) = x"1" else '1'; -- FFFO'0020-FFF0'003F +IDE_RES <= not ACP_CONF(25) and nRSTO; -- !!!!ACHTUNG: RESET wenn 0!!!!!!!!!!!!!!! -- IDE_RES manuel oder weil nRSTO +IDE_CF_CS <= '1' when nFB_CS1 = '0' and FB_ADR(19 downto 7) = x"0" else '0'; -- FFF0'0000-FFF0'007F +IDE_DRIVE0 <= '1' when nFB_CS1 = '0' and FB_ADR(19 downto 0) = x"99" else '0'; -- FFF0'0099 (19+80!) +IDE_DRIVE1 <= '1' when nFB_CS1 = '0' and FB_ADR(19 downto 0) = x"D9" else '0'; -- FFF0'00D9 (19+40+80!) +IDE_DCS <= '1' when FB_ALE = '1' and FB_ADR(31 downto 2) = x"3FFC0000" else -- FFF0'000x 0-3 + '1' when nFB_CS1 = '0' and FB_ADR(19 downto 2) = x"0" else -- FFF0'000x 0-3 + '1' when FB_ALE = '1' and FB_ADR(31 downto 2) = x"3FFC0010" else -- FFF0'004x 0-3 + '1' when nFB_CS1 = '0' and FB_ADR(19 downto 2) = x"10" else '0'; -- FFF0'004x 0-3 +nCF_CS0 <= FB_ADR(5) or (FB_ADR(6) xor (ACP_CONF(31) xnor HD_DD)); -- xxxx'xx00-1F +nCF_CS1 <= not FB_ADR(5) or (FB_ADR(6) xor (ACP_CONF(31) xnor HD_DD)); -- xxxx'xx20-3F +nIDE_CS0 <= FB_ADR(5) or (FB_ADR(6) xnor (ACP_CONF(30) xnor HD_DD)); -- xxxx'xx40-5F +nIDE_CS1 <= not FB_ADR(5) or (FB_ADR(6) xnor (ACP_CONF(30) xnor HD_DD)); -- xxxx'xx60-7F +nDREQ0 <= '1'; +FB_AD(23 downto 20) <= ACP_CONF(19 downto 16) when IDE_DRIVE0 = '1' and nFB_OE = '0' else "ZZZZ"; +FB_AD(23 downto 20) <= ACP_CONF(23 downto 20) when IDE_DRIVE1 = '1' and nFB_OE = '0' else "ZZZZ"; + ----------------------------------------------------------------------------------------------------------------------------------------- -- ACSI, SCSI UND FLOPPY WD1772 ------------------------------------------------------------------------------------------------------------------------------------------- @@ -909,7 +992,7 @@ MIDI_OLR <= MIDI_OUT; GPIP_IN(6) => not RI, GPIP_IN(5) => DINTn, GPIP_IN(4) => acia_irq, - GPIP_IN(3) => DSP_INT, + GPIP_IN(3) => BLITTER_INT OR DSP_INT, GPIP_IN(2) => not CTS, GPIP_IN(1) => not DCD, GPIP_IN(0) => LP_BUSY, @@ -948,7 +1031,7 @@ FB_AD(9 downto 2) <= DATA_OUT_MFP when MFP_INTACK = '1' and nFB_OE = '0' else "Z FB_AD(1 downto 0) <= "00" when MFP_INTACK = '1' and nFB_OE = '0' else "ZZ"; DINTn <= '0' when IDE_INT = '1' AND ACP_CONF(28) = '1' else '0' when FDINT = '1' else - '0' when SCSI_INT = '1' AND ACP_CONF(28) = '1' else '1'; + '0' when SCSI_INT = '1' AND ACP_CONF(27) = '1' else '1'; ---------------------------------------------------------------------------- -- Sound ---------------------------------------------------------------------------- @@ -969,8 +1052,15 @@ DINTn <= '0' when IDE_INT = '1' AND ACP_CONF(28) = '1' else DA_IN => FB_ADI(15 downto 8), DA_OUT => DA_OUT_X, - IO_A_IN => SND_A, - IO_A_OUT => SND_A_X, + IO_A_IN => x"00", -- All port pins are dedicated outputs. + IO_A_OUT(7) => nnIDE_RES, + IO_A_OUT(6) => LP_DIR_X, + IO_A_OUT(5) => nLP_STR, + IO_A_OUT(4) => DTR, + IO_A_OUT(3) => RTS, +-- IO_A_OUT(2) => FDD_D1SEL, + IO_A_OUT(1) => DSA_D, + IO_A_OUT(0) => nSDSEL, -- IO_A_EN =>, -- Not required. IO_B_IN => LP_D, IO_B_OUT => LP_D_X, @@ -985,18 +1075,9 @@ SNDCS <= '1' when nFB_CS1 = '0' and FB_ADR(19 downto 2) = x"3E200" else '0'; -- SNDCS_I <= '1' when SNDCS = '1' and FB_ADR (1 downto 1) = "0" else '0'; SNDIR_I <= '1' when SNDCS = '1' and nFB_WR = '0' else '0'; FB_AD(31 downto 24) <= DA_OUT_X when SNDCS_I = '1' and nFB_OE = '0' else "ZZZZZZZZ"; -nnIDE_RES <= SND_A_X(7); -LP_DIR_X <= SND_A_X(6); -LP_STR <= SND_A_X(5); -DTR <= SND_A_X(4); -RTS <= SND_A_X(3); --- FDD_D1SEL <= SND_A_X(2) -DSA_D <= SND_A_X(1); -nSDSEL <= SND_A_X(0); -SND_A <= SND_A_X; LP_D <= LP_D_X when LP_DIR_X = '0' else "ZZZZZZZZ"; LP_DIR <= LP_DIR_X; - +LP_STR <= not nLP_STR; ---------------------------------------------------------------------------- -- DMA Sound register diff --git a/FPGA_by_Fredi/FalconIO_SDCard_IDE_CF/FalconIO_SDCard_IDE_CF.vhd.bak b/FPGA_by_Fredi/FalconIO_SDCard_IDE_CF/FalconIO_SDCard_IDE_CF.vhd.bak deleted file mode 100644 index a789c9f..0000000 --- a/FPGA_by_Fredi/FalconIO_SDCard_IDE_CF/FalconIO_SDCard_IDE_CF.vhd.bak +++ /dev/null @@ -1,1153 +0,0 @@ --- WARNING: Do NOT edit the input and output ports in this file in a text --- editor if you plan to continue editing the block that represents it in --- the Block Editor! File corruption is VERY likely to occur. - --- Copyright (C) 1991-2008 Altera Corporation --- Your use of Altera Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Altera Program License --- Subscription Agreement, Altera MegaCore Function License --- Agreement, or other applicable license agreement, including, --- without limitation, that your use is for the sole purpose of --- programming logic devices manufactured by Altera and sold by --- Altera or its authorized distributors. Please refer to the --- applicable agreement for further details. - - --- Generated by Quartus II Version 8.1 (Build Build 163 10/28/2008) --- Created on Tue Sep 08 16:24:20 2009 - -library work; -use work.FalconIO_SDCard_IDE_CF_pkg.all; - -library ieee; -use ieee.std_logic_1164.all; -use ieee.std_logic_unsigned.all; - - --- Entity Declaration - - --- Entity Declaration - -ENTITY FalconIO_SDCard_IDE_CF IS - -- {{ALTERA_IO_BEGIN}} DO NOT REMOVE THIS LINE! - PORT - ( - CLK33M : IN STD_LOGIC; - MAIN_CLK : IN STD_LOGIC; - CLK2M : IN STD_LOGIC; - CLK500k : IN STD_LOGIC; - nFB_CS1 : IN STD_LOGIC; - FB_SIZE0 : IN STD_LOGIC; - FB_SIZE1 : IN STD_LOGIC; - nFB_BURST : IN STD_LOGIC; - FB_ADR : IN STD_LOGIC_VECTOR(31 downto 0); - LP_BUSY : IN STD_LOGIC; - nACSI_DRQ : IN STD_LOGIC; - nACSI_INT : IN STD_LOGIC; - nSCSI_DRQ : IN STD_LOGIC; - nSCSI_MSG : IN STD_LOGIC; - MIDI_IN : IN STD_LOGIC; - RxD : IN STD_LOGIC; - CTS : IN STD_LOGIC; - RI : IN STD_LOGIC; - DCD : IN STD_LOGIC; - AMKB_RX : IN STD_LOGIC; - PIC_AMKB_RX : IN STD_LOGIC; - IDE_RDY : IN STD_LOGIC; - IDE_INT : IN STD_LOGIC; - WP_CS_CARD : IN STD_LOGIC; - nINDEX : IN STD_LOGIC; - TRACK00 : IN STD_LOGIC; - nRD_DATA : IN STD_LOGIC; - nDCHG : IN STD_LOGIC; - SD_DATA0 : IN STD_LOGIC; - SD_DATA1 : IN STD_LOGIC; - SD_DATA2 : IN STD_LOGIC; - SD_CARD_DEDECT : IN STD_LOGIC; - SD_WP : IN STD_LOGIC; - nDACK0 : IN STD_LOGIC; - nFB_WR : INOUT STD_LOGIC; - WP_CF_CARD : IN STD_LOGIC; - nWP : IN STD_LOGIC; - nFB_CS2 : IN STD_LOGIC; - nRSTO : IN STD_LOGIC; - HD_DD : IN STD_LOGIC; - nSCSI_C_D : IN STD_LOGIC; - nSCSI_I_O : IN STD_LOGIC; - CLK2M4576 : IN STD_LOGIC; - nFB_OE : IN STD_LOGIC; - VSYNC : IN STD_LOGIC; - HSYNC : IN STD_LOGIC; - DSP_INT : IN STD_LOGIC; - nBLANK : IN STD_LOGIC; - FDC_CLK : IN STD_LOGIC; - FB_ALE : IN STD_LOGIC; - ACP_CONF : IN STD_LOGIC_VECTOR(31 downto 24); - nIDE_CS1 : OUT STD_LOGIC; - nIDE_CS0 : OUT STD_LOGIC; - LP_STR : OUT STD_LOGIC; - LP_DIR : OUT STD_LOGIC; - nACSI_ACK : OUT STD_LOGIC; - nACSI_RESET : OUT STD_LOGIC; - nACSI_CS : OUT STD_LOGIC; - ACSI_DIR : OUT STD_LOGIC; - ACSI_A1 : OUT STD_LOGIC; - nSCSI_ACK : OUT STD_LOGIC; - nSCSI_ATN : OUT STD_LOGIC; - SCSI_DIR : OUT STD_LOGIC; - SD_CLK : OUT STD_LOGIC; - YM_QA : OUT STD_LOGIC; - YM_QC : OUT STD_LOGIC; - YM_QB : OUT STD_LOGIC; - nSDSEL : OUT STD_LOGIC; - STEP : OUT STD_LOGIC; - MOT_ON : OUT STD_LOGIC; - nRP_LDS : OUT STD_LOGIC; - nRP_UDS : OUT STD_LOGIC; - nROM4 : OUT STD_LOGIC; - nROM3 : OUT STD_LOGIC; - nCF_CS1 : OUT STD_LOGIC; - nCF_CS0 : OUT STD_LOGIC; - nIDE_RD : INOUT STD_LOGIC; - nIDE_WR : INOUT STD_LOGIC; - AMKB_TX : buffer STD_LOGIC; - IDE_RES : OUT STD_LOGIC; - DTR : OUT STD_LOGIC; - RTS : OUT STD_LOGIC; - TxD : OUT STD_LOGIC; - MIDI_OLR : OUT STD_LOGIC; - MIDI_TLR : OUT STD_LOGIC; - nDREQ0 : OUT STD_LOGIC; - DSA_D : OUT STD_LOGIC; - nMFP_INT : OUT STD_LOGIC; - FALCON_IO_TA : OUT STD_LOGIC; - STEP_DIR : OUT STD_LOGIC; - WR_DATA : OUT STD_LOGIC; - WR_GATE : OUT STD_LOGIC; - DMA_DRQ : OUT STD_LOGIC; - FB_AD : INOUT STD_LOGIC_VECTOR(31 downto 0); - LP_D : INOUT STD_LOGIC_VECTOR(7 downto 0); - SND_A : INOUT STD_LOGIC_VECTOR(7 downto 0); - ACSI_D : INOUT STD_LOGIC_VECTOR(7 downto 0); - SCSI_D : INOUT STD_LOGIC_VECTOR(7 downto 0); - SCSI_PAR : INOUT STD_LOGIC; - nSCSI_SEL : INOUT STD_LOGIC; - nSCSI_BUSY : INOUT STD_LOGIC; - nSCSI_RST : INOUT STD_LOGIC; - SD_CD_DATA3 : INOUT STD_LOGIC; - SD_CDM_D1 : INOUT STD_LOGIC - ); - -- {{ALTERA_IO_END}} DO NOT REMOVE THIS LINE! - -END FalconIO_SDCard_IDE_CF; - - --- Architecture Body - -ARCHITECTURE FalconIO_SDCard_IDE_CF_architecture OF FalconIO_SDCard_IDE_CF IS --- system -signal SYS_CLK : STD_LOGIC; -signal RESETn : STD_LOGIC; -signal FB_B0 : STD_LOGIC; -- UPPER BYT BEI 16BIT BUS -signal FB_B1 : STD_LOGIC; -- LOWER BYT BEI 16BIT BUS -signal BYT : STD_LOGIC; -- WENN BYT -> 1 -signal LONG : STD_LOGIC; -- WENN -> 1 -signal FB_ADI : STD_LOGIC_VECTOR(15 downto 0); -- gespeicherte writedaten -signal nResetatio : STD_LOGIC; -- reset atari bausteine --- KEYBOARD MIDI -signal ACIA_CS_I : STD_LOGIC; -signal IRQ_KEYBDn : STD_LOGIC; -signal IRQ_MIDIn : STD_LOGIC; -signal KEYB_RxD : STD_LOGIC; -signal AMKB_REG : STD_LOGIC_VECTOR(3 downto 0); -signal AMKB_TX_sync : std_logic; -signal MIDI_OUT : STD_LOGIC; -signal DATA_OUT_ACIA_I : STD_LOGIC_VECTOR(7 downto 0); -signal DATA_OUT_ACIA_II : STD_LOGIC_VECTOR(7 downto 0); --- MFP -signal MFP_CS : STD_LOGIC; -signal MFP_INTACK : STD_LOGIC; -signal LDS : STD_LOGIC; -signal acia_irq : STD_LOGIC; -signal DTACK_OUT_MFPn : STD_LOGIC; -signal DINTn : STD_LOGIC; -signal DATA_OUT_MFP : STD_LOGIC_VECTOR(7 downto 0); -signal TDO : STD_LOGIC; --- SOUND -signal SNDCS : STD_LOGIC; -signal SNDCS_I : STD_LOGIC; -signal SNDIR_I : STD_LOGIC; -signal LP_DIR_X : STD_LOGIC; -signal DA_OUT_X : STD_LOGIC_VECTOR(7 downto 0); -signal SND_A_X : STD_LOGIC_VECTOR(7 downto 0); -signal LP_D_X : STD_LOGIC_VECTOR(7 downto 0); -signal nLP_STR : STD_LOGIC; --- DMA SOUND -signal dma_snd_cs : STD_LOGIC; -signal sndmactl : STD_LOGIC_VECTOR(7 downto 0); -signal sndbashi : STD_LOGIC_VECTOR(7 downto 0); -signal sndbasmi : STD_LOGIC_VECTOR(7 downto 0); -signal sndbaslo : STD_LOGIC_VECTOR(7 downto 0); -signal sndadrhi : STD_LOGIC_VECTOR(7 downto 0); -signal sndadrmi : STD_LOGIC_VECTOR(7 downto 0); -signal sndadrlo : STD_LOGIC_VECTOR(7 downto 0); -signal sndendhi : STD_LOGIC_VECTOR(7 downto 0); -signal sndendmi : STD_LOGIC_VECTOR(7 downto 0); -signal sndendlo : STD_LOGIC_VECTOR(7 downto 0); -signal sndmode : STD_LOGIC_VECTOR(7 downto 0); --- DIV -signal SUB_BUS : STD_LOGIC; -- SUB BUS MIT ROM-PORT, CF UND IDE -signal ROM_CS : STD_LOGIC; --- DMA UND FLOPPY -signal DMA_DATEN_CS : STD_LOGIC; -signal DMA_MODUS_CS : STD_LOGIC; -signal DMA_MODUS : STD_LOGIC_VECTOR(15 downto 0); -signal WDC_BSL_CS : STD_LOGIC; -signal WDC_BSL : STD_LOGIC_VECTOR(1 DOWNTO 0); -signal HD_DD_OUT : STD_LOGIC; -signal FDCS_In : STD_LOGIC; -signal CA0 : STD_LOGIC; -signal CA1 : STD_LOGIC; -signal CA2 : STD_LOGIC; -signal FDINT : STD_LOGIC; -signal FDRQ : STD_LOGIC; -signal CD_OUT_FDC : STD_LOGIC_VECTOR(7 downto 0); -signal CD_IN_FDC : STD_LOGIC_VECTOR(7 downto 0); -signal DMA_TOP_CS : STD_LOGIC; -signal DMA_TOP : STD_LOGIC_VECTOR(7 downto 0); -signal DMA_HIGH_CS : STD_LOGIC; -signal DMA_HIGH : STD_LOGIC_VECTOR(7 downto 0); -signal DMA_MID_CS : STD_LOGIC; -signal DMA_MID : STD_LOGIC_VECTOR(7 downto 0); -signal DMA_LOW_CS : STD_LOGIC; -signal DMA_LOW : STD_LOGIC_VECTOR(7 downto 0); -signal DMA_DIRM_CS : STD_LOGIC; -signal DMA_ADR_CS : STD_LOGIC; -signal DMA_STATUS : STD_LOGIC_VECTOR(2 downto 0); -signal DMA_DIR_OLD : STD_LOGIC; -signal DMA_BYT_CNT_CS : STD_LOGIC; -signal DMA_BYT_CNT : STD_LOGIC_VECTOR(31 downto 0); -signal CLR_FIFO : STD_LOGIC; -signal DMA_DRQ_I : STD_LOGIC; -signal DMA_DRQ_REG : STD_LOGIC_VECTOR(1 downto 0); -signal DMA_DRQQ : STD_LOGIC; -signal DMA_DRQ_Q : STD_LOGIC; -signal RDF_DOUT : STD_LOGIC_VECTOR(31 downto 0); -signal RDF_AZ : STD_LOGIC_VECTOR(9 downto 0); -signal RDF_RDE : STD_LOGIC; -signal RDF_WRE : STD_LOGIC; -signal RDF_DIN : STD_LOGIC_VECTOR(7 downto 0); -signal WRF_DOUT : STD_LOGIC_VECTOR(7 downto 0); -signal WRF_AZ : STD_LOGIC_VECTOR(9 downto 0); -signal WRF_RDE : STD_LOGIC; -signal WRF_WRE : STD_LOGIC; -signal nFDC_WR : STD_LOGIC; -type FCF_STATES is( FCF_IDLE, FCF_T0, FCF_T1, FCF_T2, FCF_T3, FCF_T6, FCF_T7); -signal FCF_STATE : FCF_STATES; -signal NEXT_FCF_STATE : FCF_STATES; -signal DMA_REQ : STD_LOGIC; -signal FDC_CS : STD_LOGIC; -signal FCF_CS : STD_LOGIC; -signal FCF_APH : STD_LOGIC; -signal DMA_AZ_CS : STD_LOGIC; -signal DMA_ACTIV : STD_LOGIC; -signal DMA_ACTIV_NEW : STD_LOGIC; -signal FDC_OUT : STD_LOGIC_VECTOR(7 downto 0); --- SCSI -signal SCSI_CS : STD_LOGIC; -signal SCSI_CSn : STD_LOGIC; -signal SCSI_DOUT : STD_LOGIC_VECTOR(7 downto 0); -signal nSCSI_DACK : STD_LOGIC; -signal SCSI_DRQ : STD_LOGIC; -signal SCSI_INT : STD_LOGIC; -signal DB_OUTn : STD_LOGIC_VECTOR(7 downto 0); -signal DB_EN : STD_LOGIC; -signal DBP_OUTn : STD_LOGIC; -signal DBP_EN : STD_LOGIC; -signal RST_OUTn : STD_LOGIC; -signal RST_EN : STD_LOGIC; -signal BSY_OUTn : STD_LOGIC; -signal BSY_EN : STD_LOGIC; -signal SEL_OUTn : STD_LOGIC; -signal SEL_EN : STD_LOGIC; --- IDE -signal nnIDE_RES : STD_LOGIC; -signal IDE_CF_CS : STD_LOGIC; -signal IDE_CF_TA : STD_LOGIC; -signal NEXT_nIDE_RD : STD_LOGIC; -signal NEXT_nIDE_WR : STD_LOGIC; -type CMD_STATES is( IDLE, T1, T6, T7); -signal CMD_STATE : CMD_STATES; -signal NEXT_CMD_STATE : CMD_STATES; --- Paddle -signal paddle_cs : STD_LOGIC; - -BEGIN -LONG <= '1' when FB_SIZE1 = '0' and FB_SIZE0 = '0' else '0'; -BYT <= '1' when FB_SIZE1 = '0' and FB_SIZE0 = '1' else '0'; -FB_B0 <= '1' when FB_ADR(0) = '0' or BYT = '0' else '0'; -FB_B1 <= '1' when FB_ADR(0) = '1' or BYT = '0' else '0'; - -FALCON_IO_TA <= '1' when ACIA_CS_I = '1' or DTACK_OUT_MFPn = '0' or DMA_MODUS_CS ='1' or dma_snd_cs = '1' or paddle_cs = '1' - or DMA_ADR_CS = '1' or DMA_DIRM_CS = '1' or DMA_BYT_CNT_CS = '1' or FCF_CS = '1' or IDE_CF_TA = '1' else '0';--SNDCS = '1' or -SUB_BUS <= '1' when nFB_WR = '1' and ROM_CS = '1' ELSE - '1' when nFB_WR = '1' and IDE_CF_CS = '1' ELSE - '1' when nFB_WR = '0' and nIDE_WR = '0' ELSE '0'; -nRP_UDS <= '0' when nFB_CS1 = '0' and SUB_BUS = '1' and FB_B0 = '1' else '1'; -nRP_LDS <= '0' when nFB_CS1 = '0' and SUB_BUS = '1' and FB_B1 = '1' else '1'; -nDREQ0 <= '0'; --- input daten halten -process(MAIN_CLK, nFB_WR, FB_AD(31 downto 16), FB_ADI(15 downto 0)) - begin - if rising_edge(MAIN_CLK) then - IF nFB_WR = '0' THEN - FB_ADI <= FB_AD(31 downto 16); - ELSE - FB_ADI <= FB_ADI; - end if; - ELSE - FB_ADI <= FB_ADI; - end if; - END PROCESS; ----------------------------------------------------------------------------- --- SD ----------------------------------------------------------------------------- -SD_CLK <= 'Z'; -SD_CD_DATA3 <= 'Z'; -SD_CDM_D1 <= 'Z'; ----------------------------------------------------------------------------- --- IDE ----------------------------------------------------------------------------- -CMD_REG: process(nRSTO, MAIN_CLK, CMD_STATE, NEXT_CMD_STATE) - begin - if nRSTO = '0' then - CMD_STATE <= IDLE; - elsif rising_edge(MAIN_CLK) then - CMD_STATE <= NEXT_CMD_STATE; -- go to next - nIDE_RD <= NEXT_nIDE_RD; -- go to next - nIDE_WR <= NEXT_nIDE_WR; -- go to next - else - CMD_STATE <= CMD_STATE; -- halten - nIDE_RD <= nIDE_RD; -- halten - nIDE_WR <= nIDE_WR; -- halten - end if; - end process CMD_REG; - - CMD_DECODER: process(CMD_STATE, NEXT_CMD_STATE, NEXT_nIDE_RD, NEXT_nIDE_WR, IDE_RDY, IDE_CF_TA) - begin - case CMD_STATE is - when IDLE => - IDE_CF_TA <= '0'; - if IDE_CF_CS = '1' then - NEXT_nIDE_RD <= not nFB_WR; - NEXT_nIDE_WR <= nFB_WR; - NEXT_CMD_STATE <= T1; - else - NEXT_nIDE_RD <= '1'; - NEXT_nIDE_WR <= '1'; - NEXT_CMD_STATE <= IDLE; - end if; - when T1 => - IDE_CF_TA <= '0'; - NEXT_nIDE_RD <= not nFB_WR; - NEXT_nIDE_WR <= nFB_WR; - NEXT_CMD_STATE <= T6; - when T6 => - IF IDE_RDY = '1' then - IDE_CF_TA <= '1'; - NEXT_nIDE_RD <= '1'; - NEXT_nIDE_WR <= '1'; - NEXT_CMD_STATE <= T7; - else - IDE_CF_TA <= '0'; - NEXT_nIDE_RD <= not nFB_WR; - NEXT_nIDE_WR <= nFB_WR; - NEXT_CMD_STATE <= T6; - end if; - when T7 => - IDE_CF_TA <= '0'; - NEXT_nIDE_RD <= '1'; - NEXT_nIDE_WR <= '1'; - NEXT_CMD_STATE <= IDLE; - end case; - end process CMD_DECODER; - -IDE_RES <= not nnIDE_RES and nRSTO; -IDE_CF_CS <= '1' when nFB_CS1 = '0' and FB_ADR(19 downto 7) = x"0" else '0'; -- FFF0'0000/80 -nCF_CS0 <= '0' when ACP_CONF(31) = '0' and FB_ADR(19 downto 5) = x"0" else -- FFFO'0000-FFF0'001F - '0' when ACP_CONF(31) = '1' and FB_ADR(19 downto 5) = x"2" else '1'; -- FFFO'0040-FFF0'005F -nCF_CS1 <= '0' when ACP_CONF(31) = '0' and FB_ADR(19 downto 5) = x"1" else -- FFF0'0020-FFF0'003F - '0' when ACP_CONF(31) = '1' and FB_ADR(19 downto 5) = x"3" else '1'; -- FFFO'0060-FFF0'007F -nIDE_CS0 <= '0' when ACP_CONF(30) = '0' and FB_ADR(19 downto 5) = x"2" else -- FFF0'0040-FFF0'005F - '0' when ACP_CONF(30) = '1' and FB_ADR(19 downto 5) = x"0" else '1'; -- FFFO'0000-FFF0'001F -nIDE_CS1 <= '0' when ACP_CONF(30) = '0' and FB_ADR(19 downto 5) = x"3" else -- FFF0'0060-FFF0'007F - '0' when ACP_CONF(30) = '1' and FB_ADR(19 downto 5) = x"1" else '1'; -- FFFO'0020-FFF0'003F ------------------------------------------------------------------------------------------------------------------------------------------ --- ACSI, SCSI UND FLOPPY WD1772 -------------------------------------------------------------------------------------------------------------------------------------------- --- daten read fifo - RDF: dcfifo0 - port map( - aclr => CLR_FIFO, - data => RDF_DIN, - rdclk => MAIN_CLK, - rdreq => RDF_RDE, - wrclk => FDC_CLK, - wrreq => RDF_WRE, - q => RDF_DOUT, - wrusedw => RDF_AZ - ); -FCF_CS <= '1' when nFB_CS2 = '0' and FB_ADR(26 downto 0) = x"0020110" and LONG = '1' else '0'; -- F002'0110 LONG ONLY -FCF_APH <= '1' when FB_ALE = '1' and FB_AD(31 downto 0) = x"F0020110" and LONG = '1' else '0'; -- ADRESSPHASE F0020110 LONG ONLY -RDF_RDE <= '1' when FCF_APH = '1' and nFB_WR = '1' else '0'; -- AKTIVIEREN IN ADRESSPHASE -FB_AD <= RDF_DOUT(7 downto 0) & RDF_DOUT(15 downto 8) & RDF_DOUT(23 downto 16) & RDF_DOUT(31 downto 24) when FCF_CS = '1' and nFB_OE = '0' else "ZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZ"; -RDF_DIN <= CD_OUT_FDC when DMA_MODUS(7) = '1' else SCSI_DOUT; --- daten write fifo - WRF: dcfifo1 - port map( - aclr => CLR_FIFO, - data => FB_AD(7 downto 0) & FB_AD(15 downto 8) & FB_AD(23 downto 16) & FB_AD(31 downto 24), - rdclk => FDC_CLK, - rdreq => WRF_RDE, - wrclk => MAIN_CLK, - wrreq => WRF_WRE, - q => WRF_DOUT, - rdusedw => WRF_AZ - ); -CD_IN_FDC <= WRF_DOUT when DMA_ACTIV = '1' and DMA_MODUS(8) = '1' else FB_ADI(7 downto 0); -- BEI DMA WRITE <-FIFO SONST <-FB -DMA_AZ_CS <= '1' when nFB_CS2 = '0' and FB_ADR(26 downto 0) = x"002010C" else '0'; -- F002'010C LONG -FB_AD <= DMA_DRQ_Q & DMA_DRQ_REG & IDE_INT & FDINT & SCSI_INT & RDF_AZ & "0" & DMA_STATUS & "00" & WRF_AZ when DMA_AZ_CS = '1' and nFB_OE = '0' else "ZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZ"; -DMA_DRQ_Q <= '1' when DMA_DRQ_REG = "11" and DMA_MODUS(6) = '0' else '0'; --- FIFO WRITE: GENAU 1 MAIN_CLK ------------------------------------------------------------------------- - process(MAIN_CLK, nRSTO, WRF_WRE, nFB_WR, FCF_APH) - begin - if nRSTO = '0' THEN - WRF_WRE <= '0'; - elsif rising_edge(MAIN_CLK) then - IF FCF_APH = '1' and nFB_WR = '0' then - WRF_WRE <= '1'; - else - WRF_WRE <= '0'; - end if; - else - WRF_WRE <= WRF_WRE; - end if; - END PROCESS; - -FCF_REG: process(nRSTO, FDC_CLK, FCF_STATE, NEXT_FCF_STATE, DMA_ACTIV) - begin - if nRSTO = '0' then - FCF_STATE <= FCF_IDLE; - DMA_ACTIV <= '0'; - elsif rising_edge(FDC_CLK) then - FCF_STATE <= NEXT_FCF_STATE; -- go to next - DMA_ACTIV <= DMA_ACTIV_NEW; - else - FCF_STATE <= FCF_STATE; -- halten - DMA_ACTIV <= DMA_ACTIV; - end if; - end process FCF_REG; - -FDC_REG: process(nRSTO, FDC_CLK, FDC_OUT, FDCS_In, CD_OUT_FDC) - begin - if nRSTO = '0' then - FDC_OUT <= x"00"; - elsif rising_edge(FDC_CLK) and FDCS_In = '0' then - FDC_OUT <= CD_OUT_FDC; -- set - else - FDC_OUT <= FDC_OUT; -- halten - end if; - end process FDC_REG; - -DMA_REQ <= '1' when ((DMA_DRQ_I = '1' and DMA_MODUS(7) = '1') or (SCSI_DRQ = '1' and DMA_MODUS(7) = '0')) and DMA_STATUS(1) = '1' and DMA_MODUS(6) = '0' and CLR_FIFO = '0' else '0'; -FDC_CS <= '1' when DMA_DATEN_CS = '1' and DMA_MODUS(4 downto 3) = "00" and FB_B1 = '1' else '0'; -SCSI_CS <= '1' when DMA_DATEN_CS = '1' and DMA_MODUS(4 downto 3) = "01" and FB_B1 = '1' else '0'; - - FCF_DECODER: process(FCF_STATE, NEXT_FCF_STATE, DMA_REQ,FDC_CS, RDF_WRE, WRF_RDE, SCSI_DRQ, nSCSI_DACK, DMA_MODUS, DMA_ACTIV, FDCS_In,SCSI_CS, SCSI_CSn) - begin - case FCF_STATE is - when FCF_IDLE => - SCSI_CSn <= '1'; - FDCS_In <= '1'; - RDF_WRE <= '0'; - WRF_RDE <= '0'; - nSCSI_DACK <= '1'; - if DMA_REQ = '1' or FDC_CS = '1' or SCSI_CS = '1' then - DMA_ACTIV_NEW <= DMA_REQ; - NEXT_FCF_STATE <= FCF_T0; - else - DMA_ACTIV_NEW <= '0'; - NEXT_FCF_STATE <= FCF_IDLE; - end if; - when FCF_T0 => - SCSI_CSn <= '1'; - FDCS_In <= '1'; - RDF_WRE <= '0'; - nSCSI_DACK <= '1'; - DMA_ACTIV_NEW <= DMA_REQ; - WRF_RDE <= DMA_MODUS(8) and DMA_REQ; -- WRITE -> READ FROM FIFO - if DMA_REQ = '0' and DMA_ACTIV = '1' THEN -- spike? - NEXT_FCF_STATE <= FCF_IDLE; -- ja -> zum start - else - NEXT_FCF_STATE <= FCF_T1; - end if; - when FCF_T1 => - RDF_WRE <= '0'; - WRF_RDE <= '0'; - DMA_ACTIV_NEW <= DMA_ACTIV; - SCSI_CSn <= not SCSI_CS; - FDCS_In <= DMA_MODUS(4) or DMA_MODUS(3); - nSCSI_DACK <= DMA_MODUS(7) and DMA_ACTIV; - NEXT_FCF_STATE <= FCF_T2; - when FCF_T2 => - RDF_WRE <= '0'; - WRF_RDE <= '0'; - DMA_ACTIV_NEW <= DMA_ACTIV; - SCSI_CSn <= not SCSI_CS; - FDCS_In <= DMA_MODUS(4) or DMA_MODUS(3); - nSCSI_DACK <= DMA_MODUS(7) and DMA_ACTIV; - NEXT_FCF_STATE <= FCF_T3; - when FCF_T3 => - RDF_WRE <= '0'; - WRF_RDE <= '0'; - DMA_ACTIV_NEW <= DMA_ACTIV; - SCSI_CSn <= not SCSI_CS; - FDCS_In <= DMA_MODUS(4) or DMA_MODUS(3); - nSCSI_DACK <= DMA_MODUS(7) and DMA_ACTIV; - NEXT_FCF_STATE <= FCF_T6; - when FCF_T6 => - WRF_RDE <= '0'; - DMA_ACTIV_NEW <= DMA_ACTIV; - SCSI_CSn <= not SCSI_CS; - FDCS_In <= DMA_MODUS(4) or DMA_MODUS(3); - nSCSI_DACK <= DMA_MODUS(7) and DMA_ACTIV; - RDF_WRE <= not DMA_MODUS(8) and DMA_ACTIV; -- READ -> WRITE IN FIFO - NEXT_FCF_STATE <= FCF_T7; - when FCF_T7 => - SCSI_CSn <= '1'; - FDCS_In <= '1'; - RDF_WRE <= '0'; - WRF_RDE <= '0'; - nSCSI_DACK <= '1'; - DMA_ACTIV_NEW <= '0'; - if FDC_CS = '1' and DMA_REQ = '0' then - NEXT_FCF_STATE <= FCF_T7; - else - NEXT_FCF_STATE <= FCF_IDLE; - end if; - end case; - end process FCF_DECODER; - - I_FDC: WF1772IP_TOP_SOC - port map( - CLK => FDC_CLK, - RESETn => nResetatio, - CSn => FDCS_In, - RWn => nFDC_WR, - A1 => CA2, - A0 => CA1, - DATA_IN => CD_IN_FDC, - DATA_OUT => CD_OUT_FDC, --- DATA_EN => CD_EN_FDC, - RDn => nRD_DATA, - TR00n => TRACK00, - IPn => nINDEX, - WPRTn => nWP, - DDEn => '0', -- Fixed to MFM. - HDTYPE => HD_DD_OUT, - MO => MOT_ON, - WG => WR_GATE, - WD => WR_DATA, - STEP => STEP, - DIRC => STEP_DIR, - DRQ => DMA_DRQ_I, - INTRQ => FDINT - ); -DMA_DATEN_CS <= '1' when nFB_CS1 = '0' and FB_ADR(19 downto 1) = x"7C302" else '0'; -- F8604/2 -DMA_MODUS_CS <= '1' when nFB_CS1 = '0' and FB_ADR(19 downto 1) = x"7C303" else '0'; -- F8606/2 -WDC_BSL_CS <= '1' when nFB_CS1 = '0' and FB_ADR(19 downto 1) = x"7C307" else '0'; -- F860E/2 -HD_DD_OUT <= HD_DD WHEN ACP_CONF(29) = '0' ELSE WDC_BSL(0); -nFDC_WR <= (not DMA_MODUS(8)) when DMA_ACTIV = '1' else nFB_WR; -CA0 <= '1' when DMA_ACTIV = '1' ELSE DMA_MODUS(0); -CA1 <= '1' when DMA_ACTIV = '1' ELSE DMA_MODUS(1); -CA2 <= '1' when DMA_ACTIV = '1' ELSE DMA_MODUS(2); -FB_AD(23 downto 16) <= "0000" & (not DMA_STATUS(1)) & "0" & WDC_BSL(1) & HD_DD when WDC_BSL_CS = '1' and nFB_OE = '0' else "ZZZZZZZZ"; -FB_AD(31 downto 24) <= "00000000" when DMA_DATEN_CS = '1' and nFB_OE = '0' else "ZZZZZZZZ"; -FB_AD(23 downto 16) <= FDC_OUT when DMA_DATEN_CS = '1' and DMA_MODUS(4 downto 3) = "00" and nFB_OE = '0' else - SCSI_DOUT when DMA_DATEN_CS = '1' and DMA_MODUS(4 downto 3) = "01" and nFB_OE = '0' else - DMA_BYT_CNT(16 downto 9) when DMA_DATEN_CS = '1' and DMA_MODUS(4) = '1' and nFB_OE = '0' else "ZZZZZZZZ"; ---- WDC BSL REGISTER ------------------------------------------------------- - process(MAIN_CLK, nRSTO, WDC_BSL_CS, WDC_BSL, nFB_WR, FB_B0, FB_B1) - begin - if nRSTO = '0' THEN - WDC_BSL <= "00"; - elsif rising_edge(MAIN_CLK) and WDC_BSL_CS = '1' and nFB_WR = '0' then - IF FB_B0 = '1' THEN - WDC_BSL(1 DOWNTO 0) <= FB_AD(25 DOWNTO 24); - else - WDC_BSL(1 DOWNTO 0) <= WDC_BSL(1 DOWNTO 0); - end if; - end if; - END PROCESS; ---- DMA MODUS REGISTER ------------------------------------------------------- - process(MAIN_CLK, nRSTO, DMA_MODUS_CS, DMA_MODUS, nFB_WR, FB_B0, FB_B1) - begin - if nRSTO = '0' THEN - DMA_MODUS <= x"0000"; - elsif rising_edge(MAIN_CLK) and DMA_MODUS_CS = '1' and nFB_WR = '0' then - IF FB_B0 = '1' THEN - DMA_MODUS(15 downto 8) <= FB_AD(31 downto 24); - else - DMA_MODUS(15 downto 8) <= DMA_MODUS(15 downto 8); - end if; - IF FB_B1 = '1' THEN - DMA_MODUS(7 downto 0) <= FB_AD(23 downto 16); - else - DMA_MODUS(7 downto 0) <= DMA_MODUS(7 downto 0); - end if; - else - DMA_MODUS <= DMA_MODUS; - end if; - END PROCESS; --- BYT COUNTER, SECTOR COUNTER ---------------------------------------------------- - process(MAIN_CLK, nRSTO, DMA_DATEN_CS, DMA_BYT_CNT_CS, DMA_BYT_CNT, nFB_WR, FB_B0, FB_B1, DMA_MODUS, CLR_FIFO) - begin - if nRSTO = '0' or CLR_FIFO = '1' THEN - DMA_BYT_CNT <= x"00000000"; - elsif rising_edge(MAIN_CLK) and nFB_WR = '0' and DMA_DATEN_CS = '1' and nFB_WR = '0' and DMA_MODUS(4) = '1' and FB_B1 = '1' then - DMA_BYT_CNT(31 downto 17) <= "000000000000000"; - DMA_BYT_CNT(16 downto 9) <= FB_AD(23 downto 16); - DMA_BYT_CNT(8 downto 0) <= "000000000"; - elsif rising_edge(MAIN_CLK) and nFB_WR = '0' and DMA_BYT_CNT_CS = '1' then - DMA_BYT_CNT <= FB_AD; - else - DMA_BYT_CNT <= DMA_BYT_CNT; - end if; - END PROCESS; --------------------------------------------------------------------- -FB_AD(31 downto 16) <= "0000000000000" & DMA_STATUS when DMA_MODUS_CS = '1' and nFB_OE = '0' else "ZZZZZZZZZZZZZZZZ"; -DMA_STATUS(0) <= '1'; -- DMA OK -DMA_STATUS(1) <= '1' when DMA_BYT_CNT /= 0 and DMA_BYT_CNT(31) = '0' else '0'; -- WENN byts UND NICHT MINUS -DMA_STATUS(2) <= '0' when DMA_DRQ_I = '1' or SCSI_DRQ = '1' else '0'; -DMA_DRQQ <= '1' when DMA_STATUS(1) = '1' and DMA_MODUS(8) = '0' and RDF_AZ > 15 and DMA_MODUS(6) = '0' else - '1' when DMA_STATUS(1) = '1' and DMA_MODUS(8) = '1' and WRF_AZ < 512 and DMA_MODUS(6) = '0' else '0'; -DMA_DRQ <= '1' when DMA_DRQ_REG = "11" and DMA_MODUS(6) = '0' else '0'; --- DMA REQUEST: SPIKES AUSFILTERN ------------------------------------------ - process(FDC_CLK, nRSTO, DMA_DRQ_REG) - begin - if nRSTO = '0' THEN - DMA_DRQ_REG <= "00"; - elsif rising_edge(FDC_CLK) then - DMA_DRQ_REG(0) <= DMA_DRQQ; - DMA_DRQ_REG(1) <= DMA_DRQ_REG(0) and DMA_DRQQ; - else - DMA_DRQ_REG <= DMA_DRQ_REG; - end if; - END PROCESS; --- DMA ADRESSE ------------------------------------------------------ - process(MAIN_CLK, nRSTO, DMA_TOP_CS, DMA_TOP, nFB_WR, DMA_ADR_CS) - begin - if nRSTO = '0' THEN - DMA_TOP <= x"00"; - elsif rising_edge(MAIN_CLK) and nFB_WR = '0' and (DMA_TOP_CS = '1' or DMA_ADR_CS = '1') then - DMA_TOP <= FB_AD(31 downto 24); - else - DMA_TOP <= DMA_TOP; - end if; - END PROCESS; - process(MAIN_CLK, nRSTO, DMA_HIGH_CS, DMA_HIGH, nFB_WR, DMA_ADR_CS) - begin - if nRSTO = '0' THEN - DMA_HIGH <= x"00"; - elsif rising_edge(MAIN_CLK) and nFB_WR = '0' and (DMA_HIGH_CS = '1' or DMA_ADR_CS = '1') then - DMA_HIGH <= FB_AD(23 downto 16); - else - DMA_HIGH <= DMA_HIGH; - end if; - END PROCESS; - process(MAIN_CLK, nRSTO, DMA_MID_CS, DMA_MID, nFB_WR) - begin - DMA_MID <= DMA_MID; - if nRSTO = '0' THEN - DMA_MID <= x"00"; - elsif rising_edge(MAIN_CLK) and nFB_WR = '0' then - if DMA_MID_CS = '1' then - DMA_MID <= FB_AD(23 downto 16); - elsif DMA_ADR_CS = '1' then - DMA_MID <= FB_AD(15 downto 8); - end if; - end if; - END PROCESS; - process(MAIN_CLK, nRSTO, DMA_LOW_CS, DMA_LOW, nFB_WR) - begin - DMA_LOW <= DMA_LOW; - if nRSTO = '0' THEN - DMA_LOW <= x"00"; - elsif rising_edge(MAIN_CLK) and nFB_WR = '0' then - if DMA_LOW_CS = '1'then - DMA_LOW <= FB_AD(23 downto 16); - elsif DMA_ADR_CS = '1' then - DMA_LOW <= FB_AD(7 downto 0); - end if; - end if; - END PROCESS; --------------------------------------------------------------------------------------------- -DMA_TOP_CS <= '1' when nFB_CS1 = '0' and FB_ADR(19 downto 1) = x"7C304" and FB_B0 = '1' else '0'; -- F8608/2 -DMA_HIGH_CS <= '1' when nFB_CS1 = '0' and FB_ADR(19 downto 1) = x"7C304" and FB_B1 = '1' else '0'; -- F8609/2 -DMA_MID_CS <= '1' when nFB_CS1 = '0' and FB_ADR(19 downto 1) = x"7C305" and FB_B1 = '1' else '0'; -- F860B/2 -DMA_LOW_CS <= '1' when nFB_CS1 = '0' and FB_ADR(19 downto 1) = x"7C306" and FB_B1 = '1' else '0'; -- F860D/2 -FB_AD(31 downto 24) <= DMA_TOP when DMA_TOP_CS = '1' and nFB_OE = '0' else "ZZZZZZZZ"; -FB_AD(23 downto 16) <= DMA_HIGH when DMA_HIGH_CS = '1' and nFB_OE = '0' else "ZZZZZZZZ"; -FB_AD(23 downto 16) <= DMA_MID when DMA_MID_CS = '1' and nFB_OE = '0' else "ZZZZZZZZ"; -FB_AD(23 downto 16) <= DMA_LOW when DMA_LOW_CS = '1' and nFB_OE = '0' else "ZZZZZZZZ"; --- DIRECTZUGRIFF -DMA_DIRM_CS <= '1' when nFB_CS2 = '0' and FB_ADR(26 downto 0) = x"20100" else '0'; -- F002'0100 WORD -DMA_ADR_CS <= '1' when nFB_CS2 = '0' and FB_ADR(26 downto 0) = x"20104" else '0'; -- F002'0104 LONG -DMA_BYT_CNT_CS <= '1' when nFB_CS2 = '0' and FB_ADR(26 downto 0) = x"20108" else '0'; -- F002'0108 LONG -FB_AD <= DMA_TOP & DMA_HIGH & DMA_MID & DMA_LOW when DMA_ADR_CS = '1' and nFB_OE = '0' else "ZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZ"; -FB_AD(31 downto 16) <= DMA_MODUS when DMA_DIRM_CS = '1' and nFB_OE = '0' else "ZZZZZZZZZZZZZZZZ"; -FB_AD <= DMA_BYT_CNT when DMA_BYT_CNT_CS = '1' and nFB_OE = '0' else "ZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZ"; --- DMA RW TOGGLE ------------------------------------------ - process(MAIN_CLK, nRSTO, DMA_MODUS_CS, DMA_MODUS, DMA_DIR_OLD) - begin - if nRSTO = '0' THEN - DMA_DIR_OLD <= '0'; - elsif rising_edge(MAIN_CLK) and DMA_MODUS_CS = '0' then - DMA_DIR_OLD <= DMA_MODUS(8); - else - DMA_DIR_OLD <= DMA_DIR_OLD; - end if; - END PROCESS; -CLR_FIFO <= DMA_MODUS(8) xor DMA_DIR_OLD; --- SCSI ---------------------------------------------------------------------------------- - I_SCSI: WF5380_TOP_SOC - port map( - CLK => FDC_CLK, - RESETn => nResetatio, - ADR => CA2 & CA1 & CA0, - DATA_IN => CD_IN_FDC, - DATA_OUT => SCSI_DOUT, - --DATA_EN : out bit; - -- Bus and DMA controls: - CSn => SCSI_CSn, - RDn => (not nFDC_WR) or (not SCSI_CS), - WRn => nFDC_WR or (not SCSI_CS), - EOPn => '1', - DACKn => nSCSI_DACK, - DRQ => SCSI_DRQ, - INT => SCSI_INT, --- READY => - -- SCSI bus: - DB_INn => SCSI_D, - DB_OUTn => DB_OUTn, - DB_EN => DB_EN, - DBP_INn => SCSI_PAR, - DBP_OUTn => DBP_OUTn, - DBP_EN => DBP_EN, -- wenn 1 dann output - RST_INn => nSCSI_RST, - RST_OUTn => RST_OUTn, - RST_EN => RST_EN, - BSY_INn => nSCSI_BUSY, - BSY_OUTn => BSY_OUTn, - BSY_EN => BSY_EN, - SEL_INn => nSCSI_SEL, - SEL_OUTn => SEL_OUTn, - SEL_EN => SEL_EN, - ACK_INn => '1', - ACK_OUTn => nSCSI_ACK, --- ACK_EN => ACK_EN, - ATN_INn => '1', - ATN_OUTn => nSCSI_ATN, --- ATN_EN => ATN_EN, - REQ_INn => nSCSI_DRQ, --- REQ_OUTn => REQ_OUTn, --- REQ_EN => REQ_EN, - IOn_IN => nSCSI_I_O, --- IOn_OUT => IOn_OUT, --- IO_EN => IO_EN, - CDn_IN => nSCSI_C_D, --- CDn_OUT => CDn_OUT, --- CD_EN => CD_EN, - MSG_INn => nSCSI_MSG --- MSG_OUTn => MSG_OUTn, --- MSG_EN => MSG_EN - ); --- SCSI ACSI --------------------------------------------------------------- -SCSI_D <= "ZZZZZZZZ";--DB_OUTn when DB_EN = '1' else "ZZZZZZZZ"; -SCSI_DIR <= '1';-- when DB_EN = '1' else '1'; -SCSI_PAR <= DBP_OUTn when DBP_EN = '1' else 'Z'; -nSCSI_RST <= 'Z';--RST_OUTn when RST_EN = '1' else 'Z'; -nSCSI_BUSY <= 'Z';--BSY_OUTn when BSY_EN = '1' else 'Z'; -nSCSI_SEL <= 'Z';--SEL_OUTn when SEL_EN = '1' else 'Z'; -ACSI_DIR <= '0'; -ACSI_D <= "ZZZZZZZZ"; -nACSI_CS <= '1'; -ACSI_A1 <= CA1; -nACSI_RESET <= nRSTO; -nACSI_ACK <= '1'; -nResetatio <= '0' when nRSTO = '0' or ACP_CONF(24) = '1' else '1'; ----------------------------------------------------------------------------- --- ROM-PORT TA KOMMT FROM DEFAULT TA = 16 BUSCYCLEN = 500ns ----------------------------------------------------------------------------- -ROM_CS <= '1' when nFB_CS1 = '0' and nFB_WR = '1' and FB_ADR(19 downto 17) = x"5" else '0'; -- FFF A'0000/2'0000 -nROM4 <= '0' when ROM_CS = '1' and FB_ADR(16) = '0' else '1'; -nROM3 <= '0' when ROM_CS = '1' and FB_ADR(16) = '1' else '1'; ----------------------------------------------------------------------------- --- ACIA KEYBOARD ----------------------------------------------------------------------------- - I_ACIA_KEYBOARD: WF6850IP_TOP_SOC - port map( - CLK => MAIN_CLK, - RESETn => nResetatio, - - CS2n => FB_ADR(2), - CS1 => '1', - CS0 => ACIA_CS_I, - E => ACIA_CS_I, - RWn => nFB_WR, - RS => FB_ADR(1), - - DATA_IN => FB_ADI(15 downto 8), - DATA_OUT => DATA_OUT_ACIA_I, --- DATA_EN => DATA_EN_ACIA_I, - - TXCLK => CLK500k, - RXCLK => CLK500k, - RXDATA => KEYB_RxD, - - CTSn => '0', - DCDn => '0', - - IRQn => IRQ_KEYBDn, - TXDATA => AMKB_TX_sync - --RTSn => -- Not used. - ); -ACIA_CS_I <= '1' when nFB_CS1 = '0'and FB_ADR(19 downto 3) = x"1FF80" else '0'; -- FFC00-FFC07 FFC00/8 -KEYB_RxD <= '0' when AMKB_REG(3) = '0' or PIC_AMKB_RX = '0' else '1'; -- TASTATUR DATEN VOM PIC(PS2) OR NORMAL // -FB_AD(31 downto 24) <= DATA_OUT_ACIA_I when ACIA_CS_I = '1' and FB_ADR(2) = '0' and nFB_OE = '0' else - DATA_OUT_ACIA_II when ACIA_CS_I = '1' and FB_ADR(2) = '1' and nFB_OE = '0' else "ZZZZZZZZ"; --- AMKB_TX: SPIKES AUSFILTERN und sychronisieren ------------------------------------------ - process(CLK2M, AMKB_RX, AMKB_REG) - begin - if rising_edge(CLK500k) then - AMKB_TX <= AMKB_TX_sync; - IF AMKB_RX = '0' THEN - IF AMKB_REG < 8 THEN - AMKB_REG <= "0000"; - ELSE - AMKB_REG <= AMKB_REG - 1; - END IF; - ELSE - IF AMKB_REG > 7 THEN - AMKB_REG <= "1111"; - ELSE - AMKB_REG <= AMKB_REG + 1; - END IF; - END IF; - ELSE - AMKB_TX <= AMKB_TX; - AMKB_REG <= AMKB_REG; - end if; - END PROCESS; --- acia interrupt ------------------------------------------ -acia_irq <= '0' when IRQ_KEYBDn = '0' or IRQ_MIDIn = '0' else '1'; ----------------------------------------------------------------------------- --- ACIA MIDI ----------------------------------------------------------------------------- - I_ACIA_MIDI: WF6850IP_TOP_SOC - port map( - CLK => MAIN_CLK, - RESETn => nResetatio, - - CS2n => '0', - CS1 => FB_ADR(2), - CS0 => ACIA_CS_I, - E => ACIA_CS_I, - RWn => nFB_WR, - RS => FB_ADR(1), - - DATA_IN => FB_ADI(15 downto 8), - DATA_OUT => DATA_OUT_ACIA_II, --- DATA_EN => DATA_EN_ACIA_II, - - TXCLK => CLK500k, - RXCLK => CLK500k, - RXDATA => MIDI_IN, - CTSn => '0', - DCDn => '0', - - IRQn => IRQ_MIDIn, - TXDATA => MIDI_OUT - --RTSn => -- Not used. - ); -MIDI_TLR <= MIDI_IN; -MIDI_OLR <= MIDI_OUT; ----------------------------------------------------------------------------- --- MFP ----------------------------------------------------------------------------- - I_MFP: WF68901IP_TOP_SOC - port map( - -- System control: - CLK => not MAIN_CLK, - RESETn => nResetatio, - -- Asynchronous bus control: - DSn => not LDS, - CSn => not MFP_CS, - RWn => nFB_WR, - DTACKn => DTACK_OUT_MFPn, - -- Data and Adresses: - RS => FB_ADR(5 downto 1), - DATA_IN => FB_AD(23 downto 16), - DATA_OUT => DATA_OUT_MFP, --- DATA_EN => DATA_EN_MFP, - GPIP_IN(7) => not DMA_DRQ_Q, - GPIP_IN(6) => not RI, - GPIP_IN(5) => DINTn, - GPIP_IN(4) => acia_irq, - GPIP_IN(3) => DSP_INT, - GPIP_IN(2) => not CTS, - GPIP_IN(1) => not DCD, - GPIP_IN(0) => LP_BUSY, - -- GPIP_OUT =>, -- Not used; all GPIPs are direction input. - -- GPIP_EN =>, -- Not used; all GPIPs are direction input. - -- Interrupt control: - IACKn => not MFP_INTACK, - IEIn => '0', - -- IEOn =>, -- Not used. - IRQn => nMFP_INT, - -- Timers and timer control: - XTAL1 => CLK2M4576, - TAI => '0', - TBI => nBLANK, - -- TAO =>, - -- TBO =>, - -- TCO =>, - TDO => TDO, - -- Serial I/O control: - RC => TDO, - TC => TDO, - SI => RxD, - SO => TxD - -- SO_EN => MFP_SO_EN - -- DMA control: - -- RRn =>, - -- TRn => - ); - -MFP_CS <= '1' when nFB_CS1 = '0' and FB_ADR(19 downto 6) = x"3FE8" else '0'; -- FFA00/40 -MFP_INTACK <= '1' when nFB_CS2 = '0' and FB_ADR(26 downto 0) = x"20000" else '0'; --F002'0000 -LDS <= '1' when MFP_CS = '1' or MFP_INTACK = '1' else '0'; -FB_AD(23 downto 16) <= DATA_OUT_MFP when MFP_CS = '1' and nFB_OE = '0' else "ZZZZZZZZ"; -FB_AD(31 downto 10) <= "0000000000000000000000" when MFP_INTACK = '1' and nFB_OE = '0' else "ZZZZZZZZZZZZZZZZZZZZZZ"; -FB_AD(9 downto 2) <= DATA_OUT_MFP when MFP_INTACK = '1' and nFB_OE = '0' else "ZZZZZZZZ"; -FB_AD(1 downto 0) <= "00" when MFP_INTACK = '1' and nFB_OE = '0' else "ZZ"; -DINTn <= '0' when IDE_INT = '1' AND ACP_CONF(28) = '1' else - '0' when FDINT = '1' else - '0' when SCSI_INT = '1' AND ACP_CONF(28) = '1' else '1'; - ---------------------------------------------------------------------------- --- Sound ----------------------------------------------------------------------------- - I_SOUND: WF2149IP_TOP_SOC - port map( - SYS_CLK => not MAIN_CLK, - RESETn => nResetatio, - - WAV_CLK => CLK2M, - SELn => '1', - - BDIR => SNDIR_I, - BC2 => '1', - BC1 => SNDCS_I, - - A9n => '0', - A8 => '1', - DA_IN => FB_ADI(15 downto 8), - DA_OUT => DA_OUT_X, - - IO_A_IN => SND_A, - IO_A_OUT => SND_A_X, - -- IO_A_EN =>, -- Not required. - IO_B_IN => LP_D, - IO_B_OUT => LP_D_X, - -- IO_B_EN => IO_B_EN, - - OUT_A => YM_QA, - OUT_B => YM_QB, - OUT_C => YM_QC - ); - -SNDCS <= '1' when nFB_CS1 = '0' and FB_ADR(19 downto 2) = x"3E200" else '0'; -- 8800-8803 F8800/4 -SNDCS_I <= '1' when SNDCS = '1' and FB_ADR (1 downto 1) = "0" else '0'; -SNDIR_I <= '1' when SNDCS = '1' and nFB_WR = '0' else '0'; -FB_AD(31 downto 24) <= DA_OUT_X when SNDCS_I = '1' and nFB_OE = '0' else "ZZZZZZZZ"; -nnIDE_RES <= SND_A_X(7); -LP_DIR_X <= SND_A_X(6); -LP_STR <= SND_A_X(5); -DTR <= SND_A_X(4); -RTS <= SND_A_X(3); --- FDD_D1SEL <= SND_A_X(2) -DSA_D <= SND_A_X(1); -nSDSEL <= SND_A_X(0); -LP_D <= LP_D_X when LP_DIR_X = '0' else "ZZZZZZZZ"; -LP_DIR <= LP_DIR_X; - - ----------------------------------------------------------------------------- --- DMA Sound register ----------------------------------------------------------------------------- - -dma_snd_cs <= '1' when nFB_CS1 = '0' and FB_ADR(19 downto 6) = x"3E24" else '0'; -- F8900-F893F - - process(nRSTO,MAIN_CLK,FB_ADR(5 downto 1), dma_snd_cs) - begin - if nRSTO = '0' THEN - sndmactl <= x"00"; - elsif rising_edge(MAIN_CLK) and dma_snd_cs = '1' and FB_ADR(5 downto 1) = x"0" and nFB_WR = '0' and FB_B1 ='1' then - sndmactl <= FB_AD(23 downto 16); - else - sndmactl <= sndmactl; - end if; - END PROCESS; -FB_AD(23 downto 16) <= sndmactl when dma_snd_cs = '1' and FB_ADR(5 downto 1) = x"0" and nFB_OE = '0' else "ZZZZZZZZ"; - - process(nRSTO,MAIN_CLK,FB_ADR(5 downto 1), dma_snd_cs) - begin - if nRSTO = '0' THEN - sndbashi <= x"00"; - elsif rising_edge(MAIN_CLK) and dma_snd_cs = '1' and FB_ADR(5 downto 1) = x"1" and nFB_WR = '0' and FB_B1 ='1' then - sndbashi <= FB_AD(23 downto 16); - else - sndbashi <= sndbashi; - end if; - END PROCESS; -FB_AD(23 downto 16) <= sndbashi when dma_snd_cs = '1' and FB_ADR(5 downto 1) = x"1" and nFB_OE = '0' else "ZZZZZZZZ"; - - process(nRSTO,MAIN_CLK,FB_ADR(5 downto 1), dma_snd_cs) - begin - if nRSTO = '0' THEN - sndbasmi <= x"00"; - elsif rising_edge(MAIN_CLK) and dma_snd_cs = '1' and FB_ADR(5 downto 1) = x"2" and nFB_WR = '0' and FB_B1 ='1' then - sndbasmi <= FB_AD(23downto 16); - else - sndbasmi <= sndbasmi; - end if; - END PROCESS; -FB_AD(23 downto 16) <= sndbasmi when dma_snd_cs = '1' and FB_ADR(5 downto 1) = x"2" and nFB_OE = '0' else "ZZZZZZZZ"; - - process(nRSTO,MAIN_CLK,FB_ADR(5 downto 1), dma_snd_cs) - begin - if nRSTO = '0' THEN - sndbaslo <= x"00"; - elsif rising_edge(MAIN_CLK) and dma_snd_cs = '1' and FB_ADR(5 downto 1) = x"3" and nFB_WR = '0' and FB_B1 ='1' then - sndbaslo <= FB_AD(23 downto 16); - else - sndbaslo <= sndbaslo; - end if; - END PROCESS; -FB_AD(23 downto 16) <= sndbaslo when dma_snd_cs = '1' and FB_ADR(5 downto 1) = x"3" and nFB_OE = '0' else "ZZZZZZZZ"; - - process(nRSTO,MAIN_CLK,FB_ADR(5 downto 1), dma_snd_cs) - begin - if nRSTO = '0' THEN - sndadrhi <= x"00"; - elsif rising_edge(MAIN_CLK) and dma_snd_cs = '1' and FB_ADR(5 downto 1) = x"4" and nFB_WR = '0' and FB_B1 ='1' then - sndadrhi <= FB_AD(23 downto 16); - else - sndadrhi <= sndadrhi; - end if; - END PROCESS; -FB_AD(23 downto 16) <= sndadrhi when dma_snd_cs = '1' and FB_ADR(5 downto 1) = x"4" and nFB_OE = '0' else "ZZZZZZZZ"; - - process(nRSTO,MAIN_CLK,FB_ADR(5 downto 1), dma_snd_cs) - begin - if nRSTO = '0' THEN - sndadrmi <= x"00"; - elsif rising_edge(MAIN_CLK) and dma_snd_cs = '1' and FB_ADR(5 downto 1) = x"5" and nFB_WR = '0' and FB_B1 ='1' then - sndadrmi <= FB_AD(23 downto 16); - else - sndadrmi <= sndadrmi; - end if; - END PROCESS; -FB_AD(23 downto 16) <= sndadrmi when dma_snd_cs = '1' and FB_ADR(5 downto 1) = x"5" and nFB_OE = '0' else "ZZZZZZZZ"; - - process(nRSTO,MAIN_CLK,FB_ADR(5 downto 1), dma_snd_cs) - begin - if nRSTO = '0' THEN - sndadrlo <= x"00"; - elsif rising_edge(MAIN_CLK) and dma_snd_cs = '1' and FB_ADR(5 downto 1) = x"6" and nFB_WR = '0' and FB_B1 ='1' then - sndadrlo <= FB_AD(23 downto 16); - else - sndadrlo <= sndadrlo; - end if; - END PROCESS; -FB_AD(23 downto 16) <= sndadrlo when dma_snd_cs = '1' and FB_ADR(5 downto 1) = x"6" and nFB_OE = '0' else "ZZZZZZZZ"; - - process(nRSTO,MAIN_CLK,FB_ADR(5 downto 1), dma_snd_cs) - begin - if nRSTO = '0' THEN - sndendhi <= x"00"; - elsif rising_edge(MAIN_CLK) and dma_snd_cs = '1' and FB_ADR(5 downto 1) = x"7" and nFB_WR = '0' and FB_B1 ='1' then - sndendhi <= FB_AD(23 downto 16); - else - sndendhi <= sndendhi; - end if; - END PROCESS; -FB_AD(23 downto 16) <= sndendhi when dma_snd_cs = '1' and FB_ADR(5 downto 1) = x"7" and nFB_OE = '0' else "ZZZZZZZZ"; - - process(nRSTO,MAIN_CLK,FB_ADR(5 downto 1), dma_snd_cs) - begin - if nRSTO = '0' THEN - sndendmi <= x"00"; - elsif rising_edge(MAIN_CLK) and dma_snd_cs = '1' and FB_ADR(5 downto 1) = x"8" and nFB_WR = '0' and FB_B1 ='1' then - sndendmi <= FB_AD(23 downto 16); - else - sndendmi <= sndendmi; - end if; - END PROCESS; -FB_AD(23 downto 16) <= sndendmi when dma_snd_cs = '1' and FB_ADR(5 downto 1) = x"8" and nFB_OE = '0' else "ZZZZZZZZ"; - - process(nRSTO,MAIN_CLK,FB_ADR(5 downto 1), dma_snd_cs) - begin - if nRSTO = '0' THEN - sndendlo <= x"00"; - elsif rising_edge(MAIN_CLK) and dma_snd_cs = '1' and FB_ADR(5 downto 1) = x"9" and nFB_WR = '0' and FB_B1 ='1' then - sndendlo <= FB_AD(23 downto 16); - else - sndendlo <= sndendlo; - end if; - END PROCESS; -FB_AD(23 downto 16) <= sndendlo when dma_snd_cs = '1' and FB_ADR(5 downto 1) = x"9" and nFB_OE = '0' else "ZZZZZZZZ"; - - process(nRSTO,MAIN_CLK,FB_ADR(5 downto 1), dma_snd_cs) - begin - if nRSTO = '0' THEN - sndmode <= x"00"; - elsif rising_edge(MAIN_CLK) and dma_snd_cs = '1' and FB_ADR(5 downto 1) = x"10" and nFB_WR = '0' and FB_B1 ='1' then - sndmode <= FB_AD(23 downto 16); - else - sndmode <= sndmode; - end if; - END PROCESS; -FB_AD(23 downto 16) <= sndmode when dma_snd_cs = '1' and FB_ADR(5 downto 1) = x"10" and nFB_OE = '0' else "ZZZZZZZZ"; - ----------------------------------------------------------------------------- --- Paddle ----------------------------------------------------------------------------- - -paddle_cs <= '1' when nFB_CS1 = '0' and FB_ADR(19 downto 6) = x"3E48" else '0'; -- F9200-F923F - -FB_AD(31 downto 16) <= x"bfff" when paddle_cs = '1' and FB_ADR(5 downto 1) = x"0" and nFB_OE = '0' else "ZZZZZZZZZZZZZZZZ"; -FB_AD(31 downto 16) <= x"ffff" when paddle_cs = '1' and FB_ADR(5 downto 1) = x"1" and nFB_OE = '0' else "ZZZZZZZZZZZZZZZZ"; -FB_AD(31 downto 16) <= x"ffff" when paddle_cs = '1' and FB_ADR(5 downto 1) = x"8" and nFB_OE = '0' else "ZZZZZZZZZZZZZZZZ"; -FB_AD(31 downto 16) <= x"ffff" when paddle_cs = '1' and FB_ADR(5 downto 1) = x"9" and nFB_OE = '0' else "ZZZZZZZZZZZZZZZZ"; -FB_AD(31 downto 16) <= x"ffff" when paddle_cs = '1' and FB_ADR(5 downto 1) = x"A" and nFB_OE = '0' else "ZZZZZZZZZZZZZZZZ"; -FB_AD(31 downto 16) <= x"ffff" when paddle_cs = '1' and FB_ADR(5 downto 1) = x"B" and nFB_OE = '0' else "ZZZZZZZZZZZZZZZZ"; -FB_AD(31 downto 16) <= x"0000" when paddle_cs = '1' and FB_ADR(5 downto 1) = x"10" and nFB_OE = '0' else "ZZZZZZZZZZZZZZZZ"; -FB_AD(31 downto 16) <= x"0000" when paddle_cs = '1' and FB_ADR(5 downto 1) = x"11" and nFB_OE = '0' else "ZZZZZZZZZZZZZZZZ"; - -END FalconIO_SDCard_IDE_CF_architecture; diff --git a/FPGA_by_Fredi/FalconIO_SDCard_IDE_CF/FalconIO_SDCard_IDE_CF_pgk.vhd.bak b/FPGA_by_Fredi/FalconIO_SDCard_IDE_CF/FalconIO_SDCard_IDE_CF_pgk.vhd.bak deleted file mode 100644 index 4f42cf2..0000000 --- a/FPGA_by_Fredi/FalconIO_SDCard_IDE_CF/FalconIO_SDCard_IDE_CF_pgk.vhd.bak +++ /dev/null @@ -1,406 +0,0 @@ ----------------------------------------------------------------------- ----- ---- ----- Atari Coldfire IP Core ---- ----- ---- ----- This file is part of the Atari Coldfire project. ---- ----- http://www.experiment-s.de ---- ----- ---- ----- Description: ---- ----- ---- ----- ---- ----- ---- ----- ---- ----- ---- ----- Author(s): ---- ----- - Wolfgang Foerster, wf@experiment-s.de; wf@inventronik.de ---- ----- ---- ----------------------------------------------------------------------- ----- ---- ----- Copyright (C) 2009 Wolfgang Foerster ---- ----- ---- ----- This source file may be used and distributed without ---- ----- restriction provided that this copyright statement is not ---- ----- removed from the file and that any derivative work contains ---- ----- the original copyright notice and the associated disclaimer. ---- ----- ---- ----- This source file is free software; you can redistribute it ---- ----- and/or modify it under the terms of the GNU Lesser General ---- ----- Public License as published by the Free Software Foundation; ---- ----- either version 2.1 of the License, or (at your option) any ---- ----- later version. ---- ----- ---- ----- This source is distributed in the hope that it will be ---- ----- useful, but WITHOUT ANY WARRANTY; without even the implied ---- ----- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ---- ----- PURPOSE. See the GNU Lesser General Public License for more ---- ----- details. ---- ----- ---- ----- You should have received a copy of the GNU Lesser General ---- ----- Public License along with this source; if not, download it ---- ----- from http://www.gnu.org/licenses/lgpl.html ---- ----- ---- ----------------------------------------------------------------------- --- --- Revision History --- 1.0 Initial Release, 20090925. --- - -library ieee; -use ieee.std_logic_1164.all; - -package FalconIO_SDCard_IDE_CF_PKG is - component WF25915IP_TOP_V1_SOC -- GLUE. - port ( - -- Clock system: - GL_CLK : in std_logic; -- Originally 8MHz. - GL_CLK_016 : in std_logic; -- One sixteenth of GL_CLK. - - -- Core address select: - GL_ROMSEL_FC_E0n : in std_logic; - EN_RAM_14MB : in std_logic; - -- Adress decoder outputs: - GL_ROM_6n : out std_logic; -- STE. - GL_ROM_5n : out std_logic; -- STE. - GL_ROM_4n : out std_logic; -- ST. - GL_ROM_3n : out std_logic; -- ST. - GL_ROM_2n : out std_logic; - GL_ROM_1n : out std_logic; - GL_ROM_0n : out std_logic; - - GL_ACIACS : out std_logic; - GL_MFPCSn : out std_logic; - GL_SNDCSn : out std_logic; - GL_FCSn : out std_logic; - - GL_STE_SNDCS : out std_logic; -- STE: Sound chip select. - GL_STE_SNDIR : out std_logic; -- STE: Data flow direction control. - - GL_STE_RTCCSn : out std_logic; --STE only. - GL_STE_RTC_WRn : out std_logic; --STE only. - GL_STE_RTC_RDn : out std_logic; --STE only. - - -- 6800 peripheral control, - GL_VPAn : out std_logic; - GL_VMAn : in std_logic; - - GL_DMA_SYNC : in std_logic; - GL_DEVn : out std_logic; - GL_RAMn : out std_logic; - GL_DMAn : out std_logic; - - -- Interrupt system: - -- Comment out GL_AVECn for CPUs which do not provide the VMAn signal. - GL_AVECn : out std_logic; - GL_STE_FDINT : in std_logic; -- Floppy disk interrupt; STE only. - GL_STE_HDINTn : in std_logic; -- Hard disk interrupt; STE only. - GL_MFPINTn : in std_logic; -- ST. - GL_STE_EINT3n : in std_logic; --STE only. - GL_STE_EINT5n : in std_logic; --STE only. - GL_STE_EINT7n : in std_logic; --STE only. - GL_STE_DINTn : out std_logic; -- Disk interrupt (floppy or hard disk); STE only. - GL_IACKn : out std_logic; -- ST. - GL_STE_IPL2n : out std_logic; --STE only. - GL_STE_IPL1n : out std_logic; --STE only. - GL_STE_IPL0n : out std_logic; --STE only. - - -- Video timing: - GL_BLANKn : out std_logic; - GL_DE : out std_logic; - GL_MULTISYNC : in std_logic_vector(3 downto 2); - GL_VIDEO_HIMODE : out std_logic; - GL_HSYNC_INn : in std_logic; - GL_HSYNC_OUTn : out std_logic; - GL_VSYNC_INn : in std_logic; - GL_VSYNC_OUTn : out std_logic; - GL_SYNC_OUT_EN : out std_logic; - - -- Bus arstd_logicration control: - GL_RDY_INn : in std_logic; - GL_RDY_OUTn : out std_logic; - GL_BRn : out std_logic; - GL_BGIn : in std_logic; - GL_BGOn : out std_logic; - GL_BGACK_INn : in std_logic; - GL_BGACK_OUTn : out std_logic; - - -- Adress and data bus: - GL_ADDRESS : in std_logic_vector(23 downto 1); - -- ST: put the data bus to 1 downto 0. - -- STE: put the data out bus to 15 downto 0. - GL_DATA_IN : in std_logic_vector(7 downto 0); - GL_DATA_OUT : out std_logic_vector(15 downto 0); - GL_DATA_EN : out std_logic; - - -- Asynchronous bus control: - GL_RWn_IN : in std_logic; - GL_RWn_OUT : out std_logic; - GL_AS_INn : in std_logic; - GL_AS_OUTn : out std_logic; - GL_UDS_INn : in std_logic; - GL_UDS_OUTn : out std_logic; - GL_LDS_INn : in std_logic; - GL_LDS_OUTn : out std_logic; - GL_DTACK_INn : in std_logic; - GL_DTACK_OUTn : out std_logic; - GL_CTRL_EN : out std_logic; - - -- System control: - GL_RESETn : in std_logic; - GL_BERRn : out std_logic; - - -- Processor function codes: - GL_FC : in std_logic_vector(2 downto 0); - - -- STE enhancements: - GL_STE_FDDS : out std_logic; -- Floppy type select (HD or DD). - GL_STE_FCCLK : out std_logic; -- Floppy controller clock select. - GL_STE_JOY_RHn : out std_logic; -- Read only FF9202 high byte. - GL_STE_JOY_RLn : out std_logic; -- Read only FF9202 low byte. - GL_STE_JOY_WL : out std_logic; -- Write only FF9202 low byte. - GL_STE_JOY_WEn : out std_logic; -- Write only FF9202 output enable. - GL_STE_BUTTONn : out std_logic; -- Read only FF9000 low byte. - GL_STE_PAD0Xn : in std_logic; -- Counter input for the Paddle 0X. - GL_STE_PAD0Yn : in std_logic; -- Counter input for the Paddle 0Y. - GL_STE_PAD1Xn : in std_logic; -- Counter input for the Paddle 1X. - GL_STE_PAD1Yn : in std_logic; -- Counter input for the Paddle 1Y. - GL_STE_PADRSTn : out std_logic; -- Paddle monoflops reset. - GL_STE_PENn : in std_logic; -- Input of the light pen. - GL_STE_SCCn : out std_logic; -- Select signal for the STE or TT SCC chip. - GL_STE_CPROGn : out std_logic -- Select signal for the STE's cache processor. - ); - end component WF25915IP_TOP_V1_SOC; - - component WF5380_TOP_SOC - port ( - CLK : in std_logic; - RESETn : in std_logic; - ADR : in std_logic_vector(2 downto 0); - DATA_IN : in std_logic_vector(7 downto 0); - DATA_OUT : out std_logic_vector(7 downto 0); - DATA_EN : out std_logic; - CSn : in std_logic; - RDn : in std_logic; - WRn : in std_logic; - EOPn : in std_logic; - DACKn : in std_logic; - DRQ : out std_logic; - INT : out std_logic; - READY : out std_logic; - DB_INn : in std_logic_vector(7 downto 0); - DB_OUTn : out std_logic_vector(7 downto 0); - DB_EN : out std_logic; - DBP_INn : in std_logic; - DBP_OUTn : out std_logic; - DBP_EN : out std_logic; - RST_INn : in std_logic; - RST_OUTn : out std_logic; - RST_EN : out std_logic; - BSY_INn : in std_logic; - BSY_OUTn : out std_logic; - BSY_EN : out std_logic; - SEL_INn : in std_logic; - SEL_OUTn : out std_logic; - SEL_EN : out std_logic; - ACK_INn : in std_logic; - ACK_OUTn : out std_logic; - ACK_EN : out std_logic; - ATN_INn : in std_logic; - ATN_OUTn : out std_logic; - ATN_EN : out std_logic; - REQ_INn : in std_logic; - REQ_OUTn : out std_logic; - REQ_EN : out std_logic; - IOn_IN : in std_logic; - IOn_OUT : out std_logic; - IO_EN : out std_logic; - CDn_IN : in std_logic; - CDn_OUT : out std_logic; - CD_EN : out std_logic; - MSG_INn : in std_logic; - MSG_OUTn : out std_logic; - MSG_EN : out std_logic - ); - end component WF5380_TOP_SOC; - - component WF1772IP_TOP_SOC -- FDC. - port ( - CLK : in std_logic; -- 16MHz clock! - RESETn : in std_logic; - CSn : in std_logic; - RWn : in std_logic; - A1, A0 : in std_logic; - DATA_IN : in std_logic_vector(7 downto 0); - DATA_OUT : out std_logic_vector(7 downto 0); - DATA_EN : out std_logic; - RDn : in std_logic; - TR00n : in std_logic; - IPn : in std_logic; - WPRTn : in std_logic; - DDEn : in std_logic; - HDTYPE : in std_logic; -- '0' = DD disks, '1' = HD disks. - MO : out std_logic; - WG : out std_logic; - WD : out std_logic; - STEP : out std_logic; - DIRC : out std_logic; - DRQ : out std_logic; - INTRQ : out std_logic - ); - end component WF1772IP_TOP_SOC; - - component WF68901IP_TOP_SOC -- MFP. - port ( -- System control: - CLK : in std_logic; - RESETn : in std_logic; - - -- Asynchronous bus control: - DSn : in std_logic; - CSn : in std_logic; - RWn : in std_logic; - DTACKn : out std_logic; - - -- Data and Adresses: - RS : in std_logic_vector(5 downto 1); - DATA_IN : in std_logic_vector(7 downto 0); - DATA_OUT : out std_logic_vector(7 downto 0); - DATA_EN : out std_logic; - GPIP_IN : in std_logic_vector(7 downto 0); - GPIP_OUT : out std_logic_vector(7 downto 0); - GPIP_EN : out std_logic_vector(7 downto 0); - - -- Interrupt control: - IACKn : in std_logic; - IEIn : in std_logic; - IEOn : out std_logic; - IRQn : out std_logic; - - -- Timers and timer control: - XTAL1 : in std_logic; -- Use an oszillator instead of a quartz. - TAI : in std_logic; - TBI : in std_logic; - TAO : out std_logic; - TBO : out std_logic; - TCO : out std_logic; - TDO : out std_logic; - - -- Serial I/O control: - RC : in std_logic; - TC : in std_logic; - SI : in std_logic; - SO : out std_logic; - SO_EN : out std_logic; - - -- DMA control: - RRn : out std_logic; - TRn : out std_logic - ); - end component WF68901IP_TOP_SOC; - - component WF2149IP_TOP_SOC -- Sound. - port( - - SYS_CLK : in std_logic; -- Read the inforation in the header! - RESETn : in std_logic; - - WAV_CLK : in std_logic; -- Read the inforation in the header! - SELn : in std_logic; - - BDIR : in std_logic; - BC2, BC1 : in std_logic; - - A9n, A8 : in std_logic; - DA_IN : in std_logic_vector(7 downto 0); - DA_OUT : out std_logic_vector(7 downto 0); - DA_EN : out std_logic; - - IO_A_IN : in std_logic_vector(7 downto 0); - IO_A_OUT : out std_logic_vector(7 downto 0); - IO_A_EN : out std_logic; - IO_B_IN : in std_logic_vector(7 downto 0); - IO_B_OUT : out std_logic_vector(7 downto 0); - IO_B_EN : out std_logic; - - OUT_A : out std_logic; -- Analog (PWM) outputs. - OUT_B : out std_logic; - OUT_C : out std_logic - ); - end component WF2149IP_TOP_SOC; - - component WF6850IP_TOP_SOC -- ACIA. - port ( - CLK : in std_logic; - RESETn : in std_logic; - - CS2n, CS1, CS0 : in std_logic; - E : in std_logic; - RWn : in std_logic; - RS : in std_logic; - - DATA_IN : in std_logic_vector(7 downto 0); - DATA_OUT : out std_logic_vector(7 downto 0); - DATA_EN : out std_logic; - - TXCLK : in std_logic; - RXCLK : in std_logic; - RXDATA : in std_logic; - CTSn : in std_logic; - DCDn : in std_logic; - - IRQn : out std_logic; - TXDATA : out std_logic; - RTSn : out std_logic - ); - end component WF6850IP_TOP_SOC; - - component WF_SD_CARD - port ( - RESETn : in std_logic; - CLK : in std_logic; - ACSI_A1 : in std_logic; - ACSI_CSn : in std_logic; - ACSI_ACKn : in std_logic; - ACSI_INTn : out std_logic; - ACSI_DRQn : out std_logic; - ACSI_D_IN : in std_logic_vector(7 downto 0); - ACSI_D_OUT : out std_logic_vector(7 downto 0); - ACSI_D_EN : out std_logic; - MC_DO : in std_logic; - MC_PIO_DMAn : in std_logic; - MC_RWn : in std_logic; - MC_CLR_CMD : in std_logic; - MC_DONE : out std_logic; - MC_GOT_CMD : out std_logic; - MC_D_IN : in std_logic_vector(7 downto 0); - MC_D_OUT : out std_logic_vector(7 downto 0); - MC_D_EN : out std_logic - ); - end component WF_SD_CARD; - - component dcfifo0 - PORT ( - aclr : IN STD_LOGIC ; - data : IN STD_LOGIC_VECTOR (7 DOWNTO 0); - rdclk : IN STD_LOGIC ; - rdreq : IN STD_LOGIC ; - wrclk : IN STD_LOGIC ; - wrreq : IN STD_LOGIC ; - q : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); - wrusedw : OUT STD_LOGIC_VECTOR (5 DOWNTO 0) - ); - end component dcfifo0; - - component dcfifo1 - PORT ( - aclr : IN STD_LOGIC ; - data : IN STD_LOGIC_VECTOR (31 DOWNTO 0); - rdclk : IN STD_LOGIC ; - rdreq : IN STD_LOGIC ; - wrclk : IN STD_LOGIC ; - wrreq : IN STD_LOGIC ; - q : OUT STD_LOGIC_VECTOR (7 DOWNTO 0); - rdusedw : OUT STD_LOGIC_VECTOR (5 DOWNTO 0) - ); - end component; - - -end FalconIO_SDCard_IDE_CF_PKG; diff --git a/FPGA_by_Fredi/FalconIO_SDCard_IDE_CF/WF_SND2149_IP/wf2149ip_top_soc.vhd b/FPGA_by_Fredi/FalconIO_SDCard_IDE_CF/WF_SND2149_IP/wf2149ip_top_soc.vhd index dac4e9d..77ea5ef 100644 --- a/FPGA_by_Fredi/FalconIO_SDCard_IDE_CF/WF_SND2149_IP/wf2149ip_top_soc.vhd +++ b/FPGA_by_Fredi/FalconIO_SDCard_IDE_CF/WF_SND2149_IP/wf2149ip_top_soc.vhd @@ -190,8 +190,8 @@ begin end if; end process DIG_PORTS; -- Set port direction to input or to output: - IO_A_EN <= '1' when CTRL_REG(6) = '1' else '0'; - IO_B_EN <= '1' when CTRL_REG(7) = '1' else '0'; + IO_A_EN <= '1' when CTRL_REG(6) = '1' else '0'; + IO_B_EN <= '1' when CTRL_REG(7) = '1' else '0'; IO_A_OUT <= PORT_A; IO_B_OUT <= PORT_B; diff --git a/FPGA_by_Fredi/FalconIO_SDCard_IDE_CF/WF_SND2149_IP/wf2149ip_top_soc.vhd.bak b/FPGA_by_Fredi/FalconIO_SDCard_IDE_CF/WF_SND2149_IP/wf2149ip_top_soc.vhd.bak deleted file mode 100644 index d81f23c..0000000 --- a/FPGA_by_Fredi/FalconIO_SDCard_IDE_CF/WF_SND2149_IP/wf2149ip_top_soc.vhd.bak +++ /dev/null @@ -1,229 +0,0 @@ ----------------------------------------------------------------------- ----- ---- ----- YM2149 compatible sound generator. ---- ----- ---- ----- This file is part of the SUSKA ATARI clone project. ---- ----- http://www.experiment-s.de ---- ----- ---- ----- Description: ---- ----- Model of the ST or STE's YM2149 sound generator. ---- ----- This IP core of the sound generator differs slightly from ---- ----- the original. Firstly it is a synchronous design without any ---- ----- latches (like assumed in the original chip). This required ---- ----- the introduction of a system adequate clock. In detail this ---- ----- SYS_CLK should on the one hand be fast enough to meet the ---- ----- timing requirements of the system's bus cycle and should one ---- ----- the other hand drive the PWM modules correctly. To meet both ---- ----- a SYS_CLK of 16MHz or above is recommended. ---- ----- Secondly, the original chip has an implemented DA converter. ---- ----- This feature is not possible in today's FPGAs. Therefore the ---- ----- converter is replaced by pulse width modulators. This solu- ---- ----- tion is very simple in comparison to other approaches like ---- ----- external DA converters with wave tables etc. The soltution ---- ----- with the pulse width modulators is probably not as accurate ---- ----- DAs with wavetables. For a detailed descrition of the hard- ---- ----- ware PWM filter look at the end of the wave file, where the ---- ----- pulse width modulators can be found. ---- ----- For a proper operation it is required, that the wave clock ---- ----- is lower than the system clock. A good choice is for example ---- ----- 2MHz for the wave clock and 16MHz for the system clock. ---- ----- ---- ----- Main module file. ---- ----- Top level file for use in systems on programmable chips. ---- ----- ---- ----- ---- ----- To Do: ---- ----- - ---- ----- ---- ----- Author(s): ---- ----- - Wolfgang Foerster, wf@experiment-s.de; wf@inventronik.de ---- ----- ---- ----------------------------------------------------------------------- ----- ---- ----- Copyright (C) 2006 - 2008 Wolfgang Foerster ---- ----- ---- ----- This source file may be used and distributed without ---- ----- restriction provided that this copyright statement is not ---- ----- removed from the file and that any derivative work contains ---- ----- the original copyright notice and the associated disclaimer. ---- ----- ---- ----- This source file is free software; you can redistribute it ---- ----- and/or modify it under the terms of the GNU Lesser General ---- ----- Public License as published by the Free Software Foundation; ---- ----- either version 2.1 of the License, or (at your option) any ---- ----- later version. ---- ----- ---- ----- This source is distributed in the hope that it will be ---- ----- useful, but WITHOUT ANY WARRANTY; without even the implied ---- ----- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ---- ----- PURPOSE. See the GNU Lesser General Public License for more ---- ----- details. ---- ----- ---- ----- You should have received a copy of the GNU Lesser General ---- ----- Public License along with this source; if not, download it ---- ----- from http://www.gnu.org/licenses/lgpl.html ---- ----- ---- ----------------------------------------------------------------------- --- --- Revision History --- --- Revision 2K6A 2006/06/03 WF --- Initial Release. --- Revision 2K6B 2006/11/07 WF --- Modified Source to compile with the Xilinx ISE. --- Top level file provided for SOC (systems on programmable chips). --- Revision 2K8A 2008/07/14 WF --- Minor changes. --- - -library ieee; -use ieee.std_logic_1164.all; -use work.wf2149ip_pkg.all; - -entity WF2149IP_TOP_SOC is - port( - - SYS_CLK : in bit; -- Read the inforation in the header! - RESETn : in bit; - - WAV_CLK : in bit; -- Read the inforation in the header! - SELn : in bit; - - BDIR : in bit; - BC2, BC1 : in bit; - - A9n, A8 : in bit; - DA_IN : in std_logic_vector(7 downto 0); - DA_OUT : out std_logic_vector(7 downto 0); - DA_EN : out bit; - - IO_A_IN : in bit_vector(7 downto 0); - IO_A_OUT : out bit_vector(7 downto 0); - IO_A_EN : out bit; - IO_B_IN : in bit_vector(7 downto 0); - IO_B_OUT : out bit_vector(7 downto 0); - IO_B_EN : out bit; - - OUT_A : out bit; -- Analog (PWM) outputs. - OUT_B : out bit; - OUT_C : out bit - ); -end WF2149IP_TOP_SOC; - -architecture STRUCTURE of WF2149IP_TOP_SOC is -signal BUSCYCLE : BUSCYCLES; -signal DATA_OUT_I : std_logic_vector(7 downto 0); -signal DATA_EN_I : bit; -signal WAV_STRB : bit; -signal ADR_I : bit_vector(3 downto 0); -signal CTRL_REG : bit_vector(7 downto 0); -signal PORT_A : bit_vector(7 downto 0); -signal PORT_B : bit_vector(7 downto 0); -begin - P_WAVSTRB: process(RESETn, SYS_CLK) - variable LOCK : boolean; - variable TMP : bit; - begin - if RESETn = '0' then - LOCK := false; - TMP := '0'; - elsif SYS_CLK = '1' and SYS_CLK' event then - if WAV_CLK = '1' and LOCK = false then - LOCK := true; - TMP := not TMP; -- Divider by 2. - case SELn is - when '1' => WAV_STRB <= '1'; - when others => WAV_STRB <= TMP; - end case; - elsif WAV_CLK = '0' then - LOCK := false; - WAV_STRB <= '0'; - else - WAV_STRB <= '0'; - end if; - end if; - end process P_WAVSTRB; - - with BDIR & BC2 & BC1 select - BUSCYCLE <= INACTIVE when "000" | "010" | "101", - ADDRESS when "001" | "100" | "111", - R_READ when "011", - R_WRITE when "110"; - - ADDRESSLATCH: process(RESETn, SYS_CLK) - -- This process is responsible to store the desired register - -- address. The default (after reset) is channel A fine tone - -- adjustment. - begin - if RESETn = '0' then - ADR_I <= (others => '0'); - elsif SYS_CLK = '1' and SYS_CLK' event then - if BUSCYCLE = ADDRESS and A9n = '0' and A8 = '1' and DA_IN(7 downto 4) = x"0" then - ADR_I <= To_BitVector(DA_IN(3 downto 0)); - end if; - end if; - end process ADDRESSLATCH; - - P_CTRL_REG: process(RESETn, SYS_CLK) - -- THIS is the Control register for the mixer and for the I/O ports. - begin - if RESETn = '0' then - CTRL_REG <= x"00"; - elsif SYS_CLK = '1' and SYS_CLK' event then - if BUSCYCLE = R_WRITE and ADR_I = x"7" then - CTRL_REG <= To_BitVector(DA_IN); - end if; - end if; - end process P_CTRL_REG; - - DIG_PORTS: process(RESETn, SYS_CLK) - begin - if RESETn = '0' then - PORT_A <= x"00"; - PORT_B <= x"00"; - elsif SYS_CLK = '1' and SYS_CLK' event then - if BUSCYCLE = R_WRITE and ADR_I = x"E" then - PORT_A <= To_BitVector(DA_IN); - elsif BUSCYCLE = R_WRITE and ADR_I = x"F" then - PORT_B <= To_BitVector(DA_IN); - end if; - end if; - end process DIG_PORTS; - -- Set port direction to input or to output: - IO_A_EN <= '1' when CTRL_REG(6) = '1' else '1'; --0 - IO_B_EN <= '1' when CTRL_REG(7) = '1' else '1'; --0 - IO_A_OUT <= PORT_A; - IO_B_OUT <= PORT_B; - - I_PSG_WAVE: WF2149IP_WAVE - port map( - RESETn => RESETn, - SYS_CLK => SYS_CLK, - - WAV_STRB => WAV_STRB, - - ADR => ADR_I, - DATA_IN => DA_IN, - DATA_OUT => DATA_OUT_I, - DATA_EN => DATA_EN_I, - - BUSCYCLE => BUSCYCLE, - CTRL_REG => CTRL_REG(5 downto 0), - - OUT_A => OUT_A, - OUT_B => OUT_B, - OUT_C => OUT_C - ); - - -- Read the ports and registers: - DA_EN <= '1' when DATA_EN_I = '1' else - '1' when BUSCYCLE = R_READ and ADR_I = x"7" else - '1' when BUSCYCLE = R_READ and ADR_I = x"E" else - '1' when BUSCYCLE = R_READ and ADR_I = x"F" else '0'; - - DA_OUT <= DATA_OUT_I when DATA_EN_I = '1' else -- WAV stuff. - To_StdLogicVector(IO_A_IN) when BUSCYCLE = R_READ and ADR_I = x"E" else - To_StdLogicVector(IO_B_IN) when BUSCYCLE = R_READ and ADR_I = x"F" else - To_StdLogicVector(CTRL_REG) when BUSCYCLE = R_READ and ADR_I = x"7" else (others => '0'); - -end STRUCTURE; diff --git a/FPGA_by_Fredi/FalconIO_SDCard_IDE_CF/dcfifo0.vhd.bak b/FPGA_by_Fredi/FalconIO_SDCard_IDE_CF/dcfifo0.vhd.bak deleted file mode 100644 index c3ca670..0000000 --- a/FPGA_by_Fredi/FalconIO_SDCard_IDE_CF/dcfifo0.vhd.bak +++ /dev/null @@ -1,202 +0,0 @@ --- megafunction wizard: %LPM_FIFO+% --- GENERATION: STANDARD --- VERSION: WM1.0 --- MODULE: dcfifo_mixed_widths - --- ============================================================ --- File Name: dcfifo0.vhd --- Megafunction Name(s): --- dcfifo_mixed_widths --- --- Simulation Library Files(s): --- altera_mf --- ============================================================ --- ************************************************************ --- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! --- --- 9.1 Build 222 10/21/2009 SJ Web Edition --- ************************************************************ - - ---Copyright (C) 1991-2009 Altera Corporation ---Your use of Altera Corporation's design tools, logic functions ---and other software and tools, and its AMPP partner logic ---functions, and any output files from any of the foregoing ---(including device programming or simulation files), and any ---associated documentation or information are expressly subject ---to the terms and conditions of the Altera Program License ---Subscription Agreement, Altera MegaCore Function License ---Agreement, or other applicable license agreement, including, ---without limitation, that your use is for the sole purpose of ---programming logic devices manufactured by Altera and sold by ---Altera or its authorized distributors. Please refer to the ---applicable agreement for further details. - - -LIBRARY ieee; -USE ieee.std_logic_1164.all; - -LIBRARY altera_mf; -USE altera_mf.all; - -ENTITY dcfifo0 IS - PORT - ( - aclr : IN STD_LOGIC := '0'; - data : IN STD_LOGIC_VECTOR (7 DOWNTO 0); - rdclk : IN STD_LOGIC ; - rdreq : IN STD_LOGIC ; - wrclk : IN STD_LOGIC ; - wrreq : IN STD_LOGIC ; - q : OUT STD_LOGIC_VECTOR (15 DOWNTO 0); - wrusedw : OUT STD_LOGIC_VECTOR (4 DOWNTO 0) - ); -END dcfifo0; - - -ARCHITECTURE SYN OF dcfifo0 IS - - SIGNAL sub_wire0 : STD_LOGIC_VECTOR (4 DOWNTO 0); - SIGNAL sub_wire1 : STD_LOGIC_VECTOR (15 DOWNTO 0); - - - - COMPONENT dcfifo_mixed_widths - GENERIC ( - intended_device_family : STRING; - lpm_numwords : NATURAL; - lpm_showahead : STRING; - lpm_type : STRING; - lpm_width : NATURAL; - lpm_widthu : NATURAL; - lpm_widthu_r : NATURAL; - lpm_width_r : NATURAL; - overflow_checking : STRING; - rdsync_delaypipe : NATURAL; - underflow_checking : STRING; - use_eab : STRING; - write_aclr_synch : STRING; - wrsync_delaypipe : NATURAL - ); - PORT ( - wrclk : IN STD_LOGIC ; - rdreq : IN STD_LOGIC ; - wrusedw : OUT STD_LOGIC_VECTOR (4 DOWNTO 0); - aclr : IN STD_LOGIC ; - rdclk : IN STD_LOGIC ; - q : OUT STD_LOGIC_VECTOR (15 DOWNTO 0); - wrreq : IN STD_LOGIC ; - data : IN STD_LOGIC_VECTOR (7 DOWNTO 0) - ); - END COMPONENT; - -BEGIN - wrusedw <= sub_wire0(4 DOWNTO 0); - q <= sub_wire1(15 DOWNTO 0); - - dcfifo_mixed_widths_component : dcfifo_mixed_widths - GENERIC MAP ( - intended_device_family => "Cyclone III", - lpm_numwords => 32, - lpm_showahead => "OFF", - lpm_type => "dcfifo", - lpm_width => 8, - lpm_widthu => 5, - lpm_widthu_r => 4, - lpm_width_r => 16, - overflow_checking => "ON", - rdsync_delaypipe => 5, - underflow_checking => "ON", - use_eab => "ON", - write_aclr_synch => "OFF", - wrsync_delaypipe => 5 - ) - PORT MAP ( - wrclk => wrclk, - rdreq => rdreq, - aclr => aclr, - rdclk => rdclk, - wrreq => wrreq, - data => data, - wrusedw => sub_wire0, - q => sub_wire1 - ); - - - -END SYN; - --- ============================================================ --- CNX file retrieval info --- ============================================================ --- Retrieval info: PRIVATE: AlmostEmpty NUMERIC "0" --- Retrieval info: PRIVATE: AlmostEmptyThr NUMERIC "-1" --- Retrieval info: PRIVATE: AlmostFull NUMERIC "0" --- Retrieval info: PRIVATE: AlmostFullThr NUMERIC "-1" --- Retrieval info: PRIVATE: CLOCKS_ARE_SYNCHRONIZED NUMERIC "0" --- Retrieval info: PRIVATE: Clock NUMERIC "4" --- Retrieval info: PRIVATE: Depth NUMERIC "32" --- Retrieval info: PRIVATE: Empty NUMERIC "1" --- Retrieval info: PRIVATE: Full NUMERIC "1" --- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone III" --- Retrieval info: PRIVATE: LE_BasedFIFO NUMERIC "0" --- Retrieval info: PRIVATE: LegacyRREQ NUMERIC "1" --- Retrieval info: PRIVATE: MAX_DEPTH_BY_9 NUMERIC "0" --- Retrieval info: PRIVATE: OVERFLOW_CHECKING NUMERIC "0" --- Retrieval info: PRIVATE: Optimize NUMERIC "1" --- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0" --- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" --- Retrieval info: PRIVATE: UNDERFLOW_CHECKING NUMERIC "0" --- Retrieval info: PRIVATE: UsedW NUMERIC "1" --- Retrieval info: PRIVATE: Width NUMERIC "8" --- Retrieval info: PRIVATE: dc_aclr NUMERIC "1" --- Retrieval info: PRIVATE: diff_widths NUMERIC "1" --- Retrieval info: PRIVATE: msb_usedw NUMERIC "0" --- Retrieval info: PRIVATE: output_width NUMERIC "16" --- Retrieval info: PRIVATE: rsEmpty NUMERIC "0" --- Retrieval info: PRIVATE: rsFull NUMERIC "0" --- Retrieval info: PRIVATE: rsUsedW NUMERIC "0" --- Retrieval info: PRIVATE: sc_aclr NUMERIC "0" --- Retrieval info: PRIVATE: sc_sclr NUMERIC "0" --- Retrieval info: PRIVATE: wsEmpty NUMERIC "0" --- Retrieval info: PRIVATE: wsFull NUMERIC "0" --- Retrieval info: PRIVATE: wsUsedW NUMERIC "1" --- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone III" --- Retrieval info: CONSTANT: LPM_NUMWORDS NUMERIC "32" --- Retrieval info: CONSTANT: LPM_SHOWAHEAD STRING "OFF" --- Retrieval info: CONSTANT: LPM_TYPE STRING "dcfifo" --- Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "8" --- Retrieval info: CONSTANT: LPM_WIDTHU NUMERIC "5" --- Retrieval info: CONSTANT: LPM_WIDTHU_R NUMERIC "4" --- Retrieval info: CONSTANT: LPM_WIDTH_R NUMERIC "16" --- Retrieval info: CONSTANT: OVERFLOW_CHECKING STRING "ON" --- Retrieval info: CONSTANT: RDSYNC_DELAYPIPE NUMERIC "5" --- Retrieval info: CONSTANT: UNDERFLOW_CHECKING STRING "ON" --- Retrieval info: CONSTANT: USE_EAB STRING "ON" --- Retrieval info: CONSTANT: WRITE_ACLR_SYNCH STRING "OFF" --- Retrieval info: CONSTANT: WRSYNC_DELAYPIPE NUMERIC "5" --- Retrieval info: USED_PORT: aclr 0 0 0 0 INPUT GND aclr --- Retrieval info: USED_PORT: data 0 0 8 0 INPUT NODEFVAL data[7..0] --- Retrieval info: USED_PORT: q 0 0 16 0 OUTPUT NODEFVAL q[15..0] --- Retrieval info: USED_PORT: rdclk 0 0 0 0 INPUT NODEFVAL rdclk --- Retrieval info: USED_PORT: rdreq 0 0 0 0 INPUT NODEFVAL rdreq --- Retrieval info: USED_PORT: wrclk 0 0 0 0 INPUT NODEFVAL wrclk --- Retrieval info: USED_PORT: wrreq 0 0 0 0 INPUT NODEFVAL wrreq --- Retrieval info: USED_PORT: wrusedw 0 0 5 0 OUTPUT NODEFVAL wrusedw[4..0] --- Retrieval info: CONNECT: @data 0 0 8 0 data 0 0 8 0 --- Retrieval info: CONNECT: q 0 0 16 0 @q 0 0 16 0 --- Retrieval info: CONNECT: @wrreq 0 0 0 0 wrreq 0 0 0 0 --- Retrieval info: CONNECT: @rdreq 0 0 0 0 rdreq 0 0 0 0 --- Retrieval info: CONNECT: @rdclk 0 0 0 0 rdclk 0 0 0 0 --- Retrieval info: CONNECT: @wrclk 0 0 0 0 wrclk 0 0 0 0 --- Retrieval info: CONNECT: wrusedw 0 0 5 0 @wrusedw 0 0 5 0 --- Retrieval info: CONNECT: @aclr 0 0 0 0 aclr 0 0 0 0 --- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all --- Retrieval info: GEN_FILE: TYPE_NORMAL dcfifo0.vhd TRUE --- Retrieval info: GEN_FILE: TYPE_NORMAL dcfifo0.inc FALSE --- Retrieval info: GEN_FILE: TYPE_NORMAL dcfifo0.cmp TRUE --- Retrieval info: GEN_FILE: TYPE_NORMAL dcfifo0.bsf TRUE --- Retrieval info: GEN_FILE: TYPE_NORMAL dcfifo0_inst.vhd FALSE --- Retrieval info: GEN_FILE: TYPE_NORMAL dcfifo0_waveforms.html FALSE --- Retrieval info: GEN_FILE: TYPE_NORMAL dcfifo0_wave*.jpg FALSE --- Retrieval info: LIB_FILE: altera_mf diff --git a/FPGA_by_Fredi/FalconIO_SDCard_IDE_CF/dcfifo1.vhd.bak b/FPGA_by_Fredi/FalconIO_SDCard_IDE_CF/dcfifo1.vhd.bak deleted file mode 100644 index e7c6ae6..0000000 --- a/FPGA_by_Fredi/FalconIO_SDCard_IDE_CF/dcfifo1.vhd.bak +++ /dev/null @@ -1,202 +0,0 @@ --- megafunction wizard: %LPM_FIFO+% --- GENERATION: STANDARD --- VERSION: WM1.0 --- MODULE: dcfifo_mixed_widths - --- ============================================================ --- File Name: dcfifo1.vhd --- Megafunction Name(s): --- dcfifo_mixed_widths --- --- Simulation Library Files(s): --- altera_mf --- ============================================================ --- ************************************************************ --- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! --- --- 9.1 Build 222 10/21/2009 SJ Web Edition --- ************************************************************ - - ---Copyright (C) 1991-2009 Altera Corporation ---Your use of Altera Corporation's design tools, logic functions ---and other software and tools, and its AMPP partner logic ---functions, and any output files from any of the foregoing ---(including device programming or simulation files), and any ---associated documentation or information are expressly subject ---to the terms and conditions of the Altera Program License ---Subscription Agreement, Altera MegaCore Function License ---Agreement, or other applicable license agreement, including, ---without limitation, that your use is for the sole purpose of ---programming logic devices manufactured by Altera and sold by ---Altera or its authorized distributors. Please refer to the ---applicable agreement for further details. - - -LIBRARY ieee; -USE ieee.std_logic_1164.all; - -LIBRARY altera_mf; -USE altera_mf.all; - -ENTITY dcfifo1 IS - PORT - ( - aclr : IN STD_LOGIC := '0'; - data : IN STD_LOGIC_VECTOR (15 DOWNTO 0); - rdclk : IN STD_LOGIC ; - rdreq : IN STD_LOGIC ; - wrclk : IN STD_LOGIC ; - wrreq : IN STD_LOGIC ; - q : OUT STD_LOGIC_VECTOR (7 DOWNTO 0); - wrusedw : OUT STD_LOGIC_VECTOR (3 DOWNTO 0) - ); -END dcfifo1; - - -ARCHITECTURE SYN OF dcfifo1 IS - - SIGNAL sub_wire0 : STD_LOGIC_VECTOR (3 DOWNTO 0); - SIGNAL sub_wire1 : STD_LOGIC_VECTOR (7 DOWNTO 0); - - - - COMPONENT dcfifo_mixed_widths - GENERIC ( - intended_device_family : STRING; - lpm_numwords : NATURAL; - lpm_showahead : STRING; - lpm_type : STRING; - lpm_width : NATURAL; - lpm_widthu : NATURAL; - lpm_widthu_r : NATURAL; - lpm_width_r : NATURAL; - overflow_checking : STRING; - rdsync_delaypipe : NATURAL; - underflow_checking : STRING; - use_eab : STRING; - write_aclr_synch : STRING; - wrsync_delaypipe : NATURAL - ); - PORT ( - wrclk : IN STD_LOGIC ; - rdreq : IN STD_LOGIC ; - wrusedw : OUT STD_LOGIC_VECTOR (3 DOWNTO 0); - aclr : IN STD_LOGIC ; - rdclk : IN STD_LOGIC ; - q : OUT STD_LOGIC_VECTOR (7 DOWNTO 0); - wrreq : IN STD_LOGIC ; - data : IN STD_LOGIC_VECTOR (15 DOWNTO 0) - ); - END COMPONENT; - -BEGIN - wrusedw <= sub_wire0(3 DOWNTO 0); - q <= sub_wire1(7 DOWNTO 0); - - dcfifo_mixed_widths_component : dcfifo_mixed_widths - GENERIC MAP ( - intended_device_family => "Cyclone III", - lpm_numwords => 16, - lpm_showahead => "OFF", - lpm_type => "dcfifo", - lpm_width => 16, - lpm_widthu => 4, - lpm_widthu_r => 5, - lpm_width_r => 8, - overflow_checking => "ON", - rdsync_delaypipe => 5, - underflow_checking => "ON", - use_eab => "ON", - write_aclr_synch => "OFF", - wrsync_delaypipe => 5 - ) - PORT MAP ( - wrclk => wrclk, - rdreq => rdreq, - aclr => aclr, - rdclk => rdclk, - wrreq => wrreq, - data => data, - wrusedw => sub_wire0, - q => sub_wire1 - ); - - - -END SYN; - --- ============================================================ --- CNX file retrieval info --- ============================================================ --- Retrieval info: PRIVATE: AlmostEmpty NUMERIC "0" --- Retrieval info: PRIVATE: AlmostEmptyThr NUMERIC "-1" --- Retrieval info: PRIVATE: AlmostFull NUMERIC "0" --- Retrieval info: PRIVATE: AlmostFullThr NUMERIC "-1" --- Retrieval info: PRIVATE: CLOCKS_ARE_SYNCHRONIZED NUMERIC "0" --- Retrieval info: PRIVATE: Clock NUMERIC "4" --- Retrieval info: PRIVATE: Depth NUMERIC "16" --- Retrieval info: PRIVATE: Empty NUMERIC "1" --- Retrieval info: PRIVATE: Full NUMERIC "1" --- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone III" --- Retrieval info: PRIVATE: LE_BasedFIFO NUMERIC "0" --- Retrieval info: PRIVATE: LegacyRREQ NUMERIC "1" --- Retrieval info: PRIVATE: MAX_DEPTH_BY_9 NUMERIC "0" --- Retrieval info: PRIVATE: OVERFLOW_CHECKING NUMERIC "0" --- Retrieval info: PRIVATE: Optimize NUMERIC "1" --- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0" --- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" --- Retrieval info: PRIVATE: UNDERFLOW_CHECKING NUMERIC "0" --- Retrieval info: PRIVATE: UsedW NUMERIC "1" --- Retrieval info: PRIVATE: Width NUMERIC "16" --- Retrieval info: PRIVATE: dc_aclr NUMERIC "1" --- Retrieval info: PRIVATE: diff_widths NUMERIC "1" --- Retrieval info: PRIVATE: msb_usedw NUMERIC "0" --- Retrieval info: PRIVATE: output_width NUMERIC "8" --- Retrieval info: PRIVATE: rsEmpty NUMERIC "0" --- Retrieval info: PRIVATE: rsFull NUMERIC "0" --- Retrieval info: PRIVATE: rsUsedW NUMERIC "0" --- Retrieval info: PRIVATE: sc_aclr NUMERIC "0" --- Retrieval info: PRIVATE: sc_sclr NUMERIC "0" --- Retrieval info: PRIVATE: wsEmpty NUMERIC "0" --- Retrieval info: PRIVATE: wsFull NUMERIC "0" --- Retrieval info: PRIVATE: wsUsedW NUMERIC "1" --- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone III" --- Retrieval info: CONSTANT: LPM_NUMWORDS NUMERIC "16" --- Retrieval info: CONSTANT: LPM_SHOWAHEAD STRING "OFF" --- Retrieval info: CONSTANT: LPM_TYPE STRING "dcfifo" --- Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "16" --- Retrieval info: CONSTANT: LPM_WIDTHU NUMERIC "4" --- Retrieval info: CONSTANT: LPM_WIDTHU_R NUMERIC "5" --- Retrieval info: CONSTANT: LPM_WIDTH_R NUMERIC "8" --- Retrieval info: CONSTANT: OVERFLOW_CHECKING STRING "ON" --- Retrieval info: CONSTANT: RDSYNC_DELAYPIPE NUMERIC "5" --- Retrieval info: CONSTANT: UNDERFLOW_CHECKING STRING "ON" --- Retrieval info: CONSTANT: USE_EAB STRING "ON" --- Retrieval info: CONSTANT: WRITE_ACLR_SYNCH STRING "OFF" --- Retrieval info: CONSTANT: WRSYNC_DELAYPIPE NUMERIC "5" --- Retrieval info: USED_PORT: aclr 0 0 0 0 INPUT GND aclr --- Retrieval info: USED_PORT: data 0 0 16 0 INPUT NODEFVAL data[15..0] --- Retrieval info: USED_PORT: q 0 0 8 0 OUTPUT NODEFVAL q[7..0] --- Retrieval info: USED_PORT: rdclk 0 0 0 0 INPUT NODEFVAL rdclk --- Retrieval info: USED_PORT: rdreq 0 0 0 0 INPUT NODEFVAL rdreq --- Retrieval info: USED_PORT: wrclk 0 0 0 0 INPUT NODEFVAL wrclk --- Retrieval info: USED_PORT: wrreq 0 0 0 0 INPUT NODEFVAL wrreq --- Retrieval info: USED_PORT: wrusedw 0 0 4 0 OUTPUT NODEFVAL wrusedw[3..0] --- Retrieval info: CONNECT: @data 0 0 16 0 data 0 0 16 0 --- Retrieval info: CONNECT: q 0 0 8 0 @q 0 0 8 0 --- Retrieval info: CONNECT: @wrreq 0 0 0 0 wrreq 0 0 0 0 --- Retrieval info: CONNECT: @rdreq 0 0 0 0 rdreq 0 0 0 0 --- Retrieval info: CONNECT: @rdclk 0 0 0 0 rdclk 0 0 0 0 --- Retrieval info: CONNECT: @wrclk 0 0 0 0 wrclk 0 0 0 0 --- Retrieval info: CONNECT: wrusedw 0 0 4 0 @wrusedw 0 0 4 0 --- Retrieval info: CONNECT: @aclr 0 0 0 0 aclr 0 0 0 0 --- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all --- Retrieval info: GEN_FILE: TYPE_NORMAL dcfifo1.vhd TRUE --- Retrieval info: GEN_FILE: TYPE_NORMAL dcfifo1.inc FALSE --- Retrieval info: GEN_FILE: TYPE_NORMAL dcfifo1.cmp TRUE --- Retrieval info: GEN_FILE: TYPE_NORMAL dcfifo1.bsf TRUE --- Retrieval info: GEN_FILE: TYPE_NORMAL dcfifo1_inst.vhd FALSE --- Retrieval info: GEN_FILE: TYPE_NORMAL dcfifo1_waveforms.html FALSE --- Retrieval info: GEN_FILE: TYPE_NORMAL dcfifo1_wave*.jpg FALSE --- Retrieval info: LIB_FILE: altera_mf diff --git a/FPGA_by_Fredi/Interrupt_Handler/interrupt_handler.tdf b/FPGA_by_Fredi/Interrupt_Handler/interrupt_handler.tdf index 16de480..64354fe 100644 --- a/FPGA_by_Fredi/Interrupt_Handler/interrupt_handler.tdf +++ b/FPGA_by_Fredi/Interrupt_Handler/interrupt_handler.tdf @@ -24,6 +24,7 @@ SUBDESIGN interrupt_handler FB_SIZE0 : INPUT; FB_SIZE1 : INPUT; FB_ADR[31..0] : INPUT; + FPGA_DATE[31..0] : INPUT; PIC_INT : INPUT; E0_INT : INPUT; DVI_INT : INPUT; @@ -61,6 +62,7 @@ VARIABLE INT_LA[9..0][3..0] :DFF; ACP_CONF[31..0] :DFFE; ACP_CONF_CS :NODE; + FPGA_DATE_CS :NODE; PSEUDO_BUS_ERROR :NODE; UHR_AS :NODE; UHR_DS :NODE; @@ -201,6 +203,9 @@ TIN0 = !nFB_CS1 & FB_ADR[19..1]==H"7C100" & !nFB_WR; -- WRITE VIDEO BASE ADR H ACP_CONF[15..8].ENA = ACP_CONF_CS & FB_B2 & !nFB_WR; ACP_CONF[7..0].ENA = ACP_CONF_CS & FB_B3 & !nFB_WR; --*************************************************************************************** +-- FPGA DATE HEX (ddmmyyyy) + FPGA_DATE_CS = !nFB_CS2 & FB_ADR[27..2]==H"10040"; -- $4'0000/4 +--*************************************************************************************** -------------------------------------------------------------- -- C1287 0=SEK 2=MIN 4=STD 6=WOCHENTAG 7=TAG 8=MONAT 9=JAHR @@ -288,7 +293,8 @@ TIN0 = !nFB_CS1 & FB_ADR[19..1]==H"7C100" & !nFB_WR; -- WRITE VIDEO BASE ADR H # INT_LATCH_CS & INT_LATCH[31..24] # INT_CLEAR_CS & INT_IN[31..24] # ACP_CONF_CS & ACP_CONF[31..24] - ,(INT_CTR_CS # INT_ENA_CS # INT_LATCH_CS # INT_CLEAR_CS # ACP_CONF_CS) & !nFB_OE); + # FPGA_DATE_CS & FPGA_DATE[31..24] + ,(INT_CTR_CS # INT_ENA_CS # INT_LATCH_CS # INT_CLEAR_CS # ACP_CONF_CS # FPGA_DATE_CS) & !nFB_OE); FB_AD[23..16] = lpm_bustri_BYT( WERTE[][0] & RTC_ADR[]==0 & UHR_DS # WERTE[][1] & RTC_ADR[]==1 & UHR_DS @@ -360,21 +366,24 @@ TIN0 = !nFB_CS1 & FB_ADR[19..1]==H"7C100" & !nFB_WR; -- WRITE VIDEO BASE ADR H # INT_LATCH_CS & INT_LATCH[23..16] # INT_CLEAR_CS & INT_IN[23..16] # ACP_CONF_CS & ACP_CONF[23..16] - ,(UHR_DS # UHR_AS # INT_CTR_CS # INT_ENA_CS # INT_LATCH_CS # INT_CLEAR_CS # ACP_CONF_CS) & !nFB_OE); + # FPGA_DATE_CS & FPGA_DATE[23..16] + ,(UHR_DS # UHR_AS # INT_CTR_CS # INT_ENA_CS # INT_LATCH_CS # INT_CLEAR_CS # ACP_CONF_CS # FPGA_DATE_CS) & !nFB_OE); FB_AD[15..8] = lpm_bustri_BYT( INT_CTR_CS & INT_CTR[15..8] # INT_ENA_CS & INT_ENA[15..8] # INT_LATCH_CS & INT_LATCH[15..8] # INT_CLEAR_CS & INT_IN[15..8] # ACP_CONF_CS & ACP_CONF[15..8] - ,(INT_CTR_CS # INT_ENA_CS # INT_LATCH_CS # INT_CLEAR_CS # ACP_CONF_CS) & !nFB_OE); + # FPGA_DATE_CS & FPGA_DATE[15..8] + ,(INT_CTR_CS # INT_ENA_CS # INT_LATCH_CS # INT_CLEAR_CS # ACP_CONF_CS # FPGA_DATE_CS) & !nFB_OE); FB_AD[7..0] = lpm_bustri_BYT( INT_CTR_CS & INT_CTR[7..0] # INT_ENA_CS & INT_ENA[7..0] # INT_LATCH_CS & INT_LATCH[7..0] # INT_CLEAR_CS & INT_IN[7..0] # ACP_CONF_CS & ACP_CONF[7..0] - ,(INT_CTR_CS # INT_ENA_CS # INT_LATCH_CS # INT_CLEAR_CS # ACP_CONF_CS) & !nFB_OE); + # FPGA_DATE_CS & FPGA_DATE[7..0] + ,(INT_CTR_CS # INT_ENA_CS # INT_LATCH_CS # INT_CLEAR_CS # ACP_CONF_CS # FPGA_DATE_CS) & !nFB_OE); INT_HANDLER_TA = INT_CTR_CS # INT_ENA_CS # INT_LATCH_CS # INT_CLEAR_CS; END; diff --git a/FPGA_by_Fredi/Interrupt_Handler/interrupt_handler.tdf.bak b/FPGA_by_Fredi/Interrupt_Handler/interrupt_handler.tdf.bak deleted file mode 100644 index 459192d..0000000 --- a/FPGA_by_Fredi/Interrupt_Handler/interrupt_handler.tdf.bak +++ /dev/null @@ -1,382 +0,0 @@ -TITLE "INTERRUPT HANDLER UND C1287"; - --- CREATED BY FREDI ASCHWANDEN - -INCLUDE "lpm_bustri_LONG.inc"; -INCLUDE "lpm_bustri_BYT.inc"; - - --- Parameters Statement (optional) - --- {{ALTERA_PARAMETERS_BEGIN}} DO NOT REMOVE THIS LINE! --- {{ALTERA_PARAMETERS_END}} DO NOT REMOVE THIS LINE! - - --- Subdesign Section - -SUBDESIGN interrupt_handler -( - -- {{ALTERA_IO_BEGIN}} DO NOT REMOVE THIS LINE! - MAIN_CLK : INPUT; - nFB_WR : INPUT; - nFB_CS1 : INPUT; - nFB_CS2 : INPUT; - FB_SIZE0 : INPUT; - FB_SIZE1 : INPUT; - FB_ADR[31..0] : INPUT; - PIC_INT : INPUT; - E0_INT : INPUT; - DVI_INT : INPUT; - nPCI_INTA : INPUT; - nPCI_INTB : INPUT; - nPCI_INTC : INPUT; - nPCI_INTD : INPUT; - nMFP_INT : INPUT; - nFB_OE : INPUT; - DSP_INT : INPUT; - VSYNC : INPUT; - HSYNC : INPUT; - DMA_DRQ : INPUT; - nRSTO : INPUT; - nIRQ[7..2] : OUTPUT; - INT_HANDLER_TA : OUTPUT; - ACP_CONF[31..0] : OUTPUT; - TIN0 : OUTPUT; - FB_AD[31..0] : BIDIR; - -- {{ALTERA_IO_END}} DO NOT REMOVE THIS LINE! -) - -VARIABLE - FB_B[3..0] :NODE; - INT_CTR[31..0] :DFFE; - INT_CTR_CS :NODE; - INT_LATCH[31..0] :DFF; - INT_LATCH_CS :NODE; - INT_CLEAR[31..0] :DFF; - INT_CLEAR_CS :NODE; - INT_IN[31..0] :NODE; - INT_ENA[31..0] :DFFE; - INT_ENA_CS :NODE; - INT_L[9..0] :DFF; - INT_LA[9..0][3..0] :DFF; - ACP_CONF[31..0] :DFFE; - ACP_CONF_CS :NODE; - PSEUDO_BUS_ERROR :NODE; - UHR_AS :NODE; - UHR_DS :NODE; - RTC_ADR[5..0] :DFFE; - ACHTELSEKUNDEN[2..0] :DFFE; - WERTE[7..0][63..0] :DFFE; -- WERTE REGISTER 0-63 - PIC_INT_SYNC[2..0] :DFF; - INC_SEC :NODE; - INC_MIN :NODE; - INC_STD :NODE; - INC_TAG :NODE; - ANZAHL_TAGE_DES_MONATS[7..0]:NODE; - WINTERZEIT :NODE; - SOMMERZEIT :NODE; - INC_MONAT :NODE; - INC_JAHR :NODE; - UPDATE_ON :NODE; - -BEGIN --- BYT SELECT - FB_B0 = FB_SIZE1 & !FB_SIZE0 & !FB_ADR1 -- HWORD - # !FB_SIZE1 & FB_SIZE0 & !FB_ADR1 & !FB_ADR0 -- HHBYT - # !FB_SIZE1 & !FB_SIZE0 # FB_SIZE1 & FB_SIZE0; -- LONG UND LINE - FB_B1 = FB_SIZE1 & !FB_SIZE0 & !FB_ADR1 -- HWORD - # !FB_SIZE1 & FB_SIZE0 & !FB_ADR1 & FB_ADR0 -- HLBYT - # !FB_SIZE1 & !FB_SIZE0 # FB_SIZE1 & FB_SIZE0; -- LONG UND LINE - FB_B2 = FB_SIZE1 & !FB_SIZE0 & FB_ADR1 -- LWORD - # !FB_SIZE1 & FB_SIZE0 & FB_ADR1 & !FB_ADR0 -- LHBYT - # !FB_SIZE1 & !FB_SIZE0 # FB_SIZE1 & FB_SIZE0; -- LONG UND LINE - FB_B3 = FB_SIZE1 & !FB_SIZE0 & FB_ADR1 -- LWORD - # !FB_SIZE1 & FB_SIZE0 & FB_ADR1 & FB_ADR0 -- LLBYT - # !FB_SIZE1 & !FB_SIZE0 # FB_SIZE1 & FB_SIZE0; -- LONG UND LINE - --- INTERRUPT CONTROL REGISTER: BIT0=INT5 AUSLÖSEN, 1=INT7 AUSLÖSEN - INT_CTR[].CLK = MAIN_CLK; - INT_CTR_CS = !nFB_CS2 & FB_ADR[27..2]==H"4000"; -- $10000/4 - INT_CTR[] = FB_AD[]; - INT_CTR[31..24].ENA = INT_CTR_CS & FB_B0 & !nFB_WR; - INT_CTR[23..16].ENA = INT_CTR_CS & FB_B1 & !nFB_WR; - INT_CTR[15..8].ENA = INT_CTR_CS & FB_B2 & !nFB_WR; - INT_CTR[7..0].ENA = INT_CTR_CS & FB_B3 & !nFB_WR; --- INTERRUPT ENABLE REGISTER BIT31=INT7,30=INT6,29=INT5,28=INT4,27=INT3,26=INT2 - INT_ENA[].CLK = MAIN_CLK; - INT_ENA[].CLRN = nRSTO; - INT_ENA_CS = !nFB_CS2 & FB_ADR[27..2]==H"4001"; -- $10004/4 - INT_ENA[] = FB_AD[]; - INT_ENA[31..24].ENA = INT_ENA_CS & FB_B0 & !nFB_WR; - INT_ENA[23..16].ENA = INT_ENA_CS & FB_B1 & !nFB_WR; - INT_ENA[15..8].ENA = INT_ENA_CS & FB_B2 & !nFB_WR; - INT_ENA[7..0].ENA = INT_ENA_CS & FB_B3 & !nFB_WR; --- INTERRUPT CLEAR REGISTER WRITE ONLY 1=INTERRUPT CLEAR - INT_CLEAR[].CLK = MAIN_CLK; - INT_CLEAR_CS = !nFB_CS2 & FB_ADR[27..2]==H"4002"; -- $10008/4 - INT_CLEAR[31..24] = FB_AD[31..24] & INT_CLEAR_CS & FB_B0 & !nFB_WR; - INT_CLEAR[23..16] = FB_AD[23..16] & INT_CLEAR_CS & FB_B1 & !nFB_WR; - INT_CLEAR[15..8] = FB_AD[15..8] & INT_CLEAR_CS & FB_B2 & !nFB_WR; - INT_CLEAR[7..0] = FB_AD[7..0] & INT_CLEAR_CS & FB_B3 & !nFB_WR; --- INTERRUPT LATCH REGISTER READ ONLY - INT_LATCH_CS = !nFB_CS2 & FB_ADR[27..2]==H"4003"; -- $1000C/4 --- INTERRUPT - !nIRQ2 = HSYNC & INT_ENA[26]; - !nIRQ3 = INT_CTR0 & INT_ENA[27]; - !nIRQ4 = VSYNC & INT_ENA[28]; - nIRQ5 = INT_LATCH[]==H"00000000" & INT_ENA[29]; - !nIRQ6 = !nMFP_INT & INT_ENA[30]; - !nIRQ7 = PSEUDO_BUS_ERROR & INT_ENA[31]; - -PSEUDO_BUS_ERROR = !nFB_CS1 & (FB_ADR[19..4]==H"F8C8" -- SCC - # FB_ADR[19..4]==H"F8E0" -- VME --- # FB_ADR[19..4]==H"F920" -- PADDLE --- # FB_ADR[19..4]==H"F921" -- PADDLE --- # FB_ADR[19..4]==H"F922" -- PADDLE - # FB_ADR[19..4]==H"FFA8" -- MFP2 - # FB_ADR[19..4]==H"FFA9" -- MFP2 - # FB_ADR[19..4]==H"FFAA" -- MFP2 - # FB_ADR[19..4]==H"FFA8" -- MFP2 - # FB_ADR[19..8]==H"F87" -- TT SCSI - # FB_ADR[19..4]==H"FFC2" -- ST UHR - # FB_ADR[19..4]==H"FFC3" -- ST UHR --- # FB_ADR[19..4]==H"F890" -- DMA SOUND --- # FB_ADR[19..4]==H"F891" -- DMA SOUND --- # FB_ADR[19..4]==H"F892" -- DMA SOUND - ); --- IF VIDEO ADR CHANGE -TIN0 = !nFB_CS1 & FB_ADR[19..1]==H"7C100" & !nFB_WR; -- WRITE VIDEO BASE ADR HIGH 0xFFFF8201/2 - --- INTERRUPT LATCH - INT_L[].CLK = MAIN_CLK; - INT_L[].CLRN = nRSTO; - INT_L0 = PIC_INT & INT_ENA[0]; - INT_L1 = E0_INT & INT_ENA[1]; - INT_L2 = DVI_INT & INT_ENA[2]; - INT_L3 = !nPCI_INTA & INT_ENA[3]; - INT_L4 = !nPCI_INTB & INT_ENA[4]; - INT_L5 = !nPCI_INTC & INT_ENA[5]; - INT_L6 = !nPCI_INTD & INT_ENA[6]; - INT_L7 = DSP_INT & INT_ENA[7]; - INT_L8 = VSYNC & INT_ENA[8]; - INT_L9 = HSYNC & INT_ENA[9]; - - INT_LA[][].CLK = MAIN_CLK; - INT_LATCH[] = H"FFFFFFFF"; - INT_LATCH[].CLRN = !INT_CLEAR[] & nRSTO; - FOR I IN 0 TO 9 GENERATE - INT_LA[I][].CLRN = INT_ENA[I] & nRSTO; - INT_LA[I][] = INT_LA[I][]+1 & INT_L[I] & INT_LA[I][]<7 - # INT_LA[I][]-1 & !INT_L[I] & INT_LA[I][]>8 - # 15 & INT_L[I] & INT_LA[I][]>6 - # 0 & !INT_L[I] & INT_LA[I][]<9; - INT_LATCH[I].CLK = INT_LA[I][3]; - END GENERATE; - --- INT_IN - INT_IN0 = PIC_INT; - INT_IN1 = E0_INT; - INT_IN2 = DVI_INT; - INT_IN3 = !nPCI_INTA; - INT_IN4 = !nPCI_INTB; - INT_IN5 = !nPCI_INTC; - INT_IN6 = !nPCI_INTD; - INT_IN7 = DSP_INT; - INT_IN8 = VSYNC; - INT_IN9 = HSYNC; - INT_IN[25..10] = H"0"; - INT_IN26 = HSYNC; - INT_IN27 = INT_CTR0; - INT_IN28 = VSYNC; - INT_IN29 = INT_LATCH[]!=H"00000000"; - INT_IN30 = !nMFP_INT; - INT_IN31 = DMA_DRQ; ---*************************************************************************************** --- ACP CONFIG REGISTER: BIT 31-> 0=CF 1=IDE - ACP_CONF[].CLK = MAIN_CLK; - ACP_CONF_CS = !nFB_CS2 & FB_ADR[27..2]==H"10000"; -- $4'0000/4 - ACP_CONF[] = FB_AD[]; - ACP_CONF[31..24].ENA = ACP_CONF_CS & FB_B0 & !nFB_WR; - ACP_CONF[23..16].ENA = ACP_CONF_CS & FB_B1 & !nFB_WR; - ACP_CONF[15..8].ENA = ACP_CONF_CS & FB_B2 & !nFB_WR; - ACP_CONF[7..0].ENA = ACP_CONF_CS & FB_B3 & !nFB_WR; ---*************************************************************************************** - --------------------------------------------------------------- --- C1287 0=SEK 2=MIN 4=STD 6=WOCHENTAG 7=TAG 8=MONAT 9=JAHR ----------------------------------------------------------- - RTC_ADR[].CLK = MAIN_CLK; - RTC_ADR[] = FB_AD[21..16]; - UHR_AS = !nFB_CS1 & FB_ADR[19..1]==H"7C4B0" & FB_B1; -- FFFF8961 - UHR_DS = !nFB_CS1 & FB_ADR[19..1]==H"7C4B1" & FB_B3; -- FFFF8963 - RTC_ADR[].ENA = UHR_AS & !nFB_WR; - WERTE[][].CLK = MAIN_CLK; - WERTE[7..0][0] = FB_AD[23..16] & RTC_ADR[]==0 & UHR_DS & !nFB_WR; - WERTE[7..0][1] = FB_AD[23..16]; - WERTE[7..0][2] = FB_AD[23..16] & RTC_ADR[]==2 & UHR_DS & !nFB_WR; - WERTE[7..0][3] = FB_AD[23..16]; - WERTE[7..0][4] = FB_AD[23..16] & RTC_ADR[]==4 & UHR_DS & !nFB_WR; - WERTE[7..0][5] = FB_AD[23..16]; - WERTE[7..0][6] = FB_AD[23..16] & RTC_ADR[]==6 & UHR_DS & !nFB_WR; - WERTE[7..0][7] = FB_AD[23..16] & RTC_ADR[]==7 & UHR_DS & !nFB_WR; - WERTE[7..0][8] = FB_AD[23..16] & RTC_ADR[]==8 & UHR_DS & !nFB_WR; - WERTE[7..0][9] = FB_AD[23..16] & RTC_ADR[]==9 & UHR_DS & !nFB_WR; - FOR I IN 10 TO 63 GENERATE - WERTE[7..0][I] = FB_AD[23..16]; - END GENERATE; - FOR I IN 0 TO 63 GENERATE - WERTE[][I].ENA = RTC_ADR[]==I & UHR_DS & !nFB_WR; - END GENERATE; - PIC_INT_SYNC[].CLK = MAIN_CLK; - PIC_INT_SYNC[0] = PIC_INT; - PIC_INT_SYNC[1] = PIC_INT_SYNC[0]; - PIC_INT_SYNC[2] = !PIC_INT_SYNC[1] & PIC_INT_SYNC[0]; - UPDATE_ON = !WERTE[7][11]; - WERTE[6][10].CLRN = GND; -- KEIN UIP - UPDATE_ON = !WERTE[7][11]; -- UPDATE ON OFF - WERTE[2][11] = VCC; -- IMMER BINARY - WERTE[1][11] = VCC; -- IMMER 24H FORMAT - WERTE[0][11] = VCC; -- IMMER SOMMERZEITKORREKTUR - WERTE[7][13] = VCC; -- IMMER RICHTIG --- SOMMER WINTERZEIT: BIT 0 IM REGISTER D IST DIE INFORMATION OB SOMMERZEIT IST (BRAUCHT MAN FÜR RÜCKSCHALTUNG) - SOMMERZEIT = WERTE[][6]==1 & WERTE[][4]==1 & WERTE[][8]==4 & WERTE[][7]>23; --LETZTER SONNTAG IM APRIL - WERTE[0][13] = SOMMERZEIT; - WERTE[0][13].ENA = INC_STD & (SOMMERZEIT # WINTERZEIT); - WINTERZEIT = WERTE[][6]==1 & WERTE[][4]==1 & WERTE[][8]==10 & WERTE[][7]>24 & WERTE[0][13]; --LETZTER SONNTAG IM OKTOBER --- ACHTELSEKUNDEN - ACHTELSEKUNDEN[].CLK = MAIN_CLK; - ACHTELSEKUNDEN[] = ACHTELSEKUNDEN[]+1; - ACHTELSEKUNDEN[].ENA = PIC_INT_SYNC[2] & UPDATE_ON; --- SEKUNDEN - INC_SEC = ACHTELSEKUNDEN[]==7 & PIC_INT_SYNC[2] & UPDATE_ON; - WERTE[][0] = (WERTE[][0]+1) & WERTE[][0]!=59 & !(RTC_ADR[]==0 & UHR_DS & !nFB_WR); -- SEKUNDEN ZÄHLEN BIS 59 - WERTE[][0].ENA = INC_SEC & !(RTC_ADR[]==0 & UHR_DS & !nFB_WR); --- MINUTEN - INC_MIN = INC_SEC & WERTE[][0]==59; -- - WERTE[][2] = (WERTE[][2]+1) & WERTE[][2]!=59 & !(RTC_ADR[]==2 & UHR_DS & !nFB_WR); -- MINUTEN ZÄHLEN BIS 59 - WERTE[][2].ENA = INC_MIN & !(RTC_ADR[]==2 & UHR_DS & !nFB_WR); -- --- STUNDEN - INC_STD = INC_MIN & WERTE[][2]==59; - WERTE[][4] = (WERTE[][4]+1+(1 & SOMMERZEIT)) & WERTE[][4]!=23 & !(RTC_ADR[]==4 & UHR_DS & !nFB_WR); -- STUNDEN ZÄHLEN BIS 23 - WERTE[][4].ENA = INC_STD & !(WINTERZEIT & WERTE[0][12]) & !(RTC_ADR[]==4 & UHR_DS & !nFB_WR); -- EINE STUNDE AUSLASSEN WENN WINTERZEITUMSCHALTUNG UND NOCH SOMMERZEIT --- WOCHENTAG UND TAG - INC_TAG = INC_STD & WERTE[][2]==23; - WERTE[][6] = (WERTE[][6]+1) & WERTE[][6]!=7 & !(RTC_ADR[]==6 & UHR_DS & !nFB_WR) -- WOCHENTAG ZÄHLEN BIS 7 - # 1 & WERTE[][6]==7 & !(RTC_ADR[]==6 & UHR_DS & !nFB_WR); -- DANN BEI 1 WEITER - WERTE[][6].ENA = INC_TAG & !(RTC_ADR[]==6 & UHR_DS & !nFB_WR); - ANZAHL_TAGE_DES_MONATS[] = 31 & (WERTE[][8]==1 # WERTE[][8]==3 # WERTE[][8]==5 # WERTE[][8]==7 # WERTE[][8]==8 # WERTE[][8]==10 # WERTE[][8]==12) - # 30 & (WERTE[][8]==4 # WERTE[][8]==6 # WERTE[][8]==9 # WERTE[][8]==11) - # 29 & WERTE[][8]==2 & WERTE[1..0][9]==0 - # 28 & WERTE[][8]==2 & WERTE[1..0][9]!=0; - WERTE[][7] = (WERTE[][7]+1) & WERTE[][7]!=ANZAHL_TAGE_DES_MONATS[] & !(RTC_ADR[]==7 & UHR_DS & !nFB_WR) -- TAG ZÄHLEN BIS MONATSENDE - # 1 & WERTE[][7]==ANZAHL_TAGE_DES_MONATS[] & !(RTC_ADR[]==7 & UHR_DS & !nFB_WR); -- DANN BEI 1 WEITER - WERTE[][7].ENA = INC_TAG & !(RTC_ADR[]==7 & UHR_DS & !nFB_WR); -- --- MONATE - INC_MONAT = INC_TAG & WERTE[][7]==ANZAHL_TAGE_DES_MONATS[]; -- - WERTE[][8] = (WERTE[][8]+1) & WERTE[][8]!=12 & !(RTC_ADR[]==8 & UHR_DS & !nFB_WR) -- MONATE ZÄHLEN BIS 12 - # 1 & WERTE[][8]==12 & !(RTC_ADR[]==8 & UHR_DS & !nFB_WR); -- DANN BEI 1 WEITER - WERTE[][8].ENA = INC_MONAT & !(RTC_ADR[]==8 & UHR_DS & !nFB_WR); --- JAHR - INC_JAHR = INC_MONAT & WERTE[][8]==12; -- - WERTE[][9] = (WERTE[][9]+1) & WERTE[][9]!=99 & !(RTC_ADR[]==9 & UHR_DS & !nFB_WR); -- JAHRE ZÄHLEN BIS 99 - WERTE[][9].ENA = INC_JAHR & !(RTC_ADR[]==9 & UHR_DS & !nFB_WR); --- TRISTATE OUTPUT - - FB_AD[31..24] = lpm_bustri_BYT( - INT_CTR_CS & INT_CTR[31..24] - # INT_ENA_CS & INT_ENA[31..24] - # INT_LATCH_CS & INT_LATCH[31..24] - # INT_CLEAR_CS & INT_IN[31..24] - # ACP_CONF_CS & ACP_CONF[31..24] - ,(INT_CTR_CS # INT_ENA_CS # INT_LATCH_CS # INT_CLEAR_CS # ACP_CONF_CS) & !nFB_OE); - FB_AD[23..16] = lpm_bustri_BYT( - WERTE[][0] & RTC_ADR[]==0 & UHR_DS - # WERTE[][1] & RTC_ADR[]==1 & UHR_DS - # WERTE[][2] & RTC_ADR[]==2 & UHR_DS - # WERTE[][3] & RTC_ADR[]==3 & UHR_DS - # WERTE[][4] & RTC_ADR[]==4 & UHR_DS - # WERTE[][5] & RTC_ADR[]==5 & UHR_DS - # WERTE[][6] & RTC_ADR[]==6 & UHR_DS - # WERTE[][7] & RTC_ADR[]==7 & UHR_DS - # WERTE[][8] & RTC_ADR[]==8 & UHR_DS - # WERTE[][9] & RTC_ADR[]==9 & UHR_DS - # WERTE[][10] & RTC_ADR[]==10 & UHR_DS - # WERTE[][11] & RTC_ADR[]==11 & UHR_DS - # WERTE[][12] & RTC_ADR[]==12 & UHR_DS - # WERTE[][13] & RTC_ADR[]==13 & UHR_DS - # WERTE[][14] & RTC_ADR[]==14 & UHR_DS - # WERTE[][15] & RTC_ADR[]==15 & UHR_DS - # WERTE[][16] & RTC_ADR[]==16 & UHR_DS - # WERTE[][17] & RTC_ADR[]==17 & UHR_DS - # WERTE[][18] & RTC_ADR[]==18 & UHR_DS - # WERTE[][19] & RTC_ADR[]==19 & UHR_DS - # WERTE[][20] & RTC_ADR[]==20 & UHR_DS - # WERTE[][21] & RTC_ADR[]==21 & UHR_DS - # WERTE[][22] & RTC_ADR[]==22 & UHR_DS - # WERTE[][23] & RTC_ADR[]==23 & UHR_DS - # WERTE[][24] & RTC_ADR[]==24 & UHR_DS - # WERTE[][25] & RTC_ADR[]==25 & UHR_DS - # WERTE[][26] & RTC_ADR[]==26 & UHR_DS - # WERTE[][27] & RTC_ADR[]==27 & UHR_DS - # WERTE[][28] & RTC_ADR[]==28 & UHR_DS - # WERTE[][29] & RTC_ADR[]==29 & UHR_DS - # WERTE[][30] & RTC_ADR[]==30 & UHR_DS - # WERTE[][31] & RTC_ADR[]==31 & UHR_DS - # WERTE[][32] & RTC_ADR[]==32 & UHR_DS - # WERTE[][33] & RTC_ADR[]==33 & UHR_DS - # WERTE[][34] & RTC_ADR[]==34 & UHR_DS - # WERTE[][35] & RTC_ADR[]==35 & UHR_DS - # WERTE[][36] & RTC_ADR[]==36 & UHR_DS - # WERTE[][37] & RTC_ADR[]==37 & UHR_DS - # WERTE[][38] & RTC_ADR[]==38 & UHR_DS - # WERTE[][39] & RTC_ADR[]==39 & UHR_DS - # WERTE[][40] & RTC_ADR[]==40 & UHR_DS - # WERTE[][41] & RTC_ADR[]==41 & UHR_DS - # WERTE[][42] & RTC_ADR[]==42 & UHR_DS - # WERTE[][43] & RTC_ADR[]==43 & UHR_DS - # WERTE[][44] & RTC_ADR[]==44 & UHR_DS - # WERTE[][45] & RTC_ADR[]==45 & UHR_DS - # WERTE[][46] & RTC_ADR[]==46 & UHR_DS - # WERTE[][47] & RTC_ADR[]==47 & UHR_DS - # WERTE[][48] & RTC_ADR[]==48 & UHR_DS - # WERTE[][49] & RTC_ADR[]==49 & UHR_DS - # WERTE[][50] & RTC_ADR[]==50 & UHR_DS - # WERTE[][51] & RTC_ADR[]==51 & UHR_DS - # WERTE[][52] & RTC_ADR[]==52 & UHR_DS - # WERTE[][53] & RTC_ADR[]==53 & UHR_DS - # WERTE[][54] & RTC_ADR[]==54 & UHR_DS - # WERTE[][55] & RTC_ADR[]==55 & UHR_DS - # WERTE[][56] & RTC_ADR[]==56 & UHR_DS - # WERTE[][57] & RTC_ADR[]==57 & UHR_DS - # WERTE[][58] & RTC_ADR[]==58 & UHR_DS - # WERTE[][59] & RTC_ADR[]==59 & UHR_DS - # WERTE[][60] & RTC_ADR[]==60 & UHR_DS - # WERTE[][61] & RTC_ADR[]==61 & UHR_DS - # WERTE[][62] & RTC_ADR[]==62 & UHR_DS - # WERTE[][63] & RTC_ADR[]==63 & UHR_DS - # (0,RTC_ADR[]) & UHR_AS - # INT_CTR_CS & INT_CTR[23..16] - # INT_ENA_CS & INT_ENA[23..16] - # INT_LATCH_CS & INT_LATCH[23..16] - # INT_CLEAR_CS & INT_IN[23..16] - # ACP_CONF_CS & ACP_CONF[23..16] - ,(UHR_DS # UHR_AS # INT_CTR_CS # INT_ENA_CS # INT_LATCH_CS # INT_CLEAR_CS # ACP_CONF_CS) & !nFB_OE); - FB_AD[15..8] = lpm_bustri_BYT( - INT_CTR_CS & INT_CTR[15..8] - # INT_ENA_CS & INT_ENA[15..8] - # INT_LATCH_CS & INT_LATCH[15..8] - # INT_CLEAR_CS & INT_IN[15..8] - # ACP_CONF_CS & ACP_CONF[15..8] - ,(INT_CTR_CS # INT_ENA_CS # INT_LATCH_CS # INT_CLEAR_CS # ACP_CONF_CS) & !nFB_OE); - FB_AD[7..0] = lpm_bustri_BYT( - INT_CTR_CS & INT_CTR[7..0] - # INT_ENA_CS & INT_ENA[7..0] - # INT_LATCH_CS & INT_LATCH[7..0] - # INT_CLEAR_CS & INT_IN[7..0] - # ACP_CONF_CS & ACP_CONF[7..0] - ,(INT_CTR_CS # INT_ENA_CS # INT_LATCH_CS # INT_CLEAR_CS # ACP_CONF_CS) & !nFB_OE); - - INT_HANDLER_TA = INT_CTR_CS # INT_ENA_CS # INT_LATCH_CS # INT_CLEAR_CS; -END; - - diff --git a/FPGA_by_Fredi/PLLJ_PLLSPE_INFO.txt b/FPGA_by_Fredi/PLLJ_PLLSPE_INFO.txt deleted file mode 100644 index 797d4f8..0000000 --- a/FPGA_by_Fredi/PLLJ_PLLSPE_INFO.txt +++ /dev/null @@ -1,20 +0,0 @@ -PLL_Name altpll1:inst|altpll:altpll_component|altpll_3vp2:auto_generated|pll1 -PLLJITTER 36 -PLLSPEmax 84 -PLLSPEmin -53 - -PLL_Name altpll2:inst12|altpll:altpll_component|altpll_1r33:auto_generated|pll1 -PLLJITTER 43 -PLLSPEmax 84 -PLLSPEmin -53 - -PLL_Name altpll3:inst13|altpll:altpll_component|altpll_aus2:auto_generated|pll1 -PLLJITTER NA -PLLSPEmax 84 -PLLSPEmin -53 - -PLL_Name altpll4:inst22|altpll:altpll_component|altpll_r4n2:auto_generated|pll1 -PLLJITTER 31 -PLLSPEmax 84 -PLLSPEmin -53 - diff --git a/FPGA_by_Fredi/UNUSED b/FPGA_by_Fredi/UNUSED deleted file mode 100644 index 3a7d9e6..0000000 --- a/FPGA_by_Fredi/UNUSED +++ /dev/null @@ -1,27 +0,0 @@ - --- Clearbox generated Memory Initialization File (.mif) - -WIDTH=3; -DEPTH=16; - -ADDRESS_RADIX=HEX; -DATA_RADIX=HEX; - -CONTENT BEGIN - 00 : 7; - 01 : 6; - 02 : 5; - 03 : 4; - 04 : 3; - 05 : 2; - 06 : 1; - 07 : 0; - 08 : 7; - 09 : 6; - 0a : 5; - 0b : 4; - 0c : 3; - 0d : 2; - 0e : 1; - 0f : 0; -END; diff --git a/FPGA_by_Fredi/Video/BLITTER/altsyncram0.bsf b/FPGA_by_Fredi/Video/BLITTER/altsyncram0.bsf deleted file mode 100644 index b0acfb7..0000000 --- a/FPGA_by_Fredi/Video/BLITTER/altsyncram0.bsf +++ /dev/null @@ -1,110 +0,0 @@ -/* -WARNING: Do NOT edit the input and output ports in this file in a text -editor if you plan to continue editing the block that represents it in -the Block Editor! File corruption is VERY likely to occur. -*/ -/* -Copyright (C) 1991-2010 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. -*/ -(header "symbol" (version "1.1")) -(symbol - (rect 0 0 256 128) - (text "altsyncram0" (rect 84 2 187 21)(font "Arial" (font_size 10))) - (text "inst" (rect 8 109 31 124)(font "Arial" )) - (port - (pt 0 32) - (input) - (text "data[15..0]" (rect 0 0 73 16)(font "Arial" (font_size 8))) - (text "data[15..0]" (rect 4 16 66 32)(font "Arial" (font_size 8))) - (line (pt 0 32)(pt 112 32)(line_width 3)) - ) - (port - (pt 0 48) - (input) - (text "address[3..0]" (rect 0 0 89 16)(font "Arial" (font_size 8))) - (text "address[3..0]" (rect 4 32 80 48)(font "Arial" (font_size 8))) - (line (pt 0 48)(pt 112 48)(line_width 3)) - ) - (port - (pt 0 64) - (input) - (text "wren" (rect 0 0 31 16)(font "Arial" (font_size 8))) - (text "wren" (rect 4 48 31 64)(font "Arial" (font_size 8))) - (line (pt 0 64)(pt 112 64)(line_width 1)) - ) - (port - (pt 0 88) - (input) - (text "byteena_a[1..0]" (rect 0 0 106 16)(font "Arial" (font_size 8))) - (text "byteena_a[1..0]" (rect 4 72 94 88)(font "Arial" (font_size 8))) - (line (pt 0 88)(pt 112 88)(line_width 3)) - ) - (port - (pt 0 104) - (input) - (text "clock" (rect 0 0 36 16)(font "Arial" (font_size 8))) - (text "clock" (rect 4 88 35 104)(font "Arial" (font_size 8))) - (line (pt 0 104)(pt 104 104)(line_width 1)) - ) - (port - (pt 256 32) - (output) - (text "q[15..0]" (rect 0 0 51 16)(font "Arial" (font_size 8))) - (text "q[15..0]" (rect 209 16 253 32)(font "Arial" (font_size 8))) - (line (pt 256 32)(pt 168 32)(line_width 3)) - ) - (drawing - (text "16 Word(s)" (rect 133 35 147 90)(font "Arial" )(vertical)) - (text "RAM" (rect 149 49 163 72)(font "Arial" )(vertical)) - (text "Block Type: AUTO" (rect 41 106 129 120)(font "Arial" )) - (line (pt 128 24)(pt 168 24)(line_width 1)) - (line (pt 168 24)(pt 168 96)(line_width 1)) - (line (pt 168 96)(pt 128 96)(line_width 1)) - (line (pt 128 96)(pt 128 24)(line_width 1)) - (line (pt 112 27)(pt 120 27)(line_width 1)) - (line (pt 120 27)(pt 120 39)(line_width 1)) - (line (pt 120 39)(pt 112 39)(line_width 1)) - (line (pt 112 39)(pt 112 27)(line_width 1)) - (line (pt 112 34)(pt 114 36)(line_width 1)) - (line (pt 114 36)(pt 112 38)(line_width 1)) - (line (pt 104 36)(pt 112 36)(line_width 1)) - (line (pt 120 32)(pt 128 32)(line_width 3)) - (line (pt 112 43)(pt 120 43)(line_width 1)) - (line (pt 120 43)(pt 120 55)(line_width 1)) - (line (pt 120 55)(pt 112 55)(line_width 1)) - (line (pt 112 55)(pt 112 43)(line_width 1)) - (line (pt 112 50)(pt 114 52)(line_width 1)) - (line (pt 114 52)(pt 112 54)(line_width 1)) - (line (pt 104 52)(pt 112 52)(line_width 1)) - (line (pt 120 48)(pt 128 48)(line_width 3)) - (line (pt 112 59)(pt 120 59)(line_width 1)) - (line (pt 120 59)(pt 120 71)(line_width 1)) - (line (pt 120 71)(pt 112 71)(line_width 1)) - (line (pt 112 71)(pt 112 59)(line_width 1)) - (line (pt 112 66)(pt 114 68)(line_width 1)) - (line (pt 114 68)(pt 112 70)(line_width 1)) - (line (pt 104 68)(pt 112 68)(line_width 1)) - (line (pt 120 64)(pt 128 64)(line_width 1)) - (line (pt 112 83)(pt 120 83)(line_width 1)) - (line (pt 120 83)(pt 120 95)(line_width 1)) - (line (pt 120 95)(pt 112 95)(line_width 1)) - (line (pt 112 95)(pt 112 83)(line_width 1)) - (line (pt 112 90)(pt 114 92)(line_width 1)) - (line (pt 114 92)(pt 112 94)(line_width 1)) - (line (pt 104 92)(pt 112 92)(line_width 1)) - (line (pt 120 88)(pt 128 88)(line_width 3)) - (line (pt 104 36)(pt 104 105)(line_width 1)) - ) -) diff --git a/FPGA_by_Fredi/Video/BLITTER/altsyncram0.inc b/FPGA_by_Fredi/Video/BLITTER/altsyncram0.inc index f3eee7b..4137fa8 100644 --- a/FPGA_by_Fredi/Video/BLITTER/altsyncram0.inc +++ b/FPGA_by_Fredi/Video/BLITTER/altsyncram0.inc @@ -15,13 +15,18 @@ FUNCTION altsyncram0 ( - address[3..0], + address_a[3..0], + address_b[3..0], byteena_a[1..0], - clock, - data[15..0], - wren + clock_a, + clock_b, + data_a[15..0], + data_b[15..0], + wren_a, + wren_b ) RETURNS ( - q[15..0] + q_a[15..0], + q_b[15..0] ); diff --git a/FPGA_by_Fredi/Video/BLITTER/altsyncram0.qip b/FPGA_by_Fredi/Video/BLITTER/altsyncram0.qip index c42bd21..767f8c4 100644 --- a/FPGA_by_Fredi/Video/BLITTER/altsyncram0.qip +++ b/FPGA_by_Fredi/Video/BLITTER/altsyncram0.qip @@ -1,6 +1,4 @@ set_global_assignment -name IP_TOOL_NAME "ALTSYNCRAM" set_global_assignment -name IP_TOOL_VERSION "9.1" set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "altsyncram0.tdf"] -set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "altsyncram0.bsf"] set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "altsyncram0.inc"] -set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "altsyncram0.cmp"] diff --git a/FPGA_by_Fredi/Video/BLITTER/altsyncram0.tdf b/FPGA_by_Fredi/Video/BLITTER/altsyncram0.tdf index f260092..ef814b9 100644 --- a/FPGA_by_Fredi/Video/BLITTER/altsyncram0.tdf +++ b/FPGA_by_Fredi/Video/BLITTER/altsyncram0.tdf @@ -38,43 +38,63 @@ INCLUDE "altsyncram.inc"; SUBDESIGN altsyncram0 ( - address[3..0] : INPUT; + address_a[3..0] : INPUT; + address_b[3..0] : INPUT; byteena_a[1..0] : INPUT = VCC; - clock : INPUT = VCC; - data[15..0] : INPUT; - wren : INPUT = GND; - q[15..0] : OUTPUT; + clock_a : INPUT = VCC; + clock_b : INPUT; + data_a[15..0] : INPUT; + data_b[15..0] : INPUT; + wren_a : INPUT = GND; + wren_b : INPUT = GND; + q_a[15..0] : OUTPUT; + q_b[15..0] : OUTPUT; ) VARIABLE altsyncram_component : altsyncram WITH ( + ADDRESS_REG_B = "CLOCK1", BYTE_SIZE = 8, CLOCK_ENABLE_INPUT_A = "BYPASS", + CLOCK_ENABLE_INPUT_B = "BYPASS", CLOCK_ENABLE_OUTPUT_A = "BYPASS", + CLOCK_ENABLE_OUTPUT_B = "BYPASS", + INDATA_REG_B = "CLOCK1", INTENDED_DEVICE_FAMILY = "Cyclone III", - LPM_HINT = "ENABLE_RUNTIME_MOD=NO", LPM_TYPE = "altsyncram", NUMWORDS_A = 16, - OPERATION_MODE = "SINGLE_PORT", + NUMWORDS_B = 16, + OPERATION_MODE = "BIDIR_DUAL_PORT", OUTDATA_ACLR_A = "NONE", + OUTDATA_ACLR_B = "NONE", OUTDATA_REG_A = "UNREGISTERED", + OUTDATA_REG_B = "UNREGISTERED", POWER_UP_UNINITIALIZED = "FALSE", READ_DURING_WRITE_MODE_PORT_A = "NEW_DATA_WITH_NBE_READ", READ_DURING_WRITE_MODE_PORT_B = "NEW_DATA_WITH_NBE_READ", WIDTHAD_A = 4, + WIDTHAD_B = 4, WIDTH_A = 16, - WIDTH_BYTEENA_A = 2 + WIDTH_B = 16, + WIDTH_BYTEENA_A = 2, + WIDTH_BYTEENA_B = 1, + WRCONTROL_WRADDRESS_REG_B = "CLOCK1" ); BEGIN - q[15..0] = altsyncram_component.q_a[15..0]; - altsyncram_component.wren_a = wren; - altsyncram_component.clock0 = clock; + q_a[15..0] = altsyncram_component.q_a[15..0]; + q_b[15..0] = altsyncram_component.q_b[15..0]; + altsyncram_component.wren_a = wren_a; + altsyncram_component.clock0 = clock_a; + altsyncram_component.wren_b = wren_b; + altsyncram_component.clock1 = clock_b; altsyncram_component.byteena_a[1..0] = byteena_a[1..0]; - altsyncram_component.address_a[3..0] = address[3..0]; - altsyncram_component.data_a[15..0] = data[15..0]; + altsyncram_component.address_a[3..0] = address_a[3..0]; + altsyncram_component.address_b[3..0] = address_b[3..0]; + altsyncram_component.data_a[15..0] = data_a[15..0]; + altsyncram_component.data_b[15..0] = data_b[15..0]; END; @@ -100,13 +120,13 @@ END; -- Retrieval info: PRIVATE: CLRrren NUMERIC "0" -- Retrieval info: PRIVATE: CLRwraddress NUMERIC "0" -- Retrieval info: PRIVATE: CLRwren NUMERIC "0" --- Retrieval info: PRIVATE: Clock NUMERIC "0" +-- Retrieval info: PRIVATE: Clock NUMERIC "5" -- Retrieval info: PRIVATE: Clock_A NUMERIC "0" -- Retrieval info: PRIVATE: Clock_B NUMERIC "0" -- Retrieval info: PRIVATE: ECC NUMERIC "0" -- Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0" -- Retrieval info: PRIVATE: INDATA_ACLR_B NUMERIC "0" --- Retrieval info: PRIVATE: INDATA_REG_B NUMERIC "0" +-- Retrieval info: PRIVATE: INDATA_REG_B NUMERIC "1" -- Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A" -- Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0" -- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone III" @@ -116,17 +136,17 @@ END; -- Retrieval info: PRIVATE: MEMSIZE NUMERIC "256" -- Retrieval info: PRIVATE: MEM_IN_BITS NUMERIC "0" -- Retrieval info: PRIVATE: MIFfilename STRING "" --- Retrieval info: PRIVATE: OPERATION_MODE NUMERIC "1" +-- Retrieval info: PRIVATE: OPERATION_MODE NUMERIC "3" -- Retrieval info: PRIVATE: OUTDATA_ACLR_B NUMERIC "0" --- Retrieval info: PRIVATE: OUTDATA_REG_B NUMERIC "1" +-- Retrieval info: PRIVATE: OUTDATA_REG_B NUMERIC "0" -- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0" -- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_MIXED_PORTS NUMERIC "2" -- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_A NUMERIC "4" -- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_B NUMERIC "4" -- Retrieval info: PRIVATE: REGdata NUMERIC "1" -- Retrieval info: PRIVATE: REGq NUMERIC "0" --- Retrieval info: PRIVATE: REGrdaddress NUMERIC "1" --- Retrieval info: PRIVATE: REGrren NUMERIC "1" +-- Retrieval info: PRIVATE: REGrdaddress NUMERIC "0" +-- Retrieval info: PRIVATE: REGrren NUMERIC "0" -- Retrieval info: PRIVATE: REGwraddress NUMERIC "1" -- Retrieval info: PRIVATE: REGwren NUMERIC "1" -- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" @@ -138,44 +158,64 @@ END; -- Retrieval info: PRIVATE: WIDTH_WRITE_A NUMERIC "16" -- Retrieval info: PRIVATE: WIDTH_WRITE_B NUMERIC "16" -- Retrieval info: PRIVATE: WRADDR_ACLR_B NUMERIC "0" --- Retrieval info: PRIVATE: WRADDR_REG_B NUMERIC "0" +-- Retrieval info: PRIVATE: WRADDR_REG_B NUMERIC "1" -- Retrieval info: PRIVATE: WRCTRL_ACLR_B NUMERIC "0" -- Retrieval info: PRIVATE: enable NUMERIC "0" -- Retrieval info: PRIVATE: rden NUMERIC "0" +-- Retrieval info: CONSTANT: ADDRESS_REG_B STRING "CLOCK1" -- Retrieval info: CONSTANT: BYTE_SIZE NUMERIC "8" -- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS" +-- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_B STRING "BYPASS" -- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS" +-- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_B STRING "BYPASS" +-- Retrieval info: CONSTANT: INDATA_REG_B STRING "CLOCK1" -- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone III" --- Retrieval info: CONSTANT: LPM_HINT STRING "ENABLE_RUNTIME_MOD=NO" -- Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram" -- Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "16" --- Retrieval info: CONSTANT: OPERATION_MODE STRING "SINGLE_PORT" +-- Retrieval info: CONSTANT: NUMWORDS_B NUMERIC "16" +-- Retrieval info: CONSTANT: OPERATION_MODE STRING "BIDIR_DUAL_PORT" -- Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE" +-- Retrieval info: CONSTANT: OUTDATA_ACLR_B STRING "NONE" -- Retrieval info: CONSTANT: OUTDATA_REG_A STRING "UNREGISTERED" +-- Retrieval info: CONSTANT: OUTDATA_REG_B STRING "UNREGISTERED" -- Retrieval info: CONSTANT: POWER_UP_UNINITIALIZED STRING "FALSE" -- Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_PORT_A STRING "NEW_DATA_WITH_NBE_READ" -- Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_PORT_B STRING "NEW_DATA_WITH_NBE_READ" -- Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "4" +-- Retrieval info: CONSTANT: WIDTHAD_B NUMERIC "4" -- Retrieval info: CONSTANT: WIDTH_A NUMERIC "16" +-- Retrieval info: CONSTANT: WIDTH_B NUMERIC "16" -- Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "2" --- Retrieval info: USED_PORT: address 0 0 4 0 INPUT NODEFVAL address[3..0] +-- Retrieval info: CONSTANT: WIDTH_BYTEENA_B NUMERIC "1" +-- Retrieval info: CONSTANT: WRCONTROL_WRADDRESS_REG_B STRING "CLOCK1" +-- Retrieval info: USED_PORT: address_a 0 0 4 0 INPUT NODEFVAL address_a[3..0] +-- Retrieval info: USED_PORT: address_b 0 0 4 0 INPUT NODEFVAL address_b[3..0] -- Retrieval info: USED_PORT: byteena_a 0 0 2 0 INPUT VCC byteena_a[1..0] --- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC clock --- Retrieval info: USED_PORT: data 0 0 16 0 INPUT NODEFVAL data[15..0] --- Retrieval info: USED_PORT: q 0 0 16 0 OUTPUT NODEFVAL q[15..0] --- Retrieval info: USED_PORT: wren 0 0 0 0 INPUT GND wren --- Retrieval info: CONNECT: @data_a 0 0 16 0 data 0 0 16 0 --- Retrieval info: CONNECT: @wren_a 0 0 0 0 wren 0 0 0 0 --- Retrieval info: CONNECT: q 0 0 16 0 @q_a 0 0 16 0 --- Retrieval info: CONNECT: @address_a 0 0 4 0 address 0 0 4 0 +-- Retrieval info: USED_PORT: clock_a 0 0 0 0 INPUT VCC clock_a +-- Retrieval info: USED_PORT: clock_b 0 0 0 0 INPUT NODEFVAL clock_b +-- Retrieval info: USED_PORT: data_a 0 0 16 0 INPUT NODEFVAL data_a[15..0] +-- Retrieval info: USED_PORT: data_b 0 0 16 0 INPUT NODEFVAL data_b[15..0] +-- Retrieval info: USED_PORT: q_a 0 0 16 0 OUTPUT NODEFVAL q_a[15..0] +-- Retrieval info: USED_PORT: q_b 0 0 16 0 OUTPUT NODEFVAL q_b[15..0] +-- Retrieval info: USED_PORT: wren_a 0 0 0 0 INPUT GND wren_a +-- Retrieval info: USED_PORT: wren_b 0 0 0 0 INPUT GND wren_b +-- Retrieval info: CONNECT: @data_a 0 0 16 0 data_a 0 0 16 0 +-- Retrieval info: CONNECT: @wren_a 0 0 0 0 wren_a 0 0 0 0 +-- Retrieval info: CONNECT: q_a 0 0 16 0 @q_a 0 0 16 0 +-- Retrieval info: CONNECT: q_b 0 0 16 0 @q_b 0 0 16 0 +-- Retrieval info: CONNECT: @address_a 0 0 4 0 address_a 0 0 4 0 +-- Retrieval info: CONNECT: @data_b 0 0 16 0 data_b 0 0 16 0 +-- Retrieval info: CONNECT: @address_b 0 0 4 0 address_b 0 0 4 0 +-- Retrieval info: CONNECT: @wren_b 0 0 0 0 wren_b 0 0 0 0 -- Retrieval info: CONNECT: @byteena_a 0 0 2 0 byteena_a 0 0 2 0 --- Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0 +-- Retrieval info: CONNECT: @clock0 0 0 0 0 clock_a 0 0 0 0 +-- Retrieval info: CONNECT: @clock1 0 0 0 0 clock_b 0 0 0 0 -- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all -- Retrieval info: GEN_FILE: TYPE_NORMAL altsyncram0.tdf TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL altsyncram0.inc TRUE --- Retrieval info: GEN_FILE: TYPE_NORMAL altsyncram0.cmp TRUE --- Retrieval info: GEN_FILE: TYPE_NORMAL altsyncram0.bsf TRUE FALSE +-- Retrieval info: GEN_FILE: TYPE_NORMAL altsyncram0.cmp FALSE +-- Retrieval info: GEN_FILE: TYPE_NORMAL altsyncram0.bsf FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL altsyncram0_inst.tdf FALSE --- Retrieval info: GEN_FILE: TYPE_NORMAL altsyncram0_waveforms.html TRUE +-- Retrieval info: GEN_FILE: TYPE_NORMAL altsyncram0_waveforms.html FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL altsyncram0_wave*.jpg FALSE -- Retrieval info: LIB_FILE: altera_mf diff --git a/FPGA_by_Fredi/Video/BLITTER/altsyncram0_wave0.jpg b/FPGA_by_Fredi/Video/BLITTER/altsyncram0_wave0.jpg deleted file mode 100644 index 84314f4e1a03352ec152e2aff9a922132f3d7fea..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 90072 zcmeFa2|Sd0-#^aaw<#N=15GFWe6!@ zVg@IQWSP)0W|Up73DcF?{#W<2{hxF9`aSpWcc1%t?&tMNeVMt8Yp(D0{d_;4_vigt zM)*cJ3EOFV{N!=ih7B;-2IwD5I1aOdp8b7aUrzG(odNo5;WL=jwham!aho>mgKd=B zut{ozkOqT8*SY0i&JFw5uMHbFZQim~WZQO8G3W)2J7F6)Y}&MO^QJ9ZHgASrodEqF zwpnV6^xi{9x5_yAiR_D%)w^<|bepPWUAvs~0Pwy3*-KZqi|&$F*sZ9xUtL2}%fQgc z*yOOO)iLYiHYZNnx}0%!bNBG{@;?_47!({58g)7Prx;9Z+_l7{S92y>>zZo54vZmg>pPreWn`eJq zSzY6N0za>Rnb!u`rhmU>|1z;Z%u5QI*T&78H*FUAGOrCAW1z7~YV(%8hqg)|brSK5 zl-Z|uWt*(!jncaI?W+3DfZW+j1ERaWH(;uhX8%61|CrYpY{#Yz z(Bf^9f+1mo+ZuP%VgGAJRRZ4kAuw_yrh5Khn6c4MD4Sx5D@?{tOuak4;Vm@;K58H- z7E;jHihtxeFvFE7jN*6)6mS-v*FSn(qDpNR++g)f&Z0D5=?WOXg89b>4f)dC-<~vb zFWjgaJ$iqx2_Mj!Joa&X$tdRF%Xhxx?NJg!m@ejQuCF{Y%x;V;8Rj_7MTRZLPAw(m z8>=^RVrDp4!HoHn*w%4|RpG*8WDPzjAwryAx)xExw;6xEJ~PB8*RC^$WBHWfR?3G2 ziuywi<>}1Kt2cMkwXN=H)P!|d=)P)+D)k0$QDfxu6xkN+rWW-~zNNSob-k~Y zxfI&PwPs5Y%EP?Erwgk?ypjpgVfRBg_4hdBj|x3UE;q`~yHq>Kg+TvZ6GL4{4o=0U zIWuw^4l)^$Me4iCcUwR1IbbjrsNmzKq?}Bhy6BOv(4wN7w8u?GKB?MKD!J3IPIk&I zSyd91L$qxbT_uV(ggF)$Z}+;z zj_rMVu(1i)M?rW{%MlqZ4GjybVVh?A1@-964ZVqN`rp#I>|j zJa3F-9>-`4uHh9Z?0v{!NkMGmjG3Em`FVRCT_tg6h0xm4!}KoKQq41YD#>aeJ4(c) zi)0V&^y#~MtKjCllbXo*C!9#33 z&4{BPhLRdywGTN@_Zl!LwzTtT+&1~Rq=T`vT_>b=IfG_q2oF=xx)ptKb?;PtsJ8vNwXwp0pi_yc5cVsh3&A^)J+?^*D+z}K zWoB|h*ilL*8oU8-5D~)6#;9X~gjJDzA&l88C4}`6&~tM$31OM|St}|M%nKy&x2y|c zj*e&Vw#57?%SEqqKQ2ufsl9#PUA=z2PbCZIaN&YuxP#&0!%zHQ_P)Gu!DE8{-o0+_ z-IHuimwnE(pnF~j8@m&@>Cif*fipo}c;n?k@L!76j7JA7#hMcz68n2!J{SA==PeK< zlu5fM$?M|0vqBgxmH#X9^E6$*^X$AE?R5vUc6e`crKn6XHo!|d_oUzKS+tJkWSwTa zmDH9O^O}cAy2ozb`baFC!4%=b5_|MAD`jjnyPTf6lkWsQZh2IF%HF45_oa7PN(aCR z7qK+K_9e=lWcfL}mU`)C`YD_tEuL||4(*Cez@5KUa0t&jhI<9)tptP8pOC=MZ^wQQ z_3EqU-(qV|UAPhyOJ0bhq^{u?vn8-0;FVuz^YY^+TI268m}YZ+$|8Eqy>h(I@b%#r zjL60kel(an8;SNJCefI+tF1L~DU?iU1}Rktiyn0-uf!HAR%nu3pc0a%HxeX4%RvG$Phl+;qts?dBkL& zUSe#S>YMw2n%+9XVoQqKjCG0L``yQUo2AQT*8JH>+1jDc)1^)wqS5}E&n+)G*>_3* zf@lk{3zK@|fguGl%dylOL<}t5dUfoSJ==gbg>%owt%qC5av? zBp$CC@Qly24R@a|jcPrp9rEZPykL7Y=hhk2K0a@F!tX&x;tYDXTVlDh zN1Q@_uP8>x_Tp*U(J0e&hfup4-{0erraw=p!wZ;tnPpnEfu6{mi*gIoo*xhgDqUpU zb$aXFW|=^XdEwDl*Wvo5Tbwbs&*TQXWt1r#m(z-ulbfP^MV(n}ZtdrNXKd8ul~-Sh zKgY8L$A9&l2wR^Zv&_+4RVqOd_g%25TKPm188vU(SH>?c3mGxJEHiIRcSi;`CaDCy z5jXWHavvcvBTdemBf?T>?uoN!=g%U4pZ5#tk!7wsVGwfM zgE0m$`^*aCx3pguhWEQyG11 zB=2Q(wam$(?;A5tUl&VTJRo>=v#U@7Y_Xy`aq6y;jNK(Icjo+V(tNwfTF1~L_WqfR zSBpJ*E}bsQafwVUzO@=v)f4Nsfi>qhm0oQY==n4C70H)La+E0y#F$m?SU9U{C1Yx^ z7p>$_9`_5CrDd5JI5$vfs9-k^3H4Xh;=otTdX;%ah!FNeEMBmu4$hlf6O@$1j6CiZ zB%0|%raut+-77SCb(N!~-HrPbRx4iavY$3TYFB zmXYcASxY$Ac$E^n+mJdtH|h|vh$Hh!c|YpIE4hy0ix#a(C^raQs7L(RJ{rFUwMo*o=rJ{g6TjDv*by@ZmM3fM=P5fo`NO{#fV-zXf zW;DAira;hC31+(segkH@2&sw^X(2Jy3-spe_4kFapy09S>&2Vbv(|?DN2~p8?r=6a z~pVOzL z@$z9+y~x^&dc7AH!OW+ooq_m|I-LOup%4V7z4NrG=MctLXB_Tnxe0bmY1kC#nWv{~ z({P7I+v=*YGQisca@yrqZ5l2HNNW)Z=TAZ;8=e1RK9sC{}&9)H&5ly_f#H7>|%f)`_Ujef+;R@Y8Y$& z0Gv5p3z8D>I+4F)!k$I)48XJpA!8G%*_CtE7DObCZ_MmYQA<}c$5{_F@=;Outaj)v zG^|{ zO&(9qxV51@hboUhQkV3~lRVTh6*d|9*h}HXX7(LifR&_+?u(0;I$g>#p0Vl_&RbSm zR@)ky**wF22goO3;jC=A=WJtIbM_U8$x%Bw*ahDe9oDNXc50Ae2aH@R^~6|p;?Nu@B;N98qdG5wuhf;v*DKDc}p8)i;lOaLBonfYnUh-hCC zD!TqtBG2wV)_Cx;5SEHl2O72~0OO8ec94AX(q+fpm0 z>a}<8KEJTp7HH^yJa@;kc)nA4M~e-0-t&U@9lyGq$hX$sTTq^Dn^eH-HR@Z|v>-z4 zl!c;RWm=ZITe(?Z#${K@)Mczol)n(Ry&2bsGh=7de76oxs&|>=4p&82qo-0*RvDQE zNZ#Hu=5R&!6hqo(%Db1WFmDtSH)RJjBNak-ZS5Jf8}WX|(OZak5XN7=T4SLYiZcMH z7`rL?&Imb7^2mzw5Z0Hu##YZTb1LtzAxMP%gbV_^nG0DeTw72zHhg~>88wHO>}+a( zskq#=YkP$aQ*6oV*_cWOlR})WBApx797*y#8|)xedHmi*AA*H&h#{i2GtSaxY9so?#ablJMwdtCR_b5-@r^4#x!a&%MOt8PUk8y=~tjBf-NI!Q4-agN&YAaKiA_X9HI&DkD4s-+nW$CwGK-kx_+-AP-a9peA zkAUuViFu0*fiX*^$M?y51d(J&I7z;ZyW^oDYlIK!1*DFT5a5|?{T|$C2UwZ+O z`}hp;MqlxXCZMmVd^;jeFLKCYKjiN(a3Pv|^z4Wbwnh*fhVVlV3IQfPm`BUt1FO|( z@EvCHlXAlrdYJ1B+aaDyJn$N#R)?aG;713iChlEMb$>>Y!UmC!#_rGeC(R#vi=G>a zbH7pHb98^XN~uM0P{LYxP`=~X@Qe8e(M-|Dpe><^N~hXJUiZ#-iOMpwHg(-&eotK@ zTE$(%L9=OBK0=JXK7q)H{Dm5*5U0?lTI#Z6MTe}{6G|_4Ofo@>n-0}}u8VJ6*}<5A@1*O6Phy5Q1Niy3Q3Xw=!Ib!NtGClTT2 zq#31+ytmMe`|EXqI14f3F3Rc!Axwb+#Bh;9*vbmo03z-WxI2S|T*DBH??AsP2CRm} zzD4_K-Hpg9WF+UWy|7%)^Y&OLO*_L>(V{vh=@`qsdt7B7`XvhnEpk;~#ylTDoC<@Ye%EJ~J{-(BA^-BxzY(UG$&m&4;|{aefKdH9UK z{rt)d`BZ|R&C_8h3Sk3Jzp&!%j71>~qD1>z%$_RQCZn&Ex?T4ZZMcZ>a#iK$-|5XO zHf@vN%P#TatqtqoY=X(%kP6UY@Pnww$|nMgPg`F(+9;E%Nh%5;u5e1ye{(3dq4Dc z%2CQ8*G4jAJGIy*w?`&Dyt8~BXvJ5vz^2_hjQmncGgzi_2mAGUR&*+=qiu6;>yqAek&&efKUCNKMPhHN2sp72pE4A1eP1ZVSuCDR%gT~~sx8j|4{ z5eGW&a5Qj)N>J6T;!JzQVwT+rc6KLT5ko!pw81x?_2F7o=k)B@T3U&? z&57D4O;1*S#o&KZ9?`m6di%$PzLI@s@+1$IYo6|4MVd8gx%UP+=wDaN^|UH6cwq8G zP5$|T3u%3kcDgK|?1SW_7Yjc!Z$uhNl%Id9@iGb@kk%QvN7Gx`?o>e?`}C~pC&lew^4Uz5>v~M=W3aJcJScUxaE1?9!$?N>)q~r zZ^rbthvm*Blb)!e_X=HyZrwV0BzBY&pY#H_y+=iI6D?YMh-IeSZr+`pX>!g>h{l%N8+}h&@Y7Xcnj3tx`z7! zprTyx^v+5fk)(2Goj-p3pvZhn+5Iys z)Z~SPbjp!r!qDPG%yh!Ll&9~ z16U2VZet}@pJ4r}ZEriEK4s14k5r0Wftb1VqE{%MP6L>Kcl-e7N>xY*DKt;-c|=Hp z3ZXKD;Xo%JZ_uWW9d*t04jsPlM(tZ@{X!&4FTOp$Zj|syMA_!xuXQFEf6c*5IkJ(X zIHV2@Wn|x?8<5;_HpiDg+N>or+~IsON!H1$I0ecK+-6h_x&4YUe8CeT?42!=xpkN_ zGvy$JQRqls8miJ77VFOYZmfyN3t@a(pMm^p4QO^sZbBF#>xe)I^AW;6s>M~yZg`Id zu7xsI*hD;Ifgm_mCfFJ7Ex;KpbNNu-%5S;z4W~tN@g3ro`2Mb9Aq-!G|16yi9&L>H z3=UG3*PvUr&;7x`4=S$zRF~xH>*xh?ca`6_F2fptNAdS;5@J+x6G*&^?220?)Evny z!$0PJh#RGTqA{!j?c6v=H0ixW*u`4OF7dI-5thkP1JYm_8q8bv@cuKyl4^aR%}|=1;k}}Sl)9*?|i&9 z%X)X`Nv)i)NJG=1id(jqA9ToR_OOdozkgju#wf9DIOMAorov;uhNI5=o{k6V@J$tT zN+X^r4lS2)C&dr?q0O}zTqFRu@Ez^YGnpm)IEAhC<<|mfhPpr<|7LCQ4=YsK0_b5+ z!8f!-O%?0A0x_8uyTCkT`pAULU}LMg8^oc8k$K8s8c^v2w6#`R5gkQRFIUT-0P9$Z z$)BuloiHAo&MynS;rl2jKMqyeKPQAqS?GWr+s%#wGt6#kEBe`|{IOz6aw9_l>%&GQ zu0=@AHKWR7?h(_L3=+g9DcJ_eKvUYix?3o~sL_wr>MSV(YC8c?X4=)crOHQl0?K`U zQc1r%vzE->nQMDyZjv2c`PkZJCI?szc4$=-aVrXq_qzD5Xlnb%m-mZ2BxL*aweI8` zq>LUS=CDYUb#LAVNdX^xb8Y0jJQ^XkwoiW#T`bq1n0{SE$$ML^$qU;~W^E(eBgkFc z!PVXVzmiT5A#9w{5W|-yTt$Pws6g?B+2As6JO@ea%fdKc8Y&%?O4<6YJto=L5AnJV~~Nlw4ZKvxXe2%FqW7?9h>8mk|9mu znJw785+OJ%xh81_<+y)<=()~pCZtTPMPB`%)kZeIm1~0^&;-?>72hyL5hSN0n<&A{ z>uSi&6!v#!F;I5mfX#opng7MT{G(#}6W&2KdU+G}gkTtO=c&r8Y!lF+JyozQ*oEM% zj(y^gd3z~9GT5|;1d{MUV+pqe(h;Bs61bW`=Eexr&;WFYI=rFaAZ?ke(j4&zo!C#2 zL*UdVzy;3vCv5T+fy|uB_pdDs!)CHTFhtq%6-WpK}@H-kXn;XkiPUuiy2a zgB0}kHODl8dUD8e%VC+Yl05^}UG-*zROV9580DYt7Jd`>f3=f;f;j%+UVan!Zvy{K z!~cCYfJWmy{M{mf z|B2`|{wqL>_2=8q%Yq>)zQ3wl2;1=)%C|TqvYt|ce-*P8&*}zNAe?t?7V)2r_S$u~*1iZxLxpCp~TzA1v255!#=Da|n4D z^K{_gQ42c%DTH{*pcS5Z;3J+D!_W3L{?E>&_6#FEpCY}CjpiEpfb?h3->zm$hrksZ zf45=oA`r20;FSY}bll!vt|>O*b+ow%3L}J_<2xN#t;8p;6CtQ2LpAs`u_vvuUm|#9 z%CjVd32>e+p}*~be{(gy?SQ{uZGGDTe_@Bd*?@oZp}yIGKhXv}Z#gygsF97}T)H)t zoXwQnh?-@{W33duq zS-ngSt3*=H1ySjBFv-TO-l(U(3UT1rTj%a`Z{FfWUEDs+b?=;ai93_%ec~hezq09T zUfM4Gq8fL9{^PLl8K4A9?#84$ULC8*2T~SG#K4v6IjCHYj zl$)E>*9p`=ROUvOixk(X7omCR#7IYqV~OS+z)PL0hfAd4&h5R2NLi#jAuJ@IU##G4 zk4BZFcbxHjv$Lfblb1c%=a-(FXi)QG`pS)8G-RulJhXYwPLfZl zbawq5xwG0*wsxY&acqFJ<5VS`^ZcXBddr;O99L6ai{d$R%{Ftn=}fe_g}ArR2$Or%%5R16c0cFSD3Wy($X{0@bBwPVL_CC$ zcFIv9?4+QNYtLBsnTY8b))90nTx!<>%lvv$NI20qEbc-jb2)is)>L^U zLxKnlQpftz?$0U8amv+t777;Qsw-M67EIq)R}XUt2OjhW80(WzXJ%I>Kg{>sJ0#oM zs2Q(ln;WZ%@~N#}(z47|IW`p$(Z9dcA*gYOimQ#%?F8>M^PA63x1YU)rE-rPEajJG zmmsyv-Lmd;ecU|Pb{MH2e1U7yaEnGh#F0~oI>(L0Bhql+A<||ZgLv+~SqP}LxKxCd zvG%8lV$p+bTHrh~ZG9$r?_e|~6)L8VXmlNU*W#F3;7BbLkLHCM`c1(%&VDM<0!1E# z5F~hqO0fa2Ql4d(w@G7g)X7h22;A1$e8q{U%)|j*Y&`Qws|{`9d$z9-_OqE0VwE8t z!jLpOKCy2&8V%a4p5WaH7wmZS3ul+g_W&cwEWCm-o5sSY2==lmG?b@c8^)hy{49Gj zXxC1V!8#9s?wy)!N#>`{R!1so3%$kaK1lz8z3WN!tcuwX@{ySsajs3LZU}3K!CJ*k z9oRU2Y`9G~_|o%AAMg`R(dPOZKZ3?@TAZpVr%<0n-&v!`F0`q#(dpQeRUn%e6vec6 zq?saU_NH8cl%z7obcSZJ69~Gp%8-5o!f9>tLsJ(MdD&|ULS{-3?ZBnmS z`)~FDt|5U#TKy6^3zM_-XH$OeQ2B|HW|?iK*16Ro?K9EEyXmD4vAK8I4ewJPcNJe3|Dj5~kj-sWeV;yCIE>%RI-dkJ@YirQ$sX7m&6#F6V{bSqMbNZ)r z9Sd2tZ)NA*v&GKNPJ`+?hGrj4q9$hVrgN`-_R#KW+dGf;W5+xzM1yWSX87oUj$Jc2f^5ccQ;vVp?f!xni! zCxE>UU`jmX0)Mg)r8iWoQsZoB;&x8pQ{w!=&I2>)I2Ajfo`t$q5o{{ckBlKkN>y?D|!HvMy7DC37;H>PX+y0N8_rBcp; z%VW1gIjKnjkcwF5^mqFDL(zuB%0)P&%91qSV|RkjtMDB!xi*~rmHb>jG$4%~wgiO$ zfc`s>Hx|J~a4$@8?F3T&JL9S$_dc^>IW?wD6?BZ6td6&RZDxEA`@>KpJfj&`w!?V> zLu9_Ah*_x3nFe0_wWYx5K4-m}(wXJLOi7zA5L=e89fPMB7DiR|?^waa`@&ZL&8nIG z^Iz<$=wDiP{jU(yP4D~1+$QGMkXN?$4YKm;`Q>aoFJPL;L?_{;*9gh${b{RV6ex}( z!?RZ_YWj&FWuZ8mj~s1gBpQe(=o)749P{-YoAb)Le&Y!K{Jf%D-3;pBD?|ffltRU+ zJ&MSVLy@N>QwjCN%nR5}G3-i~D(?rNMPSa3=)iBrG%@$znL_Ub>pLvexZ)Fq55m^5 z1@4)=lYSL%FchC5Lxw)p*>g@ELI-wO8_-{!rY(eR4!sCD;a!5wZGc1*3Cy-8w72wDyh~IvfqfY34Gxe|qhZN7JC&|2TjryT&5Fdyhlj(j`&0c0cdTLCi zq+JRTNVCaZC56QxGA+iw9%XQ^<|*P2LzQR(BUtj%PQBb*-2$fK$ox zR#S-&N3fA>IMuCb%&lCP%nVoLwom8`eRq8W%iH%7voZGlfZ3sWlo5z2F$eu>um?w4 zJXyD^vG&X$AUoB}lSoYZj*TK!OpI0(lO4Y!bkHqi8`{`vS6Ul}-VL^B2W%+_b-x70 zjaxNmIFwLHz;rJDZtzGPipUC&=#n3y1h4RHSV!>UV^9yH;uv!p{`|EL3pmh}a%=II zm&!>L4(^(N^T>$EQ?BcZ&xB8S^7C9Bob;u8{Oj_F4vk^X?#r_5GYkF?Sh8iuwQ8iR z=2;go=QI*1{%L3)s1Fw|z3?@-Ehh zsgrCUSu}hU?Ck|caVM`oKm53@#*cP8))DdYVqeMOsmO<)H!+LGs%z4?`>@s)8f*w{ zr3p;G@K`Se<7`G|%TZ=)kU-jTl)+N(JmAh%eYXDL>3vYOJ-#J^SuF2T3n^kabwWwd zRoj?PjWd2@b_jnz_!BzZJq_bB`BN?vDmB<9HHB1Km{0&C2%sBk^GN?S7b*_+5o=5W zwQZp~D+9#V*%IRsDE11~AuOO|znpWbQ=r4OPA=CaRzZCmz>;xCdR6PA=8tCkRYeku z&8(3D#?Bc|GsGi33VW1A*T@bo+1%s0*Hxu{8a~NIhj|^Wc8)|JW)XM3v&;p22h?%I)5z}mHan3dLErjyZ*QqQ=E2-~ zx%cRuYlvF#>7+fGr;Pv|@sDs?3yM&%82uwRh^OhOJ(<#F?+=yYjUnp{(Tn6IXn-#0 z2rfPu+YfrUYk+biDTs`27LOi&?pY`Fm!7`H{% z>4z^v1@c*(xsn-eo1uty2LvDzKXHRtHFXuxGgpq}BYa2B$F^~pZJc>iu~$iEenGNl(F+qyX?Q7-e4_zdIRd8f5m_PUnrik?tQDLMF#%n zA1)+8KOWXtZFhPkns5c$IfmhAx3;H4YrgI-V94ZCzMabudFJLk_w*2=ror<9If8tl zJa78LhiOms$0aBC7uehw&4Po0*`wWpEFlcaI8h{Q#D7d~F?Ir10ArgW#A+6L3fYl> zaDBaep<&7K)DUhTXxR<*%@o`H?on$k<>8Z&eNXCJ>z|~1$5(o%mX%Ck-lcoIJ{BNL zVcvy09rds4GPVj~zznD^gyrWh+EDihTKrKeDl>AWURqV!Hi_&;RX0QFqR``apBXwE z%I4$@hT7VmY#213#Wc}CjFqG#?d^J!sZ^H2=@i94+0x^(HxolFbD}i5ibA@yq$=*_ zNIRWW^Zy;HHH~1p=BFbAULt+k9s{|{iEX;`VSq6er8IbxZaLiIAI3G}#R9xGskVl? zSLCWyvP1}4gbdZ*j$O8kVrG~aqIU$l9SnVFkSEwfH;s_uNr0MD;(;qPoGyq2nmR4^ z4&nOwrDRO@7ouaWHITcQSL@-GP!Cl{XH+hbAHEqI_ZIV$I=gfamTYy($UHZ->t?84Nbn-&PRyR6=j_~-J;cnQ+-Zf|uH|Xn z@82HP*`+V#(CFb_?a-zzo>7jf(xR6`&(9QF)zvSVoRN9<7x#|8(x;gUS1gk414%7BXCF$#pD#pSsc_<^55 zV~E~Ewj+5hHA&|teftNTL>jj02M#Gx6GP{A_+-ql$J zk$-D8qEz->PW4OnjX8$=%Dmx!oc8*ZV0RfOQV1)F^C8?+OuJATqfVejn5uTWklKw>PFl1`bOixd&;|C|lA zG401gXA9;R;b$3w?RX{$fVQm$lqmUE$HJZ=zp}w|@KkF-KVj9kO&rRC>jxZ#FoXtF z2?yVxezS#Ms4;FE`>hd5g7Sz0l&4(NG4o}s^bNW<4;ZYn zyCIKgE5n-9`(QMrz=%9g0bauEv-!}iX-Du3Eg;c*FOJP-F~-7}FH0yu8>0ihORzb# zO=TDxb>9Pfri}uUCOtm17*3i96tTyK4VEH~*|75p2Ir%Aj)S;8m`fg|_4IeXO6cJk z(_;szx=-)MAb|mV{S03|;u;=|;>ieMy*+=^B7ar3|E^7y4JH*?LAAp(rVvGG1?3U; z31NnxQh3KzpnTG@avB^goJR6i%!RP8iIUboXb~W&_t$cvoy?9{G6bgor7MkO27aR< zS~9fl@Q{v$78=bv1L(w{6oEZ>af^MS1wS?2RRw$PL)H!p)aNJ?W`}i7k1l-F%_7gQ z6z@~;%l93S{M%-3@%Ssk{NEwqP+kVJ02u^dhtvv`f*Ok5E`;?cBENDBkOYG%qbq`p zrgjSNumF19`}gqwM+uv6+big!JhUf6;Jls8GX!Iq_E2+%$|_Hc>QUem)lt+t1TJId z2>-$hW-+ds#fax$BQKG8ntqeLlJZ!a`i9{T4ff_{kx;Osy=fry()=T@z^ux3GEd)T zaSD<&$hg}<+Q#t;^0O6M6>Q=ZfKfqY9-;|I>7-myB&Rf@_ss8t%OS`DEzGVXWO0lH zGMOM{Xn(|eK#CVo(Xd_waVV>d6qP-B8t2zO%O9t&#rECUaj>^$wz&UmhU4#&@&6$e z8U_)b|B!|FNcn5YV7C0Jpu_5KG8{Eu6XmZR`!mJ+e@uazl2h^ZVt!kPnv9eHCmw0@axz-nrLSbyH;p@K??i67vaK!%#~C8LY#GU+HdP5(`BfM3zJXLJGLlb3jV z*%6b+E165~-KI$?op#W{^pY*t7pSOB*-RqM-o;^L^%#D4$p5jA*Z(?%@O1_H@gKDi zJo49c57bCaFo>2ozXmZD9KlYo2~c$fIF(bymQ+?bbg=ZAd?3*1EkPpA!o4h;vcLK2(0B8ene*AL&zycagck!#c#uUgHjK`cvp5`sUO>U9qJ)V zyyD*s(0`r+L)bEBpb8r)c$Zh0BdMQ_vj?^2)SZ(i1aPz!%HjqpFCJ(pYT0V|F_5J97i z_R>yc^gG}?T4MbnmdOsNLBl{9>iXu1L+mWZ;wSd&eh(l!%}`mgBdV`tk7Kev&x#$_ z8BM~(^`WIeJL-dI?+o(8U`598@MoxucXtC>9z$W)TmgbQA?$}!^+I8q&O~I~2re-K z`kq#Uj?7##m7@H+7lvpzxY{pk_0tNaGZnWBbe@JR)w>LPw*895osBa-1AJD?TL-)J z-?UmNyK`82UhK@!776tYF1nQp!|qw`$(6R5L!oMz{+olgb5B(q^ewvBYC(6VR;t_* zQj7eprxjjw)r5GRzFRHoDDTx6cirGtLr8Ph(Iem2BuH6fm#w3UO25Pge0DJ81iQu^ zBf}%v+Rt|Sj81@+4o{m3yDXH`<9)53HDlu_S&O>+60FZ%{nS2oW*`)&)tKvsmkjyX z9befUbbdi|xsvrXI|a3Gs0|USqC12Wn|S+%FqYk+Vw7+}5Rug6GT$Z&d|F(q;^_d( z={t=xi9=((P|wHH;Gn~xp;$axI>ZZ~8Xip%4~|h$H=Vdq!KZI}x93PnZ)!Qsvx#G` zLrh_NR-k(e&OJ%+8PqR#OWI-NWVyRD)MBDWeY}5XiOl#J;+{QouI09QYE!i+-Kgu` zlX)9{JV^1%(^j?&)z{K*F&>GxI8Z$eUi$9A(sNcxhGf0+h8?(QZ`g&#}AH zM)%**^poJ6RLjq75gIyWLZ*?Lcpr=C2@xP1b8NxTd^= zpk`GFT%r*^It^i*<9DhtlBe0HnrTgXJeSvI){{?KHju0Pa_^7(V!T;yh2)bl5qF`t zmcRcTK4!Mp4h4!#9YA)NB7Sb5BoW5-=HM_&7*cXBZYetz>h+3J0hVd#to7oy>Qb*@ zdej_z8{#sf(KBRhIpzIhV5Wm08H`e{W9Q{E-|T<#%7qqJLs*YSh?yNu4od1^VQrr; zOa|PUs(oC9l1#;f7BLe$-j8+}(u*Q7seZLUSym4aYoR2U+&R5kPcLzKdGO4|8@;uk zFC?toWTC#pgyx0-94)y#l_amrl|Sn5wjQobZ6A2&kZbH*mb=IPysvGvrk~nX4^6*H zhf1=G)D>>Cq$0+2!I8b69M001 z{+J+t4yQQS;{K&AC9&%;PG(~6A!d+@6k9!l*chTnxuOQ%shL+19X5*!AuR|F>N92C^p`uzd^V{8G z5^BsHh9}R~`vf|sbX}~5G690m2BdT`FCH#YH|UH+4zqV#IO;sAanJ5ua9{tRt+NVz z?hA1%Bf29x7sQmVUhJBY)msPGBfI=dBoDj@b)ohv@e9*l5IwNHhe*whr zc7A36(E4=9m*G3=AU`=D;ylRQc!A83WzZ4H+zTBdK!?yv1mG&ZLkf>ln!Fe4DmydN z{H0}jEFs$fO0Wcplhyq^9W-c(d}y(MzBz@bioC#8=jlosPck~IwFPo?Xd;gc`j?Q4 z(121o{J8R|Efmm|GKPKw;GrpX94(Ctz(6ejQz2}_C^*Q8YhvTlND$#Wj5`?S1{Ynf zQoDHsEspt!{29F`+TO-{WWX@NFlbO6^sC_60PmdagUDUYeUy6+2C0F`2V#zK{xv)A z1uvG!Z|X#Dxq$!D$e=@te}ir8I)r5I=Egwn3ogb|wh9h}pn;mUetumAJ_Oo9U%qvW zb0y!jSigrJRSRAVy03AO0%>uvLG5mV8UvK*?;_L>Q!-ZV9bMTHmF#O?wV-=~pU#aj zFs%CqZzDMMAk=i?QCj6(rNtd2Ros|`Oxc$nW{xu)iRSN%yk})_D6IKAk=lRDk(kDr z4GP9tgsV+RsHFyTo8ULF@WDJB$mBnTI%zyMV_d`UY9NE|=pezHL1cpvR{!N2Gn}8Y zh}!oNdIU*Q2;RS)4~gRTtx0pa5SJ~z%o7QkavYs!bgu6wLJ=ufu)W#?tKC+ccVY=^ zIQ5%g12%HlP&vZ;*zoEw;S_N{SlGAmEPvk=b+657d z$g^zuFFU7+YsXcQT!g}}Ckzm$$Z#+pKY0YHi0gL~AO;N0-D#%eF7Z$*(|0ZLp-mW* ztYQO*OwiixD0m?lgf72{ z1Fyqm^g@rk`Q`j<5e}aBy`p@Ddp6IG0w@!*kU^Dr`PqV!N|v(w)Ye8npk}?pQ?(JG zXRCr+*xhG_qFcjd+y~tf^zg}w5j4Dnwd_b7FRW@|{n*rrjJpVK>Ztdd*P#SnP^`tffS($YTO_%QV2w_Mxbpgx&Ym(am64GfddWR0ZJ_&YW9QX zX)%@%ix?!N6qw(=YSnAOv%VyxFY-dT=H4LX*w7k!=R8Hhuu?K9W<>hAGC8>=7XA!z z-L#^tdLg)=t)PDH7VqF7P8GbQQBhBy@(n`2R#!ZxZ?)^`U0D1UjC`7f2KMM6?mIBQ zJx=`^PSL(%R?(PR9SE1g^s+cjlhE6Po^gycGfgI6^YVgYB2N3NcpzR5ba5V7I`87% zEO^bur`YE_4E3t+x>dnl!al9Wn$|-hGC4d8uE3LTrLStkckt920UO#lsRagL&=8oA zXt8IDu;eDnh$q_gQdqh-0^&&vZ88JrvK@dq`}otZmlvbC+s*co?uWwTpBb2h)~Kh3 zv5zZl$!MQ6xrA9fumfet3_H^~(0@t8?YigDNQ7uhzkZ&(yI#_sw!^uhH_LROyf)wK z8Fl_cvet)IlH?{i6R~%*i2}@1zIw?6;+6pvkd41 zSj*9#!Oj@QiLeNn&iHWR{7#RBCgWY}zKyww&DAfXST>zmigadi8Sema9;MBOvZ-5q zC!e;$oxwVi_ne~P$hCWze%ksjasYV_E!H@?R;<*iA`4iQRqkzm)u4<%-aNEw4_Sy4 zvG0e=2cD2KDH2rzRUY&a$N3p;ViP=jFgjvVGSxz1#4vV0uwjsQxEgE8mZ#pXTFdZg zT;3gw(j8pJ9x27x(2R{CZZL86dGwNzxW5Bh%?x$X--SZ1m-I1b0cXDR^4#ggq*Uh|mCcv%H zbg*Z_KkdvM@c!f(q8N)*X91QS8#tQtoD!69!Rwy`?W>km|WyNgjq#?nGDb$gSqh ze1bB~{?Kp-df$Xqg|%2d%$$>jm0slzfkq8daYbGF2L*e}o;`g&@y<;p%Cq~#^?SV) z8Gmwvvz_4cJYr%FJ1(5O;#pw6Bpg0`5A$cf+57ll!v1ajv9pY%fmelPzoX>1ScNg& z0Ptbx^a2=gGECD41>gi#@laXxhMKpX1|2jp3u`K><-X-tb0ih zz%?acVt4rJkNxx;UG0%3*E_w9PjE_k6lj$dXq%uVWv{RMG+^TiGxf3V{2)ucwwt|W z+{rF{q?Cd&M+3XszI@D2hGm6+=obB5cUWLo8)(-SC0rV})d>r*Ejoz&2{1jpWsdKo z{YzWT|2sbF$7{z-(KTSLP~iC#MC&tNXCyULE(U!agY*aB|DA7#jrf69z|Dlu5vS7h z7O)H8OC-;J8pGluks`U^x$HUAV(bU~a@^~(7lyC%QSAPi7=2-Wg#dRgAd;FGj!RYQ zB{kihT>VpeKhq19F|5$4;$YV|TVsQ>ahrJ{+UU=a7MRF7xJ~jatEuBMXR`%jv+Sh364}$n{^}zSjLs;y17VFB#9jqs#vvMVHs^0f2`f zby17~VnG-L>IjzrM%;BUOVv^cVEif=A2$4qDovin!Fy}SAK)J1^lUxA^0Nb4Wq9!; zKo-mpis}}T1;yT$YV{I1tGbAzJn*lKKDGc9CcYoQ3IqX|_w$2`$bu^#-k>@GU#EO< z5nj*|)FnNLZ?^#~G=I!H{*8}f?8#u!fvZxgJz-NA*Y4?=G_R&M$F5pyt%^Mk-3H38 z$Qq#~mL6*zI4H58_1j1t?G~*r>pvz&ug7v%Z%uX`GV+S6uw8^>ejPsdJ^Web1VA)e1jY{US{z{q|-e3A~718&I z-m_paU}C6$P>37AK8=dO`c2)-HmaVZcRZiVr3vzY!EvP{3Ky?uOZ)ff{$AH0uVcjU~%YAh8HYK zY?vSY!iAt;BP+b>;j9U1I(0YA*g`toSS@y*=DwQNcxZp= z$~)goZ(ntFm)*20!PzG5Jmva`%_zN30Kg64NsfQ#M>?Bh}1UCD>ma zn7{pQm%yhC#{EE$jtT z8Q8_S&;<1swop2Z=q-9eoN*8>4m&3CfqEVAj0QgJ69og%oqy1+`H?H&cOcdfxvK%J zicsooO!QOYPaNXt8$U2{T0Z(w>`KS43{a#xj+xg0!;C;k%`i~IYdUxGBBchdV0#I2 z{snOMFgr1>W&`Y!=|73k2mwMAH=E-yjmsp3tYY9ksXV?Ky%G!dn9gAvv_lEgL;o=#e zoqOl!;trn$jMRTHOP?$KWEN=b|Cs>9mZ-ksqu7ku$cOjd!i%7wM7~27saBIk!Dx#m zR0p$^xalBm|LZ2NPypmKrhX3s_QADCK(62GKPBGrS*8^JF2kt`qXtUA`qSlaH z3Tt-n=OY^#>&J6OlPYHz8z;cQmJsZK$!?P1{7z8jzBt*>!u?1S77Xz~YIlNvCWC|f z78Iz)gCvCv!FCLE;oxS_I=I0?+AJZRDa3jda#$HDPmrqX7ew%g5)%!rEENx1$bxj0 zja`+=M>O*ZaxkOJ6V_{~ayxF+*PpLg1s%)gPA8tp8o1Y&MxmzC#5r2GYc;|>JoPMl z?^%9oP(Ifa(wwb=DCQLrj(kk~K>MJ)AT@U$+*R`8eEM2!8e@LT*b?&oqN&Q5Z3B9C z6)g)YTr%eX3a08?!NN_^gC^kMo_Bl{lX3(9F(Ruip@N~N%P(-Cet&d!R2}#nhbZ<8 zUp*XM$KWxPVVqMRddI{-M6ABqs(C!X6&9(d3{zP`_vyxbgXFfo^g0^Uo^f8Ep+MMQ zQwOFhgUkrN!#?(BI>6_YJ+YVMGuW zo^BB-^oD07o7UwGr%COl=SymFF-crli%)dE3;CrTz4auxf{N1f8E!|i*z2cu)<#t* zV{wXjsV0|@0Q-r$hGQ9Jkr|&Er6bkE`4t?jV6QO(U8SNtSP zquu3-#|u{Yay!n=4FLX7K`D@Ui+ zy;oIV@Jp#7u7TATq^yqzgV>m(3!Kh{gX=DHrYtlfKfjICcnB6pAS;e<>?xsl>j2U$|77;A@DBQ{z zJT|BS!agiK^om0(v!UjQclMiU0ZoQzQ#~ettpIPD_dUY;) z5a7}CsX6-FGwOewvFq1cc6kdKpVb=PPA94=K$6)hXz{^7(H=e{e%8~6n_fY|tL=Xh zOgV1_I&ygW8Ue1iQlQ9lEw53Y4D49@6xOo_!-{*XgS;j!y8BzaRzR80-7hs%R9-He zX#E=LDlC!X{T*}af0V_8AN0?qccE}lpUEsSo^A-)Qn@c24#B?-s59?HHM+Co3EKe# zT11&YdTZCLWFRj%`Fln_HgN#=vj7=dG>8v%xf^ZN+~3dD+7pDHbiDaK`Qq|>`+87; z{WPf9#N0)_d{k9S2D{R>Ebe<=_Q;(x4y2LTTY=)4-ly} z4C80(R3!VHijz)cIo@TzznxQCT05Xas2clq#L=bnvtRIud2^Ajde-?L@D&8fQv7*d zQAf*8%ce+|mPsM9={>GiatSA-4#qKf&*@pR>x?}3&Zd)+qdmeKvjUT+lzci6IO03EZ&6pzkxqOrT z@KT5!Q@e0S`$UKIO84AC+X_?NT#u0(FYjQH`t9DCt*b`kSll5aWy^1@jIpKfEbhew z|1=RDC>Nhx{9$Wup+-$#)xqNEcjhrcFp-zt60AaODu8@5K^!(+>lqBVg;e-$xE}Pn zr!kNFa55k##Se-$*l4j7-ap{;SUG;@g9NLCLyP?{zHr5Bak2_-xZ}^eb+OnfD2*Zm z5=r26o=Z+6BMcZML(KXxVsef`eC0{TG4{YH*K?M9ZUz~jzYA&~DuAR~>54UQcm+Pt zp_Z?}5^7oW6U>Y77qL}vMsYh|hD%Ne(ucuF>zK1Df}i~>&r@bbGiF7IcJ@Gg6(u@qI);$W z>ln6WuDPA)`+?=|I2P@bZ+rr^*`s=(z*0fR3-&b$$$4M#Ze=c@SY-nl^Rw9Ucm0@xB%WXrF^QyV5Nb_upYwauz)_`XSZ zZuZoYZ{hnu0--BXeC2jVlE+tkWv;#D!P`o;v;ar=!u4&;J9U1Sd}Tv3F|*+ofYAN* z^h&J4`7+J3_w=8ajPyoV${mf?++}Fz|NV=c8y$1G# zZoOlo5dgbOe0;v;av45q-(3jskjzbkFKc{@O;q#zbOK>7WeESOqseOn91>ayYaNFD zh>iKid@{>3YIrE=DxS^Cmu-NI;@$`>7Y>Yb2RVIrLZY~4TFnt`Ni`TW*7q%N9+Q2e zh@}E+IEsG~Bo(dX@3@fYy!>ToviX;%V_EVHX}Ti5kePsF5-jA4L)Q=-n&5{=Owt~m z+O#*B*_y23eVSk)zWTCDQ@Q7nh_yfF{oseEQDrXv^scd0w#?69mn+JzCkwOE`Hpp> zMo+qA+s;QN*1HNx)N@64Be%+uDejf3a-POd9tEDJsc1W)ymmT;ec1JDg>!aYm}U#Y zG53xq~gM8xvVd2ePxqOW6K7W-ZzNWfO*HB)@OCbF=GBZTp5nJ6eKz zebwzg{o5yhO19b8d5nQGoIuM%k1sB`H8>s93=Pk&76>#=~ z1Q=yHq#Da81Y zt(wfH$t`Y0#B%R(P7#U4G=980*H-D0#!+3(V%5wem9`06!qpt4ZLRJdLd!eg-O>3n zGS2soH08O6jnh<(CZ5cNW}2H~SI4m&O4N5ajFjx)x}ao__&+#p5$+g${o#&lG_@Lj zo&zT$ZB`O%$EXn&()Es?sqcTEoi(sMyFk>T2gGo%z4_w)qVcXt;y1Ht$2=s_MjqBC z2GKajo}rZ4mbCrbuL?=mjC^NCT)3PvdCZ2KQX4ljxnHSQ+N%Y1c@3Lya6qc zCzF-}O$-85+Bym0K;4LQpuRH}P7S~Ib%p$Vxh3QcCUWB~d7$=hicDv~SkWW4a9!=2 zny*Twc(}iVyg@U4saN-;E+?Yr%$l#L&f{H{5_jPe7a14>%dd&x*DNJ$fJ7!zf<>(? z_Md{lz_lQp{}%wLqV*rep5?p~$x<>Gm)xtsppMZL0%~)o&ba`G<|5Fb)(aU-v>%wl ze9f4;n*l~3Em3>toWMn2PJw-exI%Ey4FU0^n58+tAJ{DLxq;~_=*w90IK3v#5nrJP z%WXDV7|SnffPS;od*8(=`m@(h6D*V%njXx%B;bx3p5;c%U65_I6cN>zu35f{$Vy_5olxC zoz?5ocVH85`9#+6MS*b{OS#9hn55e2Rd}SwJ*Xp7=}&(85b%w-$C*FBkV`~|(8`U}CbNZ{DR@bB1& zNXXZM$O%&?M9MJ_`tuEI0RVk)cr+FVrBPd^GwSoVb_tBA=4ELZro#Jt=~});&QCq4 z&D@BdHwIb~(HJ|(sb@uVAKSO_Y;$O??WuQ9A-c@UteMy_(4zKQP#$N{&|zwbd=FDO47%iktyx@&_0HNH5a(`{HqC81iFM%;P^7`kv) z$6WaHq@c4eA3cnN+d0HUUBMyPgM)kaQOq4uXJVzt+10^Qn+stpn~26+6C%aVik+yv z3kLoJ>#_^SBW)?ypW*|-F5dA9%0z?`A~noL8GAEl2rP{zDEd@ql*62Yi8CNweo-ti zy3!OQ*>{q794jA?6_G=UJ81w0!1tF-;=aKgpNeW8NH65%i8s?%HS|o+E|g6jn}7Qj zDLvjV6F88Y^QvHbk@`SIFrBg5fmFu9@1vFe#3!%!rFrJ@ZgeEz<>hWYs!!11@1tmk zD|sn!`!*=19l9d!m7s;JXm*ND)yqY_9S9%$k&^nhv=y=DPPuHzN#nH^PUi~^ejByj zm}=ppUG=~&_jY=AZJ1hW#p-VA+HcPeZMfEKB~xDFiznW9$FlB5tFyf1gj9k*->|W>OBcPJ?@<2P+tU zmwl{-2)D(lH2e17j`+{#rH#ZtKlQ@HW}4$8x%lCsb;d`t=r%Q4%~!84o6 zPbyXs=c=cGhJEmA_^xBGHnFOv%<~x2t+wl0S7FBC&LBI1Ng%~vlHxW#cV<4Ev3g(}f4XU@#WTrL{VAx^3Bk9IL_yUTZ~CG@p4we)SQ zD1SY5EqQ2QXa^@jKTXcE<&|6W<}Cg?-z+rZ#;wY24{jaVw)zxOjJNBzR8^m!xogb{ zCqiAJKAelpgXG_NYn9I$u0DFoH9n~VE9pkljwYa`X%;J_5?#lHfjTUnX1kx;h*Hg@ z_vG;AHORH^l=zfLe9I)VqAzUHVeVAs4>7asB|Lx4QZej%cdeI8Iol4GPsVwc3{DpJ z-l6{1IW$0c3k0!(3y+%d-+;CdN%W|n3>BfMu?R(j4A_kUqQ3<9Ug9mlm3xc9v596( z0j^RE2Xu3N0TuLfqCc_8ge!HcY*}$_$G@g=mi+Ys+wnE6G0xv1Izb!!J*4fl#GBU+ zEGI_*`_F%KiiKIqWkyx}&C$ss$SsGO@tWWXZSNP!Q(JMW|IMes;7AECQ!yN?vp9fA z7>IzX7q=JJ)`Ci@?;`K7FR>|Sfj9_Q{fJG2qBhasZBZBSAEC_%UzcSiRp|bTHr8?{ zS4~SsCx|6u&3k>xOWBN1CIAV6e-Bx!mMxK02G;Vk^7!lCHxpOCA;?kv-7OPlG7^=t z3i%P(9`9t24p{*zo8#AfPyNu7Fola2y{c@ddbp~Tu#1oC-W$z%;ISWiRedu2GABP+ zDv*BeZ_cj%Uu^9E7n8Aw*u%sjp8h9f_)c6p-Awchp#AwXAi(jCSkUwOBNn$vG4RV^ z+~|i?%yNp1@)ham<9|d==5IC_A4v94YcfiXFFqXCFjU1?pZmGCU!)e8vo=Dc3$Yt# zyU{C;NS#N>*S>_AE8l~n@VO!7zOc3hct#^eoD~tu^^`c2!{(XyT`}mL>J?_Clt!C* z5qUfJa8;%gMKaG}Ew8Sc49RiCdV`&o+&APKOxgN;4fre)aE(UVUcNep+@4lyMlTEI z`#8eh+_S~83(O03Bu@LW=aYI&)R1a_^q*+U*8=**&RnkfgsjURkUJj(!L ztQ_a?UUu}Hgd}VG6BW*TA8g}uhK70 z1-9ir_R=No8+(#-?Jpc^sYFP5Hue`-R9}By(oj=i*L^8lyGY!)?bnApS+}3nyq+;h z={S!QpOyMBNttJ zUtW0I^-!4j~yX1%c-)H4KE(;0?-fEi0wE+pvUzd1z<>n3^ zCZPHe$8q$LW|aA5o};k%1V_7%Fu^cF`)Z!b^45Civ*~-jPGNE#?(qG$Z-v&Y+XAFV z=I^O`9nlP2Tk#;!yE`CuAUVikWD-M>y%`$-K|0$75; zk>d{bn;~_9R>r(K7=cWLiK6x74-!N$3-x=6kSqj=+-5eMM{Fet;u%~J-66>Iij2Q{ z{H5E-eiw5!txei}?emcAH_MzOIXH8hQHr!M@Y!$Zrx-Pr=&z0_x=BoRnlJ zHapUOyy(e&$cs`i_C%`+uxi9BMyNDvZ%k5AMq_F6w{55XGp9TWGwg<@^fCdg09X}Wm zz3yCrzv?E4O`_>>gj>BP+~Z8QlPJ&e7rpo{DLUcPa+6mOP}Xq-s6u=cyHL*%q*)5K z<%kOw|N63G`lFbdod>GDO15gIYU4odNRAW5V|R1^;fmjUUTEyQu5t98=`UH{xYbi7 zhnw40I>>3O9P(0Lol>&THFvvGh59B{`3(g->{8{Gb|RG#@AotVXc+*Yc9yu#i?KK|DquY<-XHy-IcH*3$v?*=Rdp) zYWmTi%Xi}MYV_7<(WN9b?W;Z5DoKj-+1QjOws?m1;hZXR#To z-*wKKS^jYMiAc{Tyof#I-@kjDSe-E?S?Pb_x$ENm zTe8B4KF8$xosl)Chm&tnR$E_g*-&QJXrN#pWMbW(sm;FpmUpXHneL=rCa1B3ec)yF zZzcLQK^7YK9GzPqf~^bDF2Z;GMRtw}kv1{CLG|7+F1evKnXc$hh8~k^;fd-T{r~hnhPs1ihvFXv^-b8tb@>*yu_fG?*kkrRMmTax>-YmO^&evvDKh@bMz{< zbGHt1*2cw=ak~mOH^@lXUe&MmM5GyLn#byfgKhbeX`@#^@h-T2V}vN_jTTr5XaiBw zm`wk?JJmNAbRS~eK(d(Y0*JITw85W}A#tGu7!xD%6^Q<1QCJv&CC9H20XqZw2q}yj z;IK>q0nuNzFgNB$SAceg8H)&C_C*N)6bXoy9G>}MW)N9K4h2Ni9ffTG0-g5FN3ngN z!HN@@vj_Y>ish-Cb!o<=BL0Nh4_ZB@j1&Z~l0?NT03>rRHNo9aphuZnSxjv5;iYtd zOcy0hyItMA2%D;d0XxdnMK1b$aG8I$!hCUADek$eU`tLb zQ^s}j*D<1;L7pvlTwp}OC$vCcep@|}lGc613{nsd_A2`Ot z%u5P3C)U5Z9VEWLZ%PNOXMQHIKJqf2*}Es0PXt|g3L}(x4%8qi%MNITI(4SjEDJ0l z?fu{ROTDH^=;A7iE7QPFO`PBs!m)DMD&qqSPs4+#F; zL+L?bZ#?k!KMS31r-LR(9X4@Zl3r1K1UHdWSwal)fsz9eYi)cgEeiyWec^jjD9}(I zS6P|)756r^V+oTF*e^aalvD?BrKvOklsA8v%ynitEZWpI_qbS(1yIY9rVstNlR6PczlPk=Mo zjy9$(4h%FZh&CB{0wOkP2^j{=d(zkH|-Au0~h4Oe01Tq_@dFqHbcM(rt=J~HfA_qcR7Su8qiQAWMwpI zloNCf((kB}_zrG8Z*<7a$cV%f!BSteKzd91%u@^~H|8-Bxia>W9+}`(aXwK=RuV# zCNXvoZ}*GOKH7F-mEJ^dc(%8w{#^6vXrGlyb0{gP;5h07L=dU0!-Ar1!qIW`brHYZ zKNmA7bFpvEz}qXk_Cbi8S!O`Q%L**ngplbS@xb0N_vGu|XYaD^@-m)vuvE8q3Ie&o z=e_pu6;f$?76GQd`zV$Y&VI_^J*IMlBo@>TUvchYRLxH9AI@tjD<&Qbs8>+RC(mi! zMzd~U4W{ZdL$!WyO(TRXm$dO)3;t+EnD)Lm`E6jC*o_%DHr@I^QF$LwgNs(lDGgLU3DPmj#c7JyXPIAH@cUPjTPA22zo#XGdpD zc?Ca^b zL&k;KK7LlkM#!Sp%h4!#oiM*Om;92On6Ywe-WA#Bs#`hvw|D1m-ch?_`;nV&sgn-P zSm}#cX>%+6z_zVa&7MMio`G%I<0HcqMT2dM+qMow*yMv?_p`8TY>BWpe1iCe!&g`$ zqMmwPO`ex(!$932+#l^6Aw!*BK+Ot>U{Gtz^pqaplu2K#Gqy;bQ{4=xhVyW&41WLq zewqZ|#T67hfEQ5go{I%8L6^@O1-v5p-!Y)kr?trQp+hj~HA9l-!xv|QOO_Q_40BF)^7H( zI9P&?0uto!eu$a>c2<-{x%HShh5_jb(-vefWXr6jl9DB@VzZ?nSfn!K{fa=##B+X>ar=w-+YdX<9>gSq=J6qvsmUG z7)@Eeq?_~Qn`_~>L_k~kd1BFD0zCI0%EO=7$$z<{{9jcqK^z$-&a2<10(K?sLZBX4 z+;~8g_NeImTfnNsWqg=9`_Ded$;DZ%zei3n|7o)T%m{Ejb-=#4`h~&!^DgO|Yr(i1 z_6DA8ccH24-SEg20vIYjL6)9Tj`lcoo6&3FX)ofoa%B6^8*^HZWbB7a$|oWeu$+5) znhlLeU$#JsOF7MqB@&%4mjnN$juBym&)^~(v5DYP5&ib;3>f9UPoUvAAimAt!dGYt z#I7gEg6vOn1uJF`qI$H|Y=sl_rh8ldGgGY^ey^RNo5wb9(NzdujY)uo$-2HtR}a$-!00S{6q8Djmn5WcB4MG%3MR# zvE&^9yHsm5$Z{^DGmI_AhIK`o2(Glg*92lX)I;>cNA0BpEJ-Z#+*UY+gD*Gu0cGE( z_YB|4T;CoQh=13dFCJ?=i%8Xi`VY`<_MQ|zPe}-Uye;1}-}2{tZ&dwChuy6$c_$3i zlnUuS6gTrwyQrb`!fhgzhx*fPca8}Lp(mv^PzO7f$N2^pq+TA#E-Qg0X zzgI*^5yEfv(DiFY1}efl(^~Wzr)`L9$Ei|P@YJP(O3Q`q`VAwkczLOm|Df(AI|huDSPzx{xzAd+d8p zd?0TS_0Yv3VQORrH6FbU&i>&xU`hy9@|Z9+idXCA2STJ~4bF7ZfJIpm%y5M2?s07V z8gEs5vZWOL(JEaAJF5UteD5rIBMtzX)90We<&ein1?)HbNxIc79nvVRriZQpPGf!P z2)Wd_5XaK~xSOY%e>|m_A_nl@dRe(ZIzty7cQSK}Z0I6+M=zQA37^62q>l&&5Z(tsceVzuWNYQe#+ zb2;Uz7;HiVSmmPan&f84%Lp|Ao!s zOA=JJU%4R>l(&a_E8rJ=R!hfyH0YzoO*a{OsrH0-B)B-|Z|oM>sgk)B`yFpRq0UUN z4^Y*rg*~WlUVEoz}b7nZy#!U|KaivFZCEs?po6j9} zk;AP{D72QypvE_Gs!eOlxEkF>7f{EHR>oH3_gp%CLT8U+T;tKIo)Fg@*?^$6qdQA` z{ErqoV+U@uT+-~xEwzics@>I@3LwB*G#vw-6V_;OC+vym@i0w;?wKB@gRpHag=8 zULIEQc&A4oG-S2IKo}!Mjx?4153X_|T-jqph<&=3B z$W}kPRU-+Sy(nnSYrQu=?8@^>y+Q zTRiaN#HJ2@y-=Cbf)%L7bdUwYvS&M}Hz?$hU2&UrzT-sRic?c`DoNp)+ZQGu?8zx& z&5UcbQ#3Tg#v4u0KkP$Qd7ZdvOxfNSdfD7?Al2V@QO)Go-C+fTw6=dZ94_rF26ht}0uhG64*;@H$1{-lkZZx<-RH8Kv)b`B zPrTRy%88#y8BDZ%D!per3WeSeF=KH6(n*__AxdSGF|-heS;QxkdVmzw%?NZ8u@{$L z#Gp&~$ww*0E*EHQ(rZ~_xrwE4eXa&5FXo1TPG|OP#E8$oZ{{DN{QrG3mjw9#_s#rY zXft6p*D|g4o)qsGl@mCf%uqy`T%CwCy59j?gi*x^7_jd@u7pdB*+KX-pTzW_PO;_i zDIE3W6Ew5J==uX7&kF{1NnFzFY3fNT>R>RZW9p8BH8e%)J(VsY)#Cd6Prfc%W5>ioo;rT)kIyQGZ7Ak(YToiEG zM#+G$SQlb1S0?m~GKNOb2crR0#j6sOmxRPY^H!-H<`&mWZOg}-WM94<0I&^T>nb2H zMnTu(#6@A1#cVB%Cf z@P=*8XNI)--!{-1DyfCA%z^xVX5Do8aov=`Jf9-CAe7fZn)hpL$JoJbd>!HxIVol* z)R81GA7&@eB>>oe?X*;Ysx+L*%aE`blIa4JZS0Odf-VRV>q%h}71*YHh3?nklDps( zuihFDEP9A2CA2`qmT*ty>ZKL@3I{ur6f6@1UfRc@g08e4=0u)teiu+J@#l;*2AG#wo%A5oH~7IE1d2w1*617f&ziKGP)P;bULROk&nwK>utp%&USAlTbU zMJo{wKq%GqeeH}X8SgdYSzep@S@FE5X|t8}fx_zHw9O8Ol0THs=BeKSu7=DDo;Sj# zl3|pPjQOzOgh}ATR2D)asP+X0@C?)*7j1cl4{kxP<0Df9n}>fu`EaDf@mH911sd7> zb`_LQE`*VGe1nF(sKcGLSK8Nsv7F9X8y_smwUgjqbbP}=;Cr;97e14=pO>aO${Ofs z#)^*-pVmd<(gfx{9FLv0!JEvZxlv%SNxBvg87M<%&X*n^TAyMIU9ySxzk1}LFL%nJ z#cN{^>L9TU4z-8g$B6=!&$sPzyq%0C2~f>0oLqAh8Y6DYNbb=!plCZwtiY6_VuE6s zR$;og8)`H;RU1=21e=!a09K8I9x};+LqkX!(}^8JsNM+bP7ZU z-0%)`v>;cGlO0JX<2wDkWM^!}Pj zo_g$m^ASOpL>*awq|yOBru45M)0vIOFDEY%rf*ZBDA5)Gj5Iwq1`V9H`MYncX4kq1 z*HvQ#aum-_3#b3DVR?yTzyglke#OLa=-EjLjq9B6%2ja=x+SF4YvmIf$L=Kfri_-* zx>=_Fg7vXarV2m|@Yb=}nPoM#;Lc~Z6k?ALO754k>KqRU@O;^nyrWX>$N%7z%ey$- zpK6(YlIDxdAnfKdT9u_z`rMBa(7kF=(P&IuPDY~{wyEA_im&8EyGMhLG?>32C2gsy zI&aH2OD`;Wjt^aA<_KD0Iln#m{b}26kB!fml%Gz938s&4J$#1tox1h99-;Z+2L_Dm`<)hxp3Hu8x0a)&!*WjxsG(DKA+@Q9#rwwk4fsJGvU-{`SZLV zY9KO+7Fu|GNU9F716|>4zIBC@O{%Cp1ES3KaQ~73`uFuju>iz6f;5HbGm(QxD6Z+M znI_dS2IT98zE>(P3N3>ZRDvz$>B$SG`Fi+YU8MKRxg=^hQR7)W-KYLezvE9{KlQZy zjIzpks*9A>*#jkq>lAcbyrCyW-#Ikemu>v9faG{Q3b_Tfjmf8&+TDM+tHdf(DcSgW zlTMMQ+zuy-(goKdjiE}_)Cnv5(-k}F-FimJMvq&EDM@b((tMihG14b02aMCvHL~^Fn_1mZlFbxJpK?a=16)HE15Ye{p@83c)DB+)2InL4BAH|Y&YrILUqo*JC_z&MIza>qU zgnIj2E;!#my8AUX&v#9*c2Q#6so!#*5w1h{=LZ5^S`Rn(vACnSx_ry5&MPHW`CDW% zPuOm*{q2|%H{_QJtaMT}OTTIZB@t|AbMVLFEJfGD7NJ*zPKvj<^-zB?)_nm%V_mj`NSpJZnV8 zVrplnoNWx5O>;ckD>ksraB!@u0^j-EHJI6GT1O6=u5mViTMbxt+z7A3S(ucnnySlv zI%%6!C8X@SD?Fst6WVTWJfx!_o=EkOPE3o0!)-S-9Sw0c=5VlbiQy*|ZRK;{zB$ml zwlwv|@VISwpsl7|yup;N+(d7Q^ZIzxlj}>`w>jsTJ}%7EB+wBB@Ct4giKjwrw&si9 zSIWysA{;{20#Ya~zN|<$Slm4zyLt@$T@Bxy(IR29p{cEDew*-dW`T|G@NfFHy*jhO z8v|lgdjwc6PL@5H&oP%9@iTejLv}7qe3ZY&=_VX$Y~|26;^yp_r7UTYg^;v3_3)T|A}>onhB4-{8sm^{C3F=TH}S){l5 zFxuba&~(ZpPUQ%=lAE2HbgpYa2@dw_uUO~rD$y}2()=a$&Luxh$LC%4VZ(!|glA6e zAILi5J45b{oNf=XyO=g}QfvE#ltAZ8*DrP+d=nnClffU!XFzmzlhXFfrEruJAHdJ? z?dL_VyauTMXCCa&mjS%#_oNXn-R{x0#H9IPX2v>eS;I&{N@3tUZS;qPjlTV1wy)?% z`N54+t65Y5(wdk;mL(`lcBF@Y7fdrD@)vkcz5T2!PT*l1IB3M!#R;?GsAfa zO!9>x__WrGV>Zg1Vk!lDB43r!AG~u98MZ^O24fndpn>uOV*sp3>q4EF7j`Tk}U;0$lrqR z3+}kPAA=p7DjL;@M zo9WO9nBkpHb8*4R2f1)4&DL=+@fx<2;2OJN(=#(TrY+L6j^g}I4Zo}(oRCA$GrNTc z8#$h|8Z>2bl>0CrC)#|+5+FRqFuI@2-RTgD`I6x?V3hmP{F!7BCx0$n1ayrc19l@i zKr!N1z!&gQ3^o77hs{}OEjA4f!0Mv&WJL@gY=a!fh|bOso01@`P#m<8xaNQpNbqiK zp1cA`ct2PlN*F;1Rpvj6VfPP=VrISp>qCQJ_vNue86Px#GPGyGwVxBM@6>O1VGdB! z!zHAWv-{t+s`MwTwvVoq^h#aQAzJ*RsjRDkV{*@QpsT2S5p(o-4NJ8F7h7gOi% zwFrZE3Mnz);ca&kIguWMBXHsH)02eI8~dXHGOMOwl(0R+N zt;=||Cj=fuUYi+_6U~Uzx6yNg=E`_^`Jabos^f<^!A*~h=G-PKk(me)>TaoMq|GATIN3(V##pm)HA%H&NG>)H6z zAH{g@Tgu9)DTZa>dE1%@m`?RxwD#WU;)%$h8F`}IwtLV^mxf0Tq8L@K6 zJp%L3*4AfQ3CpLir^!pa4u|Fe?QaSR1g+vOHg}YTSj7wlC!jpN4kw6#d&9%|$9iKx zvGq2VG{1G8!b(KeyujMfV>`Il>*<@4-@9G3fc?wHy9d=t)Y-wd{a>S9l)#5O2~s>| zOv?QG<{xMtoGhp{k)X%HtQmrBvEK%eyi%}G2*0?n*d~xC$DbGd?*ZitLd$u7?hUII5uD z>t5rz>z8)Y?xj0m2fD+`dgI1=M+@!s2s0zTfpj$plNydp1_9u?0=S-O>YNG2R3?Yy z=+K+8;WURMJqDf_7JUnpP|J0zK<)SEv84w6U2b{cmG@OWxqgM&P^0AdcA|eEo_<&5 zMP7Oi!5Tb};89B0(<@Npve&|1qxV&}_YxdSxIkk0yU#oIAO$L1%(ou<+KTb-@4t0( zb07CY_P_wDiCI9N60((Db(fEBEQlv%|Or1FL-OdoV~#}45R$&>y~~nTa&)= zl-HI^r)dKwmqOPX?oLok4tUZYbF(_NeSy%GuP1HgN-;Gkv6U^d&M97@=xlr&tJ65B zoXq6uu0vT~>Au*^@lt$JViorGX@}%%Q3>h&uC78`s~m^8OT|Uc2!DP8Hdx8uP0Ryw z5)dnVce1)9I(7$buaF#F5}n^-X8VxmR`oWlBC2VioiR6*CSOqSQ7m8#y$$@_H2}_Z zVod4rmGY;qFId?7O5&*m14^D+b3@0^$e9Xsn{_u0S&U!7=_`TWVV{HvO;=Z>ox8<= z<9TKNuP;0cTZq=_w@ooWZynopJT>Ci=YOvA+WU{NC>+Y=Q!La4s+477b$@1GOPg-4 zI)|~^sijq6hqp$QZJ~U6!ruN_+Ba4jcuZ|)ne_tYuux0$)NB&PD+JF1V zzZM>U^BGQXCh=+C>ajM>1M6VYJmW&S+>8 z0~Yd2CnmY=rHk3$DYDee!C7p|dYpel{*~yJWY)o8f{EOl>g{Pzw@Wvr4l9M5tk25p z_#1Q3Wz^U?D-c#KQ(T)%S>k;_zARV|<+_7(X{H{&!?$d_`5Yw72@A|@0Axcq@bo0O z)#4J!(mFC6Q4+d@e^g@1$e}yoa`ptIykTuw`#UsjmyXoXoPz6SGf5>fC6&2z@8sN=Eq2o z<8ZR&S2(XtrP4&(aQ-e44M(zd32y1u(F&eDJAZ_|Lqg@^D{5RKhc3=|;!WaF4f&f} zHCa9(zuEp^fZNqJ=6joDM~y*BBs{7Pu#%447pSOV6ENQWbgDr6KnYvMDov?AB7V)g zW`~h6VG)4*x1m?f9n`t-pQu<-j5#zYyZrecz_byoV8FeIr}J3hpJt{7f2sqyj#Htz zm>c0xB_87CbVJ~4gYZgkbAf~T z>edipNV`iQt-zABXlpV=OJ_GnjwZeq$XxTVym|zFh)kaLF2_$Ez6lj)S5lb{S0|*R z{kUy5w!tKqU2wZF=N^iw{%H3@y!-8k>*KY5+B()#l+?r>FRdxGa!pm;g174B#XW*T zsHChtnyd!JtZ$C)>QIckS|xk-!`RWWqrUd~$3`@5G+G=d>@TL~W}5c&y6){|_MXZq zOe*RiRgU*K26Q~@$lkMnI0!0dUSJ3MXJ@t`Ameqky69YFgy($%0uiQ@RoZNQ7|N2>#-=2U02~R*3F!my5C6QAIfuft< z?5r%5E6)*k!Eh6uPNkHrA#A?X6SuuZ_^=kvYMH*XY?4xop>m3etgFJC}XUuw6{8TtQIg*~Ko~&@7 z{w`wG21G1zV{IdU=cv-EQhiqMPn{QsA$s7nCnrH zg8$Rrmq#^ub$ioVrHT*{5CI`oR8%Gv5Wz^Qh^Pn=kwK;uDMN@zkts+>s?1|*RZtXC zK_(HRGARTigrSNU83U+=0 zeSUlI-wz2oUTOLm;uy!3^S=GqN>J^PW1-8SopJmfJ5}f zJE_NFz}?R(H5RKe9sq793YhtRMfnSe@&B_evqr~n&Li~eA}YSDuXQk?RTGW7@rN!n z%4EB|phYc3)nSvJ2avSMltuQcCr0l2K7>ekqqniqG}yzCH-7)w5sfumG42lrR_g+% zk6nTC{(}w_DBFd!V~lT*zwInXCsk2$pfLXPKTt1foh`Sl?DCiB_|cbRaE4Fuq|**h zMJBhNWqOl*uCn_kexb7UfLO)UDb|-Ps0{%)@Rh%SEdOuq7qe8bjF1tQL|NjjUoV4F zFR6xcj_o(#y+dhlJqPN|A)Ij4J+lo=;kRA;FIU~l*iP0^ZjIz$*AzKf8_%B#?Uar) z5>0$?Fae$Ar}ioxe+AJheBaUw{&36zI{kr*p88kbFGI>Vc~|m5Uhb7G`sOy=H_B3+ zj@*J%)Jq5mHCw(O`;}xHF-qX_#8CDaA?fDB9IZM&ncJ_r65ANvL9H0{|CSVlpT@rD z3uXhF@OORcukye=?0v|w4%^H9z0eM=ju&^jpOnx4jRn}+hE2Vreqm-U`7;_^Kz>{e z)Q8%tM9Tohf#m>7;`3K-jQ=~k^@j65cO{do4?So&=q6@;&xtW!;L`~jV4S0tmYnC; zXbP6(7Kq$BrqNL>xtD*C*TBgB*G#UsKQAiWc;CoB$Zi5Y7M6f!g0whmiW6_P9tpZG zsWVkhHNwjbxhuj(GlhJ5EdFj|T0&qp=?Q*C?@)ThQBsFMIy%IWcN4K}bhcS|O?aO~TWQ#f}Yw;ZYsvs1!-(XeKZq%nGKMz3U79Kd?(`4G#we&CYxy~o^! zldD!@dYGqHMSn9Iap;ovp1r94z?Y5QCTVhpFY$}cil_0OhYSOI)3)Ru%6gJ}%Bh|G zz0%Fvy(i585{|wI2TZJiVRucchDPH^{o;%yQInB;Os7{du&? zuuU1UA%{w0eoGic|-rkP9s??yRyoqWJGn<^&kOp_eNo(F-5mS zWWdif$H9tOMqMI)5Yu) zq1g!8%p<8VGjI(w>pIk|;)r5D*G)=Z>o#x+W4$;A)+oDkSxcw+3u#*2O=Q!t=I@3% z@+yLYrpT?Wfn8gU9N52@KWls!=2krc> zJ*O1^6UPt~MnCYIqxBz2?`(JEp({t*rvQ{de)V3iTU5t+kSSBAu>)}v;Lf@)LCm45_Le5oGO9{GXzcnC zqs4zgZNe@)B~c~sDJz9yFBB3qR%T3V;gaphnsChX&4oz#hIWxA<*r}lGVE<5RyMKj z@#dGEu$+m5wpw!i={+}RWAWy?4W=m(Fv)d?*VUs(lG+uV9v&h(+GI8RFFmor2FW<) z+f~in(Hl7MfD<-w-2(g$YAaZ0#6mQ zC%4%+#%%5IDE}EJ**UfbnwzZWI-(1XIlh3f>Yn#hT`e5-B~Mc*-|$6_D4U8!#>JPc zWX#nZqDN0;4|$B*ROlsdDnEB2bEn76&P&JZtHd2}(6zMG)3@arV{5kV9C4afMVwC9 zA8bqR+-!E{rz5$>+TE^qLo}5X2e{j2HFFVzrpkVKL?uXwU4rL89TwvB+NC5li97=^ z{*_ub()$+ec?!s)w~2^1Nc|?YQb<@cWhHDGLv}L!b*hMnPOPe|uZghe6qzg{IBU_S z)O1gAZQxdP0`_Yof2;b8(6hn-DUlP*YB)cyCF$Mae{$@^_pzWAhqCN`UBpV zq@2cn4Vcl4k|QNa=s?UeN+{VQbWW-TaJtcFqa zBafl`v1sksRaw#o&EA&}YsjeMq?Z{LdG$6OkD+lldEI{Ow>P--vAdR8%wgA|!PRpr z6m1#HjZS`@ckm{9U)JGJ4l#!h-+WY|5vyA4*Xd>dXhp+!uoC`m*4n2@$+wRc4&MQSI5D4W3Cmd;nEKwX(*irZkEx_L7|O-Pw;ew>3Vp-;Q)86({5`Yil@?zol#oS$5t`@`V1S zC~O{sNFRV1bu9?fR+$|j1L)(UYcWt*18Na6=-jP~kvAnG|v0>DXAYM5BiQh)AXwUyyBYLpE{ZqJbh^oRAtj^+R_*nA<&h zYHC69+1ixn)M2E)?zI)-A7UlbYWm7e|5)eLZX4~0(Qw_o3pU+TR;}3MZ4>P#$8Swr zuah*}b-ey6EL1s-d!%u@EyRfp2BW!Y?i$c^dXHfds*D*})A~aEq6@s5asbhANnycr zw~?y;>f({guqsYGX3wpD-YDgp?ultxqI0MIw99})zwVeQrrp; z#B*t!q%~J{^`@uw9z*R-k5X0B(DR3!OfPB=IB23&Py6%lkk0Jv3+dl(qonB`*EMLR z;&4pjj$9A)5@J=6Q_U6-qsiB7BN8FNv9(E4FYx9_0dL75VX!sJ%h~A8)4FE)mb>Vq{9kq z$3}tHQH8?9;Le&$U-Jb*i>@DvoVQ);I_Ym=->i^GyBp(sl-;!Y%(h&DqOAp)->>MH zJd!auE-RN`&_|T1Cqs{6&lO|1LoM!+HCsQ-d$^#oo|GyQV#47 zwBN})H+<|6;o#=>B6@wX$qlZN=}*xE6Dm#HlYgG{%HVryDO+u4y5Q0`w!T!$_aRt* zDcsO!drH^us&krT3xS8yCx^`Ma7DQ8UBR@K1hi`T3rqegdcL_%1!Xf5r$06fzdhJF zr5-M9E8kbyUMx`P9ZcB*!JiJ3K!QVD;sW58b!J0nN`A$N{4q#8)IJ zq!v{906*Yy#IwOPtO>13I>+I=-=hOCbVOML!!0M$dn3JpyhQ=n_6F?owebEdjW-jn z2rY-SxzmYMJKF)6`M&`Q2}9=>z|e+7cwrg#j^pR9UIm3;pJh}**aILCzG7Aqd6`vy zn>D#WB*WGNm9WRsRc-E|bhK1@foOLh4b-{TJJNszwz4na3X59M5XrV&sC2E=1_VH2 znKEkOeU^9$Uj`!C$G6TfJ~1PV-NI9+w5FRGfgw1} zh78N5;xJppB7gX4i!MEpvbJU3lpNU}vRm4nH05urJ5@xDp383l9lmVCxBY7p$~khr z-NNHp1U}IFwUeww_4!B=(4b6ofC2jEr}P$TT#YQW|AL~htjmlKf)&h*EdlE3!>6qn z=B*|Z1Txr|GlB)0#R6B-qc9Xlq=fDk-9+CP-kGGLUcUA($UD z7h8j}-jG2I7Tz^LmBf>QxW{Ac9~P^-%n_)q?^zHZY13RNxg{*n5=mnEKDz$sky8Pn z;eUbhCV>a;NK@v6vojvzVxS8`2jk2tL3GJ?ySo#CSn&ZYfj+mM`Xviq$E1QStF{!a zN0)KLE3u$jcHSNEwhg)E{Dm*LnDuFwe%$_zV=~hPp5X$Cm4PmnH#JJ4&+4RnBLS_?v9G0iliap%Dq{Bgi>?PsQT2S%~KCfnx6F{`@2SZP{H~?Y258k$F zj9QQ!KQsuy75hhb)+Wh&1>A#5Jsrt}z+vBKMx}eB`+D%R)4&1q(@j8We9;SHBjr_r7JJPbC)}oh1cqy*ec=b#;U2E4a~lC8MA*~RKwF(r!mhTl}K+-U6tv) zv-c=l&<*C9MZ2#FHgoeJB-wEIwAdlNw6G;UWK%a&oZ|Nby)^R{i8HP~z>)zjst$Vl zfU^A#(u#_i=0sst$;t&tIzKf5KKJZ!hY>B2vI*IhLkewf(AWyCN(tvHc!cC5o=wJc zB?<7CLR-ivr8XVt`6!&7BGhsB&2U^y@V)WkX*HReQ$RoVXo~;Dls0PRRh!Yj zx{SOBuP}R};+A;0YwD&gin^Ps)w1=npN0-(wkj86sif2ON~Ofs&GY81bk&=$f^Rk* zN;Nrj{M6EiH-9QTN;Vq$&X3ujogZ&MdD7h_q39@C|5|5q;Y+&xv8_D?5v!SQ%4-a> zJ2KUDEWS_IPIf$ggK);DE+B@Y0=-JfCzUqLNwaZF5xe@dp9tNdb8nMMFedzJU48a= z(ZjqR%e;pS-f)Id>1ak?I=^^FWW;eR?H05&;&Yt)x{M~r3&%dl)O&EZQyd(P}vK8fdrF&_u5)A#3Fhof$l<7i?wXMU8VX zZMq{!U;VA8A3u&okx#>t{2QcQV-#sP zJp~+eP58xx$Up}T(MU%}B`YS7eJ7`BTP8Vhb0Smim&i3);3!gZx8T!*}+}tOh?V8DS6y#+>Z{9ZJOT9QLA>)n*;Em_uBDYS8JYg<)dy9{N9)B9Z zf#~2&+#YV$Zna}pkz06tFhGE9fLeFIja%m*#Lh6f*X@%*BJVB%g@TXVEy@=Z@IEoP z@${Y4pI_ysKkeP*lV3FhKI!7m+mqRaq^m+HBnko()6!!w^vt2HoEqQvGNm$=tPZ0a z(emZkDCcX~C1$#Qw?%uinq9!+9;9X|}VH@t=gA+O2+_q`` z{Gz(Y+vjFat>p(AZMEN0u=mt)idVXK59N5#jXPHyv{j-na-ZcDRbA}AknA4kzYJ=y zo4F`--sa!b1yWpHtKxT3AqlzrZ@K~AZ@rWlMO)YX&7eoVKJuN^5xR)}PU^KOmJhmQ z3UCtKJE^zo;V%@w7@|XTYNysaDez}Lm4@J0BMR@NE&!~l**(lc>Wr31i#NR#XmSIX zMVKQ|n3#(O;)M(NRv9Cfn>BZ+ipSt!!Z$;t3C$je*b`&0!ZG2-B`0~P}S8C&C!@DOR9o%s-$8pokpKTsl zT{TL+_13j5xwr~v-e#sH@~MU1rm%dhH-dcM?rE_o1is)3l@#Uz@|2C$MBy$qZ11G7 zG9?G#s!oo;h{DE~hUeXvooRlGs@~-04XD-uHHy?8(?O zZ%3G8oGUiOt`w=ka#u=78534;Dg%%-q&KSKMBmh|iL0yC?H(uF&&Y84)L&z8GaO}K z$wG69M%q&zm}05-1RK5nXLSR1U-Ho@UJoG95QFP?6csr^_6KO3vZI9Ig`RSQ@+Ih=P;#@yz2QcJ-9Xh6VIv-N0W z*gljbky^(RZ8O^efRUseu{$8=t_H}GCLrgIq84Gb>3BfSoo)b#AMXQPU^fluiv7;a zNjv~-Ccg!xv&ymI8-4hZGfJ$~+U>+Fr7pv2S9PPdV>Z-U?{DNqv*p5**%T$iT9A}Ko z;qSiZgOFzTsF}QuY5ZbmU&;o=SfFm;3LEvO3)S%wCH+K0I1>8V%vt;GL^`<^?<*RdtSs%6A>4;6hekWqE5G@ruCo8!n zDv6{5#CoqQz^0b`#uLq$75v^^2EX?$XYuo>1zw+QIuro#EL;j}ZrzZCy}*$)lrK^P z)dK}#fF!;O+clOWNvZ`WvEFQfE7f?e^v(Tgk)wEN5LToHxKew7Hqv`eJ4N(2EHN;` z4?Sg&=@hzr2mL0prNbQ7uVbwk&KOK6nPROT0mr+LYUAO98j$YFn3F-x=&3;ld>Z3kv|LF4AXU_-sUe;Cp5ppf$6_e6ihdgp2` zQrp4+9%$K&76W(WKyfr=^zS1^vQuU#r(xA&>dQ<1||{`#NI^GFf4iSAcFV!ZF99PiTR^bkA1ErEcd%`dzW z&ZEK40pfocia!U4PaPm;SH6=vD9+ccYE!U6&O+8nKDE2C`}2gbl=b_B z5dHfAQS11T?}+`E4(U_41b|6RFn0?BdOHr5u{x);sp{nKxft!6gizJ}2_=2VlP1m# z85tM)ij0a!GHz8E_b>0z4Z%ti`nM)E)T&l=8&wzk)Mr>m<#%L5gGokWycG~p_}%3X zzk2j3rL&I$T7j%l4Xh<1^E_hK#_D#FXAub7x$u5)Mf_SnZY>m$6jF^>iaa+t6Bj{K z5SKRgVPnV&;<(&0;~5a=FN1%5aDCiiXeLLo#`vE~3cKFhulKGHe`7$0S%1eOPqIF^ z&y@Il;)L|ibHe#u@8yIG75x9}Z$@8IKlq9{|LXC4-{0cBzW;rG9s;Mr_oE0N|$oju}2^48+H%IKEt@>AYkIM=ZuRv z6=d>DX`=N%9|-24{I*$jd<0!Ue+~|xHW&RI9R7~ru(nFl)@PX{QEfJ3K$T7XOS?oa zHwknFwS7n!gQV>OF`_&8ywqubt%eNu>&0Y$c%Sm*Ls-oULdU!gt`3m%dmE64WXy>y zeEjoY_@!yU?s6V6*P|LtSD$QI3|i2m(+u?UFL$sX9d!)u402`ZHcoc*`Sa~}NS3zx zg+47Z?VKI)81?W9t+^EL@zQof83X7Y<`RO-E%ji_{}OamYB&iRAf=SvY|Mepg+C!Q z>cES&6orSm=u~6;GQ(D!Z94`p_e&L}5R${Cq&b(1V=CkGD~P-=pRIRDKjOk$k5(GI zf8lVvXmx61h}e9z>P1N6-NPMZB6+4YvZjnz4vTN5jv_>Deb7#Ip`pG@~hIIL3f|HDS2d5|K z#_!&!o_@47yjVvg!nt^H+~XoK(V)d^f0~-i^u3)OP8|O&(9hQ`70j2W)Fbzwptd*O z`s(x;uIrLc$d-G(I`$_GZw_2nF}+OM;&gKFwVTIgtW8W^6J>**WF9K>BPlV)m4%T( zI3&W;C%@NMl@U*oCT~J&7zo`4v4m+to2;t11}BWg@>-?akUra~xFlF+(<$<nHeTf81wq!xP)Zl=41;059 z?gN&RZ(n4wm@$)#m7C5a?nln&5Hsuu&U)2+bX*@Rwm8HH_Ug|s5yxPZiXz5Y5704b z&X#dgk-PSF2h$LXGGDUMbz+}ZDmfyXBxtFxsB{dg$U;%$GjIuG%^d2|$6yV$p15e=Qkh0Tlu>YjPEd7DU^W{WOLUsc-K>n{lJr1Fmnv0rVS%~Dpd zj0#E^_+d6{mjXt^V8g?}p9;@qxm~kmw@0H_*cNe;Tm61B$=ev~ncVur{k<()yk_@G zS{q;WU?v7PTnxMjb#3Mt*Wc`5Kh3+@-sHLYkWo&2-IE*FYIAn>rL9^$W@Pd-H?}Z| zbeY@uPO8j~ZC?lNU@1v9%ZM%Om8)<+u7`60nX)2cd&AwCBiO{;#&+~3BA`>qKV{Ei%En0;_WBz@>T*Q7 z(bRmP+^Cu-JMz}?%wQtMh2gh~vK~s0{RRq%WBUB!cjieowSa_g zp3zV*E4zzbfiViYfpou#*tOec-o2{JUzd@6-wTR+aOTwc;$hQJ`mNzN$klmc$x58! zaZ*cD8cUwMN1PJ;))YI#Yutp{gKo%loZtL?8kYyq^av;BF4Mx4yeGQp`{~kaa~HR*!MSH1R=lkkbd4cfPs&g_jcGkW z@HU~}X?_y@hJiz+4?+c!JBj(u=@rd=+S?oIk3Q(iNsLuktcBCf-*DV9O+mrVFn_0T z>+5R;9`_42H!Hl9Ad2`dkWpm2gc)~ zLeR@^WmLxcz+p{0LnaoF(EET`z|`=RSZ;#-PO5j#vB4z`2^7u)@*{v?%k+}$8V!&N znS-Lu@QR1Uyb+en#FW0$96p9DlPlT=1^25WVV)7X6`5XZp;vJN#u}vAsbe6EV^!HP z6)C44){t&y*hMztU(V?m^VhuFHWpwgR$UYrozMI#;wO;1_ey!DWqlJh?HhUZPQbuk>ywBbcar=&cL9F{i-&Sr4_|8kTMZavncs zpuq1b=EY43w+Y;p0P(F#!#6C+k>B*K`r?wYwaFn$} zxp9^{A7Ss;S98zwGaBBTvRP-xM%(;lhMSINO9(J7^;I{^7YziM8)*$S8ZCQ~heZgQ zt)W_tgurIJz3IOqZZOB3i;3953gE|+(xT1z+&DeV=_*d_ z7}F6p*bhxSH)=wzK5$osDU1Pem@Do0g`<#0sa8B@>s&x0)BYFd-jPY?@@O#l5PG(l zka4Q|6`|C97#G0k^9wD$;aAoFvxlUW8`f0|srb7l#b#Mt z{_c*^){xV4_L~N;G06)+yNDU<-1;yD+7A%l%Y?r;oO- ze!SSVS1|gt!BXw=E&pYmLIWUk5&&-a@<{--}~Iz|ZyF1LZIkytqZlq-Wo z3h$&^9%1>}3vkw)9&e%8IEa8ln|_g6u%L{)F94Kj1iL{&Y7(6>&z>iO64>>M5 z!AqPYHhkL8J4u?v%?Bh>mOTen2jY9Q=DeohR8ax7-e6_|l&*fS(>(9_XEM!sjt?am z1Y|2Z&w={$t-iqqyc9yW>yT_5smzk=oQA)X-uB zn3|CSM%+xgb8f|*??4|3H~LmiM|S6g;W*xEii7yFdM71Gm7ox7B3b%Q>g7xHh}Ifv zn;K9&pn1QO5;ec7g(Q6sSwsFn@qnWIPAV1Ikg)QD?&~=MtLH zQ~QAehGsA8oz%8j1`}IxH(*W;C}7}Yz|Q2~{e&Nu*Gw~fCspz9TH-o*Wbc0}MxFwN zBt4Yz0I#uFTcX4T%UWT`8OM%+7ve(om{*QxvJiV#AHD~og0fltp6h447F6AVd$`-m z0VdyCLbiZV3Ddi=z~aLP#sl^sN&JWuZ9Xra2R^k(hOrvycEPUddDeq?&(|CGZ$YSp zkee>VRVbmpI_c1(-KM%WlQsZoKK#!)zRzy-ue(w5ZdjV%%7|zAa+IDEcwd>xiVn{E zL{YuxX{-IPD-6Z!gpO@fDL6quBNj!B(56&1g2O0rIALi~50+ElE zWfKWP)Ey_pcYfky{^6b?{7z~tN167zc4H&VeISoi%{^k<)MR3P3+?tWJEp34?{7JL zy9zpih)8;Z78^HD$C}&a>FkNcS>-qV%)Y5i-5okNXq!Gkl3v_IR!d}jQEhC<>A#T^nrpRW9F0d)$(|frBNemw^OFps{m*1F=XdXj!eFh@DYP*ARE1o0g-kRU< zdR->gwB{Odme=WMtcMjl5aG8Mj;{^Bx|bLH9M!Uay@;=38BvJR|0c` z`v$==^g_#%n!kl#=YmCEDxfa!Ro`V<)z0!Q43;%hH?uz4a-4a)8k&g`ijCP-#c4$a zgeupJ@si?P{2oT#jo}@ponbSk?sWf?Bp!(EcWDhKqTN9Pd}^(fvYBE{ZD+6yO;*x& z6j>LEJ<=IGTb5J=>z^Z2`NMkpHkU#o^Y(;k4xGjfM?&zw+X6DDC-QlN&`c}A7&!~tE`hJRLW0&6^q`= zSrfRrkjp-eT!K^#UuJgaB=d9a&`FOpmR-AZ-<5FW!OO`7^GpBaX1@4j3mZd45w4QR7NP zyNJ1jzObkX=DGk=w}_eBV!ENaW1TZzq#GbLiiI*j`IwFEGexD66$*yk73TFp=ZX-G z9KaC60ouF<+heA3|KILq@-EhnEmf0DF9Ck3FVzP>PA_+{gE$SmUe9_}RVeX%xk~V0=EnWvpCk8Ju{azXC#Wke<+q}c` z=FJTl4Pv_R^mA8_Wi*+~9>f87S*8Wa`e{Q)zWrXJ)4}F6t7E;-%;n=6?Pl)zO$91m p4Yc26ALDn*wjVR~a?^_t$Ep!f(+gBvtZ4h~=hr{K2IzOa{|B~NuGIhl diff --git a/FPGA_by_Fredi/Video/BLITTER/altsyncram0_waveforms.html b/FPGA_by_Fredi/Video/BLITTER/altsyncram0_waveforms.html deleted file mode 100644 index 7b89eb9..0000000 --- a/FPGA_by_Fredi/Video/BLITTER/altsyncram0_waveforms.html +++ /dev/null @@ -1,13 +0,0 @@ - - -Sample Waveforms for "altsyncram0.tdf" - - -

Sample behavioral waveforms for design file "altsyncram0.tdf"

-

The following waveforms show the behavior of altsyncram megafunction for the chosen set of parameters in design "altsyncram0.tdf". For the purpose of this simulation, the contents of the memory at the start of the sample waveforms is assumed to be ( FFF0, FFF1, FFF2, FFF3, ...). The design "altsyncram0.tdf" has

-
-

Fig. 1 : Wave showing read operation.

-

The above waveform shows the behavior of the design under normal read conditions. The read happens at the rising edge of the enabled clock cycle. The output from the RAM is undefined until after the first rising edge of the read clock.

-

- - diff --git a/FPGA_by_Fredi/Video/BLITTER/blitter.tdf.ALT b/FPGA_by_Fredi/Video/BLITTER/blitter.tdf.ALT deleted file mode 100644 index 1ad8825..0000000 --- a/FPGA_by_Fredi/Video/BLITTER/blitter.tdf.ALT +++ /dev/null @@ -1,427 +0,0 @@ --- WARNING: Do NOT edit the input and output ports in this file in a text --- editor if you plan to continue editing the block that represents it in --- the Block Editor! File corruption is VERY likely to occur. - --- Copyright (C) 1991-2010 Altera Corporation --- Your use of Altera Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Altera Program License --- Subscription Agreement, Altera MegaCore Function License --- Agreement, or other applicable license agreement, including, --- without limitation, that your use is for the sole purpose of --- programming logic devices manufactured by Altera and sold by --- Altera or its authorized distributors. Please refer to the --- applicable agreement for further details. - - --- Generated by Quartus II Version 9.1 (Build Build 350 03/24/2010) --- Created on Sat Jan 15 11:06:17 2011 -INCLUDE "lpm_bustri_WORD.inc"; -INCLUDE "VIDEO/BLITTER/lpm_clshift0.INC"; - -CONSTANT BL_SKEW_LF = 255; - --- Title Statement (optional) -TITLE "Blitter"; - - --- Parameters Statement (optional) - --- {{ALTERA_PARAMETERS_BEGIN}} DO NOT REMOVE THIS LINE! --- {{ALTERA_PARAMETERS_END}} DO NOT REMOVE THIS LINE! - - --- Subdesign Section - -SUBDESIGN BLITTER -( - -- {{ALTERA_IO_BEGIN}} DO NOT REMOVE THIS LINE! - nRSTO : INPUT; - MAIN_CLK : INPUT; - FB_ALE : INPUT; - nFB_WR : INPUT; - nFB_OE : INPUT; - FB_SIZE0 : INPUT; - FB_SIZE1 : INPUT; - VIDEO_RAM_CTR[15..0] : INPUT; - BLITTER_ON : INPUT; - FB_ADR[31..0] : INPUT; - nFB_CS1 : INPUT; - nFB_CS2 : INPUT; - nFB_CS3 : INPUT; - DDRCLK0 : INPUT; - BLITTER_DIN[127..0] : INPUT; - BLITTER_DACK[4..0] : INPUT; - SR_BLITTER_DACK : INPUT; - BLITTER_RUN : OUTPUT; - BLITTER_DOUT[127..0] : OUTPUT; - BLITTER_ADR[31..0] : OUTPUT; - BLITTER_SIG : OUTPUT; - BLITTER_WR : OUTPUT; - BLITTER_TA : OUTPUT; - FB_AD[31..0] : BIDIR; - -- {{ALTERA_IO_END}} DO NOT REMOVE THIS LINE! -) - -VARIABLE - FB_B[3..0] :NODE; - FB_16B[1..0] :NODE; - BLITTER_CS :NODE; - BL_HRAM0_CS :NODE; - BL_HRAM0[15..0] :DFFE; - BL_HRAM1_CS :NODE; - BL_HRAM1[15..0] :DFFE; - BL_HRAM2_CS :NODE; - BL_HRAM2[15..0] :DFFE; - BL_HRAM3_CS :NODE; - BL_HRAM3[15..0] :DFFE; - BL_HRAM4_CS :NODE; - BL_HRAM4[15..0] :DFFE; - BL_HRAM5_CS :NODE; - BL_HRAM5[15..0] :DFFE; - BL_HRAM6_CS :NODE; - BL_HRAM6[15..0] :DFFE; - BL_HRAM7_CS :NODE; - BL_HRAM7[15..0] :DFFE; - BL_HRAM8_CS :NODE; - BL_HRAM8[15..0] :DFFE; - BL_HRAM9_CS :NODE; - BL_HRAM9[15..0] :DFFE; - BL_HRAMA_CS :NODE; - BL_HRAMA[15..0] :DFFE; - BL_HRAMB_CS :NODE; - BL_HRAMB[15..0] :DFFE; - BL_HRAMC_CS :NODE; - BL_HRAMC[15..0] :DFFE; - BL_HRAMD_CS :NODE; - BL_HRAMD[15..0] :DFFE; - BL_HRAME_CS :NODE; - BL_HRAME[15..0] :DFFE; - BL_HRAMF_CS :NODE; - BL_HRAMF[15..0] :DFFE; - BL_SRC_X_INC_CS :NODE; - BL_SRC_X_INC[15..0] :DFFE; - BL_SRC_Y_INC_CS :NODE; - BL_SRC_Y_INC[15..0] :DFFE; - BL_ENDMASK1_CS :NODE; - BL_ENDMASK1[15..0] :DFFE; - BL_ENDMASK2_CS :NODE; - BL_ENDMASK2[15..0] :DFFE; - BL_ENDMASK3_CS :NODE; - BL_ENDMASK3[15..0] :DFFE; - BL_SRC_ADRH_CS :NODE; - BL_SRC_ADRL_CS :NODE; - BL_SRC_ADR[31..0] :DFFE; - BL_DST_X_INC_CS :NODE; - BL_DST_X_INC[15..0] :DFFE; - BL_DST_Y_INC_CS :NODE; - BL_DST_Y_INC[15..0] :DFFE; - BL_DST_ADRH_CS :NODE; - BL_DST_ADRL_CS :NODE; - BL_DST_ADR[31..0] :DFFE; - BL_X_CNT_CS :NODE; - BL_X_CNT[15..0] :DFFE; - BL_Y_CNT_CS :NODE; - BL_Y_CNT[15..0] :DFFE; - BL_HT_OP_CS :NODE; - BL_HT_OP[7..0] :DFFE; - BL_LC_OP[7..0] :DFFE; - BL_LN_CS :NODE; - BL_LN[7..0] :DFFE; - BL_SKEW[7..0] :DFFE; - - BL_SKEW_EXT[6..0] :NODE; - BL_SKEW_IN[255..0] :DFFE; - BL_SKEW_OUT[255..0] :DFFE; - - BL_DATA_DDR_READY :DFF; -- 1 WENN DATEN GESCHRIEBEN ODER LESBAR - BL_READ_SRC :DFFE; - BL_DST_BUFFER[127..0] :DFFE; - BL_READ_DST :DFFE; - - COUNT[18..0] :DFF; - -BEGIN --- BYT SELECT 32 BIT - FB_B0 = FB_ADR[1..0]==0; -- ADR==0 - FB_B1 = FB_ADR[1..0]==1 -- ADR==1 - # FB_SIZE1 & !FB_SIZE0 & !FB_ADR1 -- HIGH WORD - # FB_SIZE1 & FB_SIZE0 # !FB_SIZE1 & !FB_SIZE0; -- LONG UND LINE - FB_B2 = FB_ADR[1..0]==2 -- ADR==2 - # FB_SIZE1 & FB_SIZE0 # !FB_SIZE1 & !FB_SIZE0; -- LONG UND LINE - FB_B3 = FB_ADR[1..0]==3 -- ADR==3 - # FB_SIZE1 & !FB_SIZE0 & FB_ADR1 -- LOW WORD - # FB_SIZE1 & FB_SIZE0 # !FB_SIZE1 & !FB_SIZE0; -- LONG UND LINE --- BYT SELECT 16 BIT - FB_16B0 = FB_ADR[0]==0; -- ADR==0 - FB_16B1 = FB_ADR[0]==1 -- ADR==1 - # !(!FB_SIZE1 & FB_SIZE0); -- NOT BYT --- BLITTER CS - BLITTER_CS = !nFB_CS1 & FB_ADR[19..6]==H"3E28"; -- FFFF8A00-3F/40 - BLITTER_TA = BLITTER_CS; --- REGISTER - -- HALFTON RAM 0 - BL_HRAM0[].CLK = MAIN_CLK; - BL_HRAM0[15..0] = FB_AD[31..16]; - BL_HRAM0_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C500"; -- $F8A00/2 - BL_HRAM0[15..8].ENA = BL_HRAM0_CS & !nFB_WR & FB_16B0; - BL_HRAM0[7..0].ENA = BL_HRAM0_CS & !nFB_WR & FB_16B1; - -- HALFTON RAM 1 - BL_HRAM1[].CLK = MAIN_CLK; - BL_HRAM1[15..0] = FB_AD[31..16]; - BL_HRAM1_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C501"; -- $F8A02/2 - BL_HRAM1[15..8].ENA = BL_HRAM1_CS & !nFB_WR & FB_16B0; - BL_HRAM1[7..0].ENA = BL_HRAM1_CS & !nFB_WR & FB_16B1; - -- HALFTON RAM 2 - BL_HRAM2[].CLK = MAIN_CLK; - BL_HRAM2[15..0] = FB_AD[31..16]; - BL_HRAM2_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C502"; -- $F8A04/2 - BL_HRAM2[15..8].ENA = BL_HRAM2_CS & !nFB_WR & FB_16B0; - BL_HRAM2[7..0].ENA = BL_HRAM2_CS & !nFB_WR & FB_16B1; - -- HALFTON RAM 3 - BL_HRAM3[].CLK = MAIN_CLK; - BL_HRAM3[15..0] = FB_AD[31..16]; - BL_HRAM3_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C503"; -- $F8A06/2 - BL_HRAM3[15..8].ENA = BL_HRAM3_CS & !nFB_WR & FB_16B0; - BL_HRAM3[7..0].ENA = BL_HRAM3_CS & !nFB_WR & FB_16B1; - -- HALFTON RAM 4 - BL_HRAM4[].CLK = MAIN_CLK; - BL_HRAM4[15..0] = FB_AD[31..16]; - BL_HRAM4_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C504"; -- $F8A08/2 - BL_HRAM4[15..8].ENA = BL_HRAM4_CS & !nFB_WR & FB_16B0; - BL_HRAM4[7..0].ENA = BL_HRAM4_CS & !nFB_WR & FB_16B1; - -- HALFTON RAM 5 - BL_HRAM5[].CLK = MAIN_CLK; - BL_HRAM5[15..0] = FB_AD[31..16]; - BL_HRAM5_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C505"; -- $F8A08/2 - BL_HRAM5[15..8].ENA = BL_HRAM5_CS & !nFB_WR & FB_16B0; - BL_HRAM5[7..0].ENA = BL_HRAM5_CS & !nFB_WR & FB_16B1; - -- HALFTON RAM 6 - BL_HRAM6[].CLK = MAIN_CLK; - BL_HRAM6[15..0] = FB_AD[31..16]; - BL_HRAM6_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C506"; -- $F8A08/2 - BL_HRAM6[15..8].ENA = BL_HRAM6_CS & !nFB_WR & FB_16B0; - BL_HRAM6[7..0].ENA = BL_HRAM6_CS & !nFB_WR & FB_16B1; - -- HALFTON RAM 7 - BL_HRAM7[].CLK = MAIN_CLK; - BL_HRAM7[15..0] = FB_AD[31..16]; - BL_HRAM7_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C507"; -- $F8A08/2 - BL_HRAM7[15..8].ENA = BL_HRAM7_CS & !nFB_WR & FB_16B0; - BL_HRAM7[7..0].ENA = BL_HRAM7_CS & !nFB_WR & FB_16B1; - -- HALFTON RAM 8 - BL_HRAM8[].CLK = MAIN_CLK; - BL_HRAM8[15..0] = FB_AD[31..16]; - BL_HRAM8_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C508"; -- $F8A10/2 - BL_HRAM8[15..8].ENA = BL_HRAM8_CS & !nFB_WR & FB_16B0; - BL_HRAM8[7..0].ENA = BL_HRAM8_CS & !nFB_WR & FB_16B1; - -- HALFTON RAM 9 - BL_HRAM9[].CLK = MAIN_CLK; - BL_HRAM9[15..0] = FB_AD[31..16]; - BL_HRAM9_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C509"; -- $F8A12/2 - BL_HRAM9[15..8].ENA = BL_HRAM9_CS & !nFB_WR & FB_16B0; - BL_HRAM9[7..0].ENA = BL_HRAM9_CS & !nFB_WR & FB_16B1; - -- HALFTON RAM 10 - BL_HRAMA[].CLK = MAIN_CLK; - BL_HRAMA[15..0] = FB_AD[31..16]; - BL_HRAMA_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C50A"; -- $F8A4/2 - BL_HRAMA[15..8].ENA = BL_HRAMA_CS & !nFB_WR & FB_16B0; - BL_HRAMA[7..0].ENA = BL_HRAMA_CS & !nFB_WR & FB_16B1; - -- HALFTON RAM 11 - BL_HRAMB[].CLK = MAIN_CLK; - BL_HRAMB[15..0] = FB_AD[31..16]; - BL_HRAMB_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C50B"; -- $F8A16/2 - BL_HRAMB[15..8].ENA = BL_HRAMB_CS & !nFB_WR & FB_16B0; - BL_HRAMB[7..0].ENA = BL_HRAMB_CS & !nFB_WR & FB_16B1; - -- HALFTON RAM 12 - BL_HRAMC[].CLK = MAIN_CLK; - BL_HRAMC[15..0] = FB_AD[31..16]; - BL_HRAMC_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C50C"; -- $F8A18/2 - BL_HRAMC[15..8].ENA = BL_HRAMC_CS & !nFB_WR & FB_16B0; - BL_HRAMC[7..0].ENA = BL_HRAMC_CS & !nFB_WR & FB_16B1; - -- HALFTON RAM 13 - BL_HRAMD[].CLK = MAIN_CLK; - BL_HRAMD[15..0] = FB_AD[31..16]; - BL_HRAMD_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C50D"; -- $F8A1A/2 - BL_HRAMD[15..8].ENA = BL_HRAMD_CS & !nFB_WR & FB_16B0; - BL_HRAMD[7..0].ENA = BL_HRAMD_CS & !nFB_WR & FB_16B1; - -- HALFTON RAM 14 - BL_HRAME[].CLK = MAIN_CLK; - BL_HRAME[15..0] = FB_AD[31..16]; - BL_HRAME_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C50E"; -- $F8A1C/2 - BL_HRAME[15..8].ENA = BL_HRAME_CS & !nFB_WR & FB_16B0; - BL_HRAME[7..0].ENA = BL_HRAME_CS & !nFB_WR & FB_16B1; - -- HALFTON RAM 15 - BL_HRAMF[].CLK = MAIN_CLK; - BL_HRAMF[15..0] = FB_AD[31..16]; - BL_HRAMF_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C50F"; -- $F8A1E/2 - BL_HRAMF[15..8].ENA = BL_HRAMF_CS & !nFB_WR & FB_16B0; - BL_HRAMF[7..0].ENA = BL_HRAMF_CS & !nFB_WR & FB_16B1; - -- SRC X INC - BL_SRC_X_INC[].CLK = MAIN_CLK; - BL_SRC_X_INC[] = FB_AD[31..16]; - BL_SRC_X_INC_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C510"; -- $F8A20/2 - BL_SRC_X_INC[15..8].ENA = BL_SRC_X_INC_CS & !nFB_WR & FB_16B0; - BL_SRC_X_INC[7..0].ENA = BL_SRC_X_INC_CS & !nFB_WR & FB_16B1; - -- SRC Y INC - BL_SRC_Y_INC[].CLK = MAIN_CLK; - BL_SRC_Y_INC[] = FB_AD[31..16]; - BL_SRC_Y_INC_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C511"; -- $F8A22/2 - BL_SRC_Y_INC[15..8].ENA = BL_SRC_Y_INC_CS & !nFB_WR & FB_16B0; - BL_SRC_Y_INC[7..0].ENA = BL_SRC_Y_INC_CS & !nFB_WR & FB_16B1; - -- SRC ADR HIGH - BL_SRC_ADR[].CLK = MAIN_CLK; - BL_SRC_ADR[31..16] = FB_AD[31..16]; - BL_SRC_ADRH_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C512"; -- $F8A24/2 - BL_SRC_ADR[31..24].ENA = BL_SRC_ADRH_CS & !nFB_WR & FB_16B0; - BL_SRC_ADR[23..16].ENA = BL_SRC_ADRH_CS & !nFB_WR & FB_16B1; - -- SRC ADR LOW - BL_SRC_ADR[].CLK = MAIN_CLK; - BL_SRC_ADR[15..0] = FB_AD[31..16]; - BL_SRC_ADRL_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C513"; -- $F8A26/2 - BL_SRC_ADR[15..8].ENA = BL_SRC_ADRL_CS & !nFB_WR & FB_16B0; - BL_SRC_ADR[7..0].ENA = BL_SRC_ADRL_CS & !nFB_WR & FB_16B1; - -- ENDMASK 1 - BL_ENDMASK1[].CLK = MAIN_CLK; - BL_ENDMASK1[] = FB_AD[31..16]; - BL_ENDMASK1_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C514"; -- $F8A28/2 - BL_ENDMASK1[15..8].ENA = BL_ENDMASK1_CS & !nFB_WR & FB_16B0; - BL_ENDMASK1[7..0].ENA = BL_ENDMASK1_CS & !nFB_WR & FB_16B1; - -- ENDMASK 2 - BL_ENDMASK2[].CLK = MAIN_CLK; - BL_ENDMASK2[] = FB_AD[31..16]; - BL_ENDMASK2_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C515"; -- $F8A2A/2 - BL_ENDMASK2[15..8].ENA = BL_ENDMASK2_CS & !nFB_WR & FB_16B0; - BL_ENDMASK2[7..0].ENA = BL_ENDMASK2_CS & !nFB_WR & FB_16B1; - -- ENDMASK 3 - BL_ENDMASK3[].CLK = MAIN_CLK; - BL_ENDMASK3[] = FB_AD[31..16]; - BL_ENDMASK3_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C516"; -- $F8A2C/2 - BL_ENDMASK3[15..8].ENA = BL_ENDMASK3_CS & !nFB_WR & FB_16B0; - BL_ENDMASK3[7..0].ENA = BL_ENDMASK3_CS & !nFB_WR & FB_16B1; - -- DST X INC - BL_DST_X_INC[].CLK = MAIN_CLK; - BL_DST_X_INC[] = FB_AD[31..16]; - BL_DST_X_INC_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C517"; -- $F8A2E/2 - BL_DST_X_INC[15..8].ENA = BL_DST_X_INC_CS & !nFB_WR & FB_16B0; - BL_DST_X_INC[7..0].ENA = BL_DST_X_INC_CS & !nFB_WR & FB_16B1; - -- DST Y INC - BL_DST_Y_INC[].CLK = MAIN_CLK; - BL_DST_Y_INC[] = FB_AD[31..16]; - BL_DST_Y_INC_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C518"; -- $F8A30/2 - BL_DST_Y_INC[15..8].ENA = BL_DST_Y_INC_CS & !nFB_WR & FB_16B0; - BL_DST_Y_INC[7..0].ENA = BL_DST_Y_INC_CS & !nFB_WR & FB_16B1; - -- DST ADR HIGH - BL_DST_ADR[].CLK = MAIN_CLK; - BL_DST_ADR[31..16] = FB_AD[31..16]; - BL_DST_ADRH_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C512"; -- $F8A24/2 - BL_DST_ADR[31..24].ENA = BL_DST_ADRH_CS & !nFB_WR & FB_16B0; - BL_DST_ADR[23..16].ENA = BL_DST_ADRH_CS & !nFB_WR & FB_16B1; - -- DST ADR LOW - BL_DST_ADR[].CLK = MAIN_CLK; - BL_DST_ADR[15..0] = FB_AD[31..16]; - BL_DST_ADRL_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C513"; -- $F8A26/2 - BL_DST_ADR[15..8].ENA = BL_DST_ADRL_CS & !nFB_WR & FB_16B0; - BL_DST_ADR[7..0].ENA = BL_DST_ADRL_CS & !nFB_WR & FB_16B1; - -- X COUNT - BL_X_CNT[].CLK = MAIN_CLK; - BL_X_CNT[] = FB_AD[31..16]; - BL_X_CNT_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C51B"; -- $F8A36/2 - BL_X_CNT[15..8].ENA = BL_X_CNT_CS & !nFB_WR & FB_16B0; - BL_X_CNT[7..0].ENA = BL_X_CNT_CS & !nFB_WR & FB_16B1; - -- Y COUNT - BL_Y_CNT[].CLK = MAIN_CLK; - BL_Y_CNT[] = FB_AD[31..16]; - BL_Y_CNT_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C51C"; -- $F8A38/2 - BL_Y_CNT[15..8].ENA = BL_Y_CNT_CS & !nFB_WR & FB_16B0; - BL_Y_CNT[7..0].ENA = BL_Y_CNT_CS & !nFB_WR & FB_16B1; - -- HALFTONE OP BYT - BL_HT_OP[].CLK = MAIN_CLK; - BL_HT_OP[] = FB_AD[31..24]; - BL_HT_OP_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C51D"; -- $F8A3A/2 - BL_HT_OP[7..0].ENA = BL_HT_OP_CS & !nFB_WR & FB_16B0; - -- LOGIC OP BYT - BL_LC_OP[].CLK = MAIN_CLK; - BL_LC_OP[] = FB_AD[23..16]; - BL_LC_OP[7..0].ENA = BL_HT_OP_CS & !nFB_WR & FB_16B1; -- $F8A3B - -- LINE NUMBER BYT - BL_LN[].CLK = MAIN_CLK; - BL_LN[] = FB_AD[31..24]; - BL_LN_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C51E"; -- $F8A3C/2 - BL_LN[7..0].ENA = BL_LN_CS & !nFB_WR & FB_16B0; - -- SKEW BYT - BL_SKEW[].CLK = MAIN_CLK; - BL_SKEW[] = FB_AD[31..24]; - BL_SKEW[7..0].ENA = BL_LN_CS & !nFB_WR & FB_16B1; -- $F8A3D ---- REGISTER OUT - FB_AD[31..16] = lpm_bustri_WORD( - BL_HRAM0_CS & BL_HRAM0[15..0] - # BL_HRAM1_CS & BL_HRAM1[15..0] - # BL_HRAM2_CS & BL_HRAM2[15..0] - # BL_HRAM3_CS & BL_HRAM3[15..0] - # BL_HRAM4_CS & BL_HRAM4[15..0] - # BL_HRAM5_CS & BL_HRAM5[15..0] - # BL_HRAM6_CS & BL_HRAM6[15..0] - # BL_HRAM7_CS & BL_HRAM7[15..0] - # BL_HRAM8_CS & BL_HRAM8[15..0] - # BL_HRAM9_CS & BL_HRAM9[15..0] - # BL_HRAMA_CS & BL_HRAMA[15..0] - # BL_HRAMB_CS & BL_HRAMB[15..0] - # BL_HRAMC_CS & BL_HRAMC[15..0] - # BL_HRAMD_CS & BL_HRAMD[15..0] - # BL_HRAME_CS & BL_HRAME[15..0] - # BL_HRAMF_CS & BL_HRAMF[15..0] - # BL_SRC_X_INC_CS & BL_SRC_X_INC[] - # BL_SRC_Y_INC_CS & BL_SRC_Y_INC[] - # BL_SRC_ADRH_CS & BL_SRC_ADR[31..16] - # BL_SRC_ADRL_CS & BL_SRC_ADR[15..0] - # BL_ENDMASK1_CS & BL_ENDMASK1[] - # BL_ENDMASK2_CS & BL_ENDMASK2[] - # BL_ENDMASK3_CS & BL_ENDMASK3[] - # BL_DST_X_INC_CS & BL_DST_X_INC[] - # BL_DST_Y_INC_CS & BL_DST_Y_INC[] - # BL_DST_ADRH_CS & BL_DST_ADR[31..16] - # BL_DST_ADRL_CS & BL_DST_ADR[15..0] - # BL_X_CNT_CS & BL_X_CNT[] - # BL_Y_CNT_CS & BL_Y_CNT[] - # BL_HT_OP_CS & (BL_HT_OP[],BL_LC_OP[]) - # BL_LN_CS & (BL_LN[],BL_SKEW[]) - ,!nFB_CS1 & FB_ADR[19..6]==H"3E28" & !nFB_OE); -- FFFF8A00-3F/40 ------------------------------------------ --- - BL_READ_SRC.CLK = DDRCLK0; - BL_READ_DST.CLK = DDRCLK0; - - - BLITTER_RUN = VCC; - BLITTER_SIG = VCC; - BLITTER_WR = VCC; --- READY SIGNAL 1 CLOCK SPÄTER - BL_DATA_DDR_READY.CLK = DDRCLK0; - BL_DATA_DDR_READY = BL_DATA_DDR_READY & BLITTER_DACK0; --- SRC BUFFER LADEN - BL_SKEW_IN[].CLK = DDRCLK0; - BL_SKEW_IN[].ENA = BL_DATA_DDR_READY & BL_READ_SRC; - BL_SKEW_IN[255..128] = BLITTER_DIN[]; - BL_SKEW_IN[127..0] = BL_SKEW_IN[255..128]; --- DST BUFFER LADEN - BL_DST_BUFFER[].CLK = DDRCLK0; - BL_DST_BUFFER[].ENA = BL_DATA_DDR_READY & BL_READ_DST; - BL_DST_BUFFER[] = BLITTER_DIN[]; --- SKEW EXTENDET - BL_SKEW_EXT[6..4] = BL_SRC_ADR[3..1]; - BL_SKEW_EXT[3..0] = BL_SKEW[3..0]; --- SKEW EXT MUX - BL_SKEW_OUT[].CLK = DDRCLK0; - BL_SKEW_OUT[].ENA = BL_DATA_DDR_READY & BL_READ_DST; - BL_SKEW_OUT[] = lpm_clshift0(BL_SKEW_IN[],BL_SKEW_EXT[]); -- BIT 127..0 SIND RELEVANT - - COUNT[] = COUNT[] + 16; - COUNT[].CLK = BLITTER_DACK0; - BLITTER_DOUT[] = H"112233445566778899AABBCCDDEEFF00"; - BLITTER_ADR[] = (0, COUNT[]) + 400000; - -END; - diff --git a/FPGA_by_Fredi/Video/BLITTER/lpm_clshift0.bsf b/FPGA_by_Fredi/Video/BLITTER/lpm_clshift0.bsf deleted file mode 100644 index 9b12256..0000000 --- a/FPGA_by_Fredi/Video/BLITTER/lpm_clshift0.bsf +++ /dev/null @@ -1,54 +0,0 @@ -/* -WARNING: Do NOT edit the input and output ports in this file in a text -editor if you plan to continue editing the block that represents it in -the Block Editor! File corruption is VERY likely to occur. -*/ -/* -Copyright (C) 1991-2010 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. -*/ -(header "symbol" (version "1.1")) -(symbol - (rect 0 0 208 80) - (text "lpm_clshift0" (rect 62 3 162 22)(font "Arial" (font_size 10))) - (text "inst" (rect 8 61 31 76)(font "Arial" )) - (port - (pt 0 24) - (input) - (text "data[255..0]" (rect 0 0 81 16)(font "Arial" (font_size 8))) - (text "data[255..0]" (rect 20 16 89 32)(font "Arial" (font_size 8))) - (line (pt 0 24)(pt 16 24)(line_width 3)) - ) - (port - (pt 0 40) - (input) - (text "distance[6..0]" (rect 0 0 93 16)(font "Arial" (font_size 8))) - (text "distance[6..0]" (rect 20 32 99 48)(font "Arial" (font_size 8))) - (line (pt 0 40)(pt 16 40)(line_width 3)) - ) - (port - (pt 208 24) - (output) - (text "result[255..0]" (rect 0 0 89 16)(font "Arial" (font_size 8))) - (text "result[255..0]" (rect 113 16 189 32)(font "Arial" (font_size 8))) - (line (pt 208 24)(pt 192 24)(line_width 3)) - ) - (drawing - (text "LOGICAL right shift" (rect 21 50 114 64)(font "Arial" )) - (line (pt 16 16)(pt 16 64)(line_width 1)) - (line (pt 192 16)(pt 192 64)(line_width 1)) - (line (pt 16 16)(pt 192 16)(line_width 1)) - (line (pt 16 64)(pt 192 64)(line_width 1)) - ) -) diff --git a/FPGA_by_Fredi/Video/BLITTER/altsyncram0.cmp b/FPGA_by_Fredi/Video/BLITTER/lpm_clshift144.inc similarity index 69% rename from FPGA_by_Fredi/Video/BLITTER/altsyncram0.cmp rename to FPGA_by_Fredi/Video/BLITTER/lpm_clshift144.inc index d0a0d93..b8538c0 100644 --- a/FPGA_by_Fredi/Video/BLITTER/altsyncram0.cmp +++ b/FPGA_by_Fredi/Video/BLITTER/lpm_clshift144.inc @@ -13,14 +13,13 @@ --applicable agreement for further details. -component altsyncram0 - PORT - ( - address : IN STD_LOGIC_VECTOR (3 DOWNTO 0); - byteena_a : IN STD_LOGIC_VECTOR (1 DOWNTO 0) := (OTHERS => '1'); - clock : IN STD_LOGIC := '1'; - data : IN STD_LOGIC_VECTOR (15 DOWNTO 0); - wren : IN STD_LOGIC := '0'; - q : OUT STD_LOGIC_VECTOR (15 DOWNTO 0) - ); -end component; +FUNCTION lpm_clshift144 +( + data[143..0], + direction, + distance[7..0] +) + +RETURNS ( + result[143..0] +); diff --git a/FPGA_by_Fredi/Video/BLITTER/lpm_clshift144.qip b/FPGA_by_Fredi/Video/BLITTER/lpm_clshift144.qip new file mode 100644 index 0000000..8686c8a --- /dev/null +++ b/FPGA_by_Fredi/Video/BLITTER/lpm_clshift144.qip @@ -0,0 +1,4 @@ +set_global_assignment -name IP_TOOL_NAME "LPM_CLSHIFT" +set_global_assignment -name IP_TOOL_VERSION "9.1" +set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "lpm_clshift144.tdf"] +set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "lpm_clshift144.inc"] diff --git a/FPGA_by_Fredi/Video/BLITTER/lpm_clshift144.tdf b/FPGA_by_Fredi/Video/BLITTER/lpm_clshift144.tdf new file mode 100644 index 0000000..1ea9db4 --- /dev/null +++ b/FPGA_by_Fredi/Video/BLITTER/lpm_clshift144.tdf @@ -0,0 +1,94 @@ +-- megafunction wizard: %LPM_CLSHIFT% +-- GENERATION: STANDARD +-- VERSION: WM1.0 +-- MODULE: lpm_clshift + +-- ============================================================ +-- File Name: lpm_clshift144.tdf +-- Megafunction Name(s): +-- lpm_clshift +-- +-- Simulation Library Files(s): +-- +-- ============================================================ +-- ************************************************************ +-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! +-- +-- 9.1 Build 350 03/24/2010 SP 2 SJ Web Edition +-- ************************************************************ + + +--Copyright (C) 1991-2010 Altera Corporation +--Your use of Altera Corporation's design tools, logic functions +--and other software and tools, and its AMPP partner logic +--functions, and any output files from any of the foregoing +--(including device programming or simulation files), and any +--associated documentation or information are expressly subject +--to the terms and conditions of the Altera Program License +--Subscription Agreement, Altera MegaCore Function License +--Agreement, or other applicable license agreement, including, +--without limitation, that your use is for the sole purpose of +--programming logic devices manufactured by Altera and sold by +--Altera or its authorized distributors. Please refer to the +--applicable agreement for further details. + +INCLUDE "lpm_clshift.inc"; + + + +SUBDESIGN lpm_clshift144 +( + data[143..0] : INPUT; + direction : INPUT; + distance[7..0] : INPUT; + result[143..0] : OUTPUT; +) + +VARIABLE + + lpm_clshift_component : lpm_clshift WITH ( + LPM_SHIFTTYPE = "LOGICAL", + LPM_TYPE = "LPM_CLSHIFT", + LPM_WIDTH = 144, + LPM_WIDTHDIST = 8 + ); + +BEGIN + + result[143..0] = lpm_clshift_component.result[143..0]; + lpm_clshift_component.distance[7..0] = distance[7..0]; + lpm_clshift_component.direction = direction; + lpm_clshift_component.data[143..0] = data[143..0]; +END; + + + +-- ============================================================ +-- CNX file retrieval info +-- ============================================================ +-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone III" +-- Retrieval info: PRIVATE: LPM_SHIFTTYPE NUMERIC "0" +-- Retrieval info: PRIVATE: LPM_WIDTH NUMERIC "144" +-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" +-- Retrieval info: PRIVATE: lpm_width_varies NUMERIC "0" +-- Retrieval info: PRIVATE: lpm_widthdist NUMERIC "8" +-- Retrieval info: PRIVATE: lpm_widthdist_style NUMERIC "0" +-- Retrieval info: PRIVATE: port_direction NUMERIC "2" +-- Retrieval info: CONSTANT: LPM_SHIFTTYPE STRING "LOGICAL" +-- Retrieval info: CONSTANT: LPM_TYPE STRING "LPM_CLSHIFT" +-- Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "144" +-- Retrieval info: CONSTANT: LPM_WIDTHDIST NUMERIC "8" +-- Retrieval info: USED_PORT: data 0 0 144 0 INPUT NODEFVAL data[143..0] +-- Retrieval info: USED_PORT: direction 0 0 0 0 INPUT NODEFVAL direction +-- Retrieval info: USED_PORT: distance 0 0 8 0 INPUT NODEFVAL distance[7..0] +-- Retrieval info: USED_PORT: result 0 0 144 0 OUTPUT NODEFVAL result[143..0] +-- Retrieval info: CONNECT: @distance 0 0 8 0 distance 0 0 8 0 +-- Retrieval info: CONNECT: @data 0 0 144 0 data 0 0 144 0 +-- Retrieval info: CONNECT: result 0 0 144 0 @result 0 0 144 0 +-- Retrieval info: CONNECT: @direction 0 0 0 0 direction 0 0 0 0 +-- Retrieval info: LIBRARY: lpm lpm.lpm_components.all +-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_clshift144.tdf TRUE +-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_clshift144.inc TRUE +-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_clshift144.cmp FALSE +-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_clshift144.bsf FALSE +-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_clshift144_inst.tdf FALSE diff --git a/FPGA_by_Fredi/Video/BLITTER/lpm_clshift384.inc b/FPGA_by_Fredi/Video/BLITTER/lpm_clshift384.inc new file mode 100644 index 0000000..dc44264 --- /dev/null +++ b/FPGA_by_Fredi/Video/BLITTER/lpm_clshift384.inc @@ -0,0 +1,25 @@ +--Copyright (C) 1991-2010 Altera Corporation +--Your use of Altera Corporation's design tools, logic functions +--and other software and tools, and its AMPP partner logic +--functions, and any output files from any of the foregoing +--(including device programming or simulation files), and any +--associated documentation or information are expressly subject +--to the terms and conditions of the Altera Program License +--Subscription Agreement, Altera MegaCore Function License +--Agreement, or other applicable license agreement, including, +--without limitation, that your use is for the sole purpose of +--programming logic devices manufactured by Altera and sold by +--Altera or its authorized distributors. Please refer to the +--applicable agreement for further details. + + +FUNCTION lpm_clshift384 +( + data[383..0], + direction, + distance[7..0] +) + +RETURNS ( + result[383..0] +); diff --git a/FPGA_by_Fredi/Video/BLITTER/lpm_clshift384.qip b/FPGA_by_Fredi/Video/BLITTER/lpm_clshift384.qip new file mode 100644 index 0000000..ade4236 --- /dev/null +++ b/FPGA_by_Fredi/Video/BLITTER/lpm_clshift384.qip @@ -0,0 +1,5 @@ +set_global_assignment -name IP_TOOL_NAME "LPM_CLSHIFT" +set_global_assignment -name IP_TOOL_VERSION "9.1" +set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "lpm_clshift384.tdf"] +set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "lpm_clshift384.inc"] +set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "lpm_clshift384.cmp"] diff --git a/FPGA_by_Fredi/Video/BLITTER/lpm_clshift0.tdf b/FPGA_by_Fredi/Video/BLITTER/lpm_clshift384.tdf similarity index 62% rename from FPGA_by_Fredi/Video/BLITTER/lpm_clshift0.tdf rename to FPGA_by_Fredi/Video/BLITTER/lpm_clshift384.tdf index d7d910f..deac5a7 100644 --- a/FPGA_by_Fredi/Video/BLITTER/lpm_clshift0.tdf +++ b/FPGA_by_Fredi/Video/BLITTER/lpm_clshift384.tdf @@ -36,11 +36,12 @@ INCLUDE "lpm_clshift.inc"; -SUBDESIGN lpm_clshift0 +SUBDESIGN lpm_clshift384 ( - data[255..0] : INPUT; - distance[6..0] : INPUT; - result[255..0] : OUTPUT; + data[383..0] : INPUT; + direction : INPUT; + distance[7..0] : INPUT; + result[383..0] : OUTPUT; ) VARIABLE @@ -48,16 +49,16 @@ VARIABLE lpm_clshift_component : lpm_clshift WITH ( LPM_SHIFTTYPE = "LOGICAL", LPM_TYPE = "LPM_CLSHIFT", - LPM_WIDTH = 256, - LPM_WIDTHDIST = 7 + LPM_WIDTH = 384, + LPM_WIDTHDIST = 8 ); BEGIN - result[255..0] = lpm_clshift_component.result[255..0]; - lpm_clshift_component.distance[6..0] = distance[6..0]; - lpm_clshift_component.direction = VCC; - lpm_clshift_component.data[255..0] = data[255..0]; + result[383..0] = lpm_clshift_component.result[383..0]; + lpm_clshift_component.distance[7..0] = distance[7..0]; + lpm_clshift_component.direction = direction; + lpm_clshift_component.data[383..0] = data[383..0]; END; @@ -67,26 +68,27 @@ END; -- ============================================================ -- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone III" -- Retrieval info: PRIVATE: LPM_SHIFTTYPE NUMERIC "0" --- Retrieval info: PRIVATE: LPM_WIDTH NUMERIC "256" +-- Retrieval info: PRIVATE: LPM_WIDTH NUMERIC "384" -- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" -- Retrieval info: PRIVATE: lpm_width_varies NUMERIC "0" --- Retrieval info: PRIVATE: lpm_widthdist NUMERIC "7" --- Retrieval info: PRIVATE: lpm_widthdist_style NUMERIC "1" --- Retrieval info: PRIVATE: port_direction NUMERIC "1" +-- Retrieval info: PRIVATE: lpm_widthdist NUMERIC "8" +-- Retrieval info: PRIVATE: lpm_widthdist_style NUMERIC "0" +-- Retrieval info: PRIVATE: port_direction NUMERIC "2" -- Retrieval info: CONSTANT: LPM_SHIFTTYPE STRING "LOGICAL" -- Retrieval info: CONSTANT: LPM_TYPE STRING "LPM_CLSHIFT" --- Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "256" --- Retrieval info: CONSTANT: LPM_WIDTHDIST NUMERIC "7" --- Retrieval info: USED_PORT: data 0 0 256 0 INPUT NODEFVAL data[255..0] --- Retrieval info: USED_PORT: distance 0 0 7 0 INPUT NODEFVAL distance[6..0] --- Retrieval info: USED_PORT: result 0 0 256 0 OUTPUT NODEFVAL result[255..0] --- Retrieval info: CONNECT: @distance 0 0 7 0 distance 0 0 7 0 --- Retrieval info: CONNECT: @data 0 0 256 0 data 0 0 256 0 --- Retrieval info: CONNECT: result 0 0 256 0 @result 0 0 256 0 --- Retrieval info: CONNECT: @direction 0 0 0 0 VCC 0 0 0 0 +-- Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "384" +-- Retrieval info: CONSTANT: LPM_WIDTHDIST NUMERIC "8" +-- Retrieval info: USED_PORT: data 0 0 384 0 INPUT NODEFVAL data[383..0] +-- Retrieval info: USED_PORT: direction 0 0 0 0 INPUT NODEFVAL direction +-- Retrieval info: USED_PORT: distance 0 0 8 0 INPUT NODEFVAL distance[7..0] +-- Retrieval info: USED_PORT: result 0 0 384 0 OUTPUT NODEFVAL result[383..0] +-- Retrieval info: CONNECT: @distance 0 0 8 0 distance 0 0 8 0 +-- Retrieval info: CONNECT: @data 0 0 384 0 data 0 0 384 0 +-- Retrieval info: CONNECT: result 0 0 384 0 @result 0 0 384 0 +-- Retrieval info: CONNECT: @direction 0 0 0 0 direction 0 0 0 0 -- Retrieval info: LIBRARY: lpm lpm.lpm_components.all -- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_clshift0.tdf TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_clshift0.inc TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_clshift0.cmp TRUE --- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_clshift0.bsf TRUE FALSE +-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_clshift0.bsf TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_clshift0_inst.tdf FALSE diff --git a/FPGA_by_Fredi/Video/DDR_CTR.tdf b/FPGA_by_Fredi/Video/DDR_CTR.tdf index 6c74c7a..72bee92 100644 --- a/FPGA_by_Fredi/Video/DDR_CTR.tdf +++ b/FPGA_by_Fredi/Video/DDR_CTR.tdf @@ -6,8 +6,8 @@ INCLUDE "lpm_bustri_BYT.inc"; -- FIFO WATER MARK CONSTANT FIFO_LWM = 0; -CONSTANT FIFO_MWM = 200; -CONSTANT FIFO_HWM = 500; +CONSTANT FIFO_MWM = 1000; +CONSTANT FIFO_HWM = 2000; -- {{ALTERA_PARAMETERS_BEGIN}} DO NOT REMOVE THIS LINE! -- {{ALTERA_PARAMETERS_END}} DO NOT REMOVE THIS LINE! @@ -34,7 +34,7 @@ SUBDESIGN DDR_CTR BLITTER_WR : INPUT; DDRCLK0 : INPUT; CLK33M : INPUT; - FIFO_MW[8..0] : INPUT; + FIFO_MW[10..0] : INPUT; VA[12..0] : OUTPUT; nVWE : OUTPUT; nVRAS : OUTPUT; @@ -205,26 +205,13 @@ BEGIN DDR_REFRESH_ON = VIDEO_RAM_CTR2; DDR_CONFIG = VIDEO_RAM_CTR3; FIFO_ACTIVE = VIDEO_RAM_CTR8; --------------------------------- +---------------------------------------------------------------- +-- CPU --------------------------- +-------------------------------------------------------- CPU_ROW_ADR[] = FB_ADR[26..14]; CPU_BA[] = FB_ADR[13..12]; CPU_COL_ADR[] = FB_ADR[11..2]; - nVRAS = !VRAS; - nVCAS = !VCAS; - nVWE = !VWE; - SR_DDR_WR.CLK = DDRCLK0; - SR_DDRWR_D_SEL.CLK = DDRCLK0; - SR_VDMP[7..0].CLK = DDRCLK0; - SR_FIFO_WRE.CLK = DDRCLK0; CPU_AC.CLK = DDRCLK0; - FIFO_AC.CLK = DDRCLK0; - BLITTER_AC.CLK = DDRCLK0; - DDRWR_D_SEL1 = BLITTER_AC; --- SELECT LOGIC - DDR_SEL = FB_ALE & FB_AD[31..30]==B"01"; - DDR_CS.CLK = MAIN_CLK; - DDR_CS.ENA = FB_ALE; - DDR_CS = DDR_SEL; -- WENN READ ODER WRITE B,W,L DDR SOFORT ANFORDERN, BEI WRITE LINE SPÄTER CPU_SIG = DDR_SEL & (nFB_WR # !LINE) & !DDR_CONFIG -- NICHT LINE ODER READ SOFORT LOS WENN NICHT CONFIG # DDR_SEL & DDR_CONFIG -- CONFIG SOFORT LOS @@ -234,6 +221,30 @@ BEGIN # CPU_REQ & FB_REGDDR!=FR_S1 & FB_REGDDR!=FR_S3 & !BUS_CYC_END & !BUS_CYC; -- HALTEN BUS CYC BEGONNEN ODER FERTIG BUS_CYC.CLK = DDRCLK0; BUS_CYC = BUS_CYC & !BUS_CYC_END; +-- SELECT LOGIC + DDR_SEL = FB_ALE & FB_AD[31..30]==B"01"; + DDR_CS.CLK = MAIN_CLK; + DDR_CS.ENA = FB_ALE; + DDR_CS = DDR_SEL; +--------------------------------------------------------------- +-- BLITTER ---------------------- +----------------------------------------- + BLITTER_REQ.CLK = DDRCLK0; + BLITTER_REQ = BLITTER_SIG & !DDR_CONFIG & VCKE & !nVCS; + BLITTER_ROW_ADR[] = BLITTER_ADR[26..14]; + BLITTER_BA[] = BLITTER_ADR[13..12]; + BLITTER_COL_ADR[] = BLITTER_ADR[11..2]; + BLITTER_AC.CLK = DDRCLK0; + DDRWR_D_SEL1 = BLITTER_AC; +--------------------------------------------------- + nVRAS = !VRAS; + nVCAS = !VCAS; + nVWE = !VWE; + SR_DDR_WR.CLK = DDRCLK0; + SR_DDRWR_D_SEL.CLK = DDRCLK0; + SR_VDMP[7..0].CLK = DDRCLK0; + SR_FIFO_WRE.CLK = DDRCLK0; + FIFO_AC.CLK = DDRCLK0; -- STATE MACHINE SYNCHRONISIEREN ----------------- MCS[].CLK = DDRCLK0; MCS0 = MAIN_CLK; @@ -563,15 +574,6 @@ BEGIN DDR_SM = DS_T1; END CASE; ---------------------------------------------------------------- --- BLITTER ---------------------- ------------------------------------------ - BLITTER_REQ.CLK = DDRCLK0; - BLITTER_REQ = BLITTER_SIG & !DDR_CONFIG & VCKE & !nVCS; - BLITTER_ROW_ADR[] = BLITTER_ADR[26..14]; - BLITTER_BA1 = BLITTER_ADR13; - BLITTER_BA0 = BLITTER_ADR12; - BLITTER_COL_ADR[] = BLITTER_ADR[11..2]; ------------------------------------------------------------------------------ -- FIFO --------------------------------- -------------------------------------------------------- diff --git a/FPGA_by_Fredi/Video/DDR_CTR.tdf.bak b/FPGA_by_Fredi/Video/DDR_CTR.tdf.bak deleted file mode 100644 index d5b5ec2..0000000 --- a/FPGA_by_Fredi/Video/DDR_CTR.tdf.bak +++ /dev/null @@ -1,659 +0,0 @@ -TITLE "DDR_CTR"; - --- CREATED BY FREDI ASCHWANDEN - -INCLUDE "lpm_bustri_BYT.inc"; - --- FIFO WATER MARK -CONSTANT FIFO_LWM = 0; -CONSTANT FIFO_MWM = 200; -CONSTANT FIFO_HWM = 500; - --- {{ALTERA_PARAMETERS_BEGIN}} DO NOT REMOVE THIS LINE! --- {{ALTERA_PARAMETERS_END}} DO NOT REMOVE THIS LINE! - -SUBDESIGN DDR_CTR -( - -- {{ALTERA_IO_BEGIN}} DO NOT REMOVE THIS LINE! - FB_ADR[31..0] : INPUT; - nFB_CS1 : INPUT; - nFB_CS2 : INPUT; - nFB_CS3 : INPUT; - nFB_OE : INPUT; - FB_SIZE0 : INPUT; - FB_SIZE1 : INPUT; - nRSTO : INPUT; - MAIN_CLK : INPUT; - FB_ALE : INPUT; - nFB_WR : INPUT; - DDR_SYNC_66M : INPUT; - CLR_FIFO : INPUT; - VIDEO_RAM_CTR[15..0] : INPUT; - BLITTER_ADR[31..0] : INPUT; - BLITTER_SIG : INPUT; - BLITTER_WR : INPUT; - DDRCLK0 : INPUT; - CLK33M : INPUT; - FIFO_MW[8..0] : INPUT; - VA[12..0] : OUTPUT; - nVWE : OUTPUT; - nVRAS : OUTPUT; - nVCS : OUTPUT; - VCKE : OUTPUT; - nVCAS : OUTPUT; - FB_LE[3..0] : OUTPUT; - FB_VDOE[3..0] : OUTPUT; - SR_FIFO_WRE : OUTPUT; - SR_DDR_FB : OUTPUT; - SR_DDR_WR : OUTPUT; - SR_DDRWR_D_SEL : OUTPUT; - SR_VDMP[7..0] : OUTPUT; - VIDEO_DDR_TA : OUTPUT; - SR_BLITTER_DACK : OUTPUT; - BA[1..0] : OUTPUT; - DDRWR_D_SEL1 : OUTPUT; - VDM_SEL[3..0] : OUTPUT; - FB_AD[31..0] : BIDIR; - -- {{ALTERA_IO_END}} DO NOT REMOVE THIS LINE! -) - -VARIABLE - FB_REGDDR :MACHINE WITH STATES(FR_WAIT,FR_S0,FR_S1,FR_S2,FR_S3); - DDR_SM :MACHINE WITH STATES(DS_T1,DS_T2A,DS_T2B,DS_T3,DS_N5,DS_N6, DS_N7, DS_N8, -- START (NORMAL 8 CYCLES TOTAL = 60ns) - DS_C2,DS_C3,DS_C4, DS_C5, DS_C6, DS_C7, -- CONFIG - DS_T4R,DS_T5R, -- READ CPU UND BLITTER, - DS_T4W,DS_T5W,DS_T6W,DS_T7W,DS_T8W,DS_T9W, -- WRITE CPU UND BLITTER - DS_T4F,DS_T5F,DS_T6F,DS_T7F,DS_T8F,DS_T9F,DS_T10F, -- READ FIFO - DS_CB6, DS_CB8, -- CLOSE FIFO BANK - DS_R2,DS_R3,DS_R4, DS_R5, DS_R6); -- REFRESH 10X7.5NS=75NS - LINE :NODE; - FB_B[3..0] :NODE; - VCAS :NODE; - VRAS :NODE; - VWE :NODE; - VA_P[12..0] :DFF; - BA_P[1..0] :DFF; - VA_S[12..0] :DFF; - BA_S[1..0] :DFF; - MCS[1..0] :DFF; - CPU_DDR_SYNC :DFF; - DDR_SEL :NODE; - DDR_CS :DFFE; - DDR_CONFIG :NODE; - SR_DDR_WR :DFF; - SR_DDRWR_D_SEL :DFF; - SR_VDMP[7..0] :DFF; - CPU_ROW_ADR[12..0] :NODE; - CPU_BA[1..0] :NODE; - CPU_COL_ADR[9..0] :NODE; - CPU_SIG :NODE; - CPU_REQ :DFF; - CPU_AC :DFF; - BUS_CYC :DFF; - BUS_CYC_END :NODE; - BLITTER_REQ :DFF; - BLITTER_AC :DFF; - BLITTER_ROW_ADR[12..0] :NODE; - BLITTER_BA[1..0] :NODE; - BLITTER_COL_ADR[9..0] :NODE; - FIFO_REQ :DFF; - FIFO_AC :DFF; - FIFO_ROW_ADR[12..0] :NODE; - FIFO_BA[1..0] :NODE; - FIFO_COL_ADR[9..0] :NODE; - FIFO_ACTIVE :NODE; - CLR_FIFO_SYNC :DFF; - CLEAR_FIFO_CNT :DFF; - STOP :DFF; - SR_FIFO_WRE :DFF; - FIFO_BANK_OK :DFF; - FIFO_BANK_NOT_OK :NODE; - DDR_REFRESH_ON :NODE; - DDR_REFRESH_CNT[10..0] :DFF; - DDR_REFRESH_REQ :DFF; - DDR_REFRESH_SIG[3..0] :DFFE; - REFRESH_TIME :DFF; - VIDEO_BASE_L_D[7..0] :DFFE; - VIDEO_BASE_L :NODE; - VIDEO_BASE_M_D[7..0] :DFFE; - VIDEO_BASE_M :NODE; - VIDEO_BASE_H_D[7..0] :DFFE; - VIDEO_BASE_H :NODE; - VIDEO_BASE_X_D[2..0] :DFFE; - VIDEO_ADR_CNT[22..0] :DFFE; - VIDEO_CNT_L :NODE; - VIDEO_CNT_M :NODE; - VIDEO_CNT_H :NODE; - VIDEO_BASE_ADR[22..0] :NODE; - VIDEO_ACT_ADR[26..0] :NODE; - -BEGIN - LINE = FB_SIZE0 & FB_SIZE1; --- BYT SELECT - FB_B0 = FB_ADR[1..0]==0 -- ADR==0 - # FB_SIZE1 & FB_SIZE0 # !FB_SIZE1 & !FB_SIZE0; -- LONG UND LINE - FB_B1 = FB_ADR[1..0]==1 -- ADR==1 - # FB_SIZE1 & !FB_SIZE0 & !FB_ADR1 -- HIGH WORD - # FB_SIZE1 & FB_SIZE0 # !FB_SIZE1 & !FB_SIZE0; -- LONG UND LINE - FB_B2 = FB_ADR[1..0]==2 -- ADR==2 - # FB_SIZE1 & FB_SIZE0 # !FB_SIZE1 & !FB_SIZE0; -- LONG UND LINE - FB_B3 = FB_ADR[1..0]==3 -- ADR==3 - # FB_SIZE1 & !FB_SIZE0 & FB_ADR1 -- LOW WORD - # FB_SIZE1 & FB_SIZE0 # !FB_SIZE1 & !FB_SIZE0; -- LONG UND LINE --- CPU READ (REG DDR => CPU) AND WRITE (CPU => REG DDR) -------------------------------------------------- - FB_REGDDR.CLK = MAIN_CLK; - CASE FB_REGDDR IS - WHEN FR_WAIT => - FB_LE0 = !nFB_WR; - IF BUS_CYC # DDR_SEL & LINE & !nFB_WR THEN -- LOS WENN BEREIT ODER IMMER BEI LINE WRITE - FB_REGDDR = FR_S0; - ELSE - FB_REGDDR = FR_WAIT; - END IF; - WHEN FR_S0 => - IF DDR_CS THEN - FB_LE0 = !nFB_WR; - VIDEO_DDR_TA = VCC; - IF LINE THEN - FB_VDOE0 = !nFB_OE & !DDR_CONFIG; - FB_REGDDR = FR_S1; - ELSE - BUS_CYC_END = VCC; - FB_VDOE0 = !nFB_OE & !MAIN_CLK & !DDR_CONFIG; - FB_REGDDR = FR_WAIT; - END IF; - ELSE - FB_REGDDR = FR_WAIT; - END IF; - WHEN FR_S1 => - IF DDR_CS THEN - FB_VDOE1 = !nFB_OE & !DDR_CONFIG; - FB_LE1 = !nFB_WR; - VIDEO_DDR_TA = VCC; - FB_REGDDR = FR_S2; - ELSE - FB_REGDDR = FR_WAIT; - END IF; - WHEN FR_S2 => - IF DDR_CS THEN - FB_VDOE2 = !nFB_OE & !DDR_CONFIG; - FB_LE2 = !nFB_WR; - IF !BUS_CYC & LINE & !nFB_WR THEN -- BEI LINE WRITE EVT. WARTEN - FB_REGDDR = FR_S2; - ELSE - VIDEO_DDR_TA = VCC; - FB_REGDDR = FR_S3; - END IF; - ELSE - FB_REGDDR = FR_WAIT; - END IF; - WHEN FR_S3 => - IF DDR_CS THEN - FB_VDOE3 = !nFB_OE & !MAIN_CLK & !DDR_CONFIG; - FB_LE3 = !nFB_WR; - VIDEO_DDR_TA = VCC; - BUS_CYC_END = VCC; - FB_REGDDR = FR_WAIT; - ELSE - FB_REGDDR = FR_WAIT; - END IF; - END CASE; --- DDR STEUERUNG ----------------------------------------------------- --- VIDEO RAM CONTROL REGISTER (IST IN VIDEO_MUX_CTR) $F0000400: BIT 0: VCKE; 1: !nVCS ;2:REFRESH ON , (0=FIFO UND CNT CLEAR); 3: CONFIG; 8: FIFO_ACTIVE; - VCKE = VIDEO_RAM_CTR0; - nVCS = !VIDEO_RAM_CTR1; - DDR_REFRESH_ON = VIDEO_RAM_CTR2; - DDR_CONFIG = VIDEO_RAM_CTR3; - FIFO_ACTIVE = VIDEO_RAM_CTR8; --------------------------------- - CPU_ROW_ADR[] = FB_ADR[26..14]; - CPU_BA[] = FB_ADR[13..12]; - CPU_COL_ADR[] = FB_ADR[11..2]; - nVRAS = !VRAS; - nVCAS = !VCAS; - nVWE = !VWE; - SR_DDR_WR.CLK = DDRCLK0; - SR_DDRWR_D_SEL.CLK = DDRCLK0; - SR_VDMP[7..0].CLK = DDRCLK0; - SR_FIFO_WRE.CLK = DDRCLK0; - CPU_AC.CLK = DDRCLK0; - FIFO_AC.CLK = DDRCLK0; - BLITTER_AC.CLK = DDRCLK0; - DDRWR_D_SEL1 = BLITTER_AC; --- SELECT LOGIC - DDR_SEL = FB_ALE & FB_AD[31..30]==B"01"; - DDR_CS.CLK = MAIN_CLK; - DDR_CS.ENA = FB_ALE; - DDR_CS = DDR_SEL; --- WENN READ ODER WRITE B,W,L DDR SOFORT ANFORDERN, BEI WRITE LINE SPÄTER - CPU_SIG = DDR_SEL & (nFB_WR # !LINE) & !DDR_CONFIG -- NICHT LINE ODER READ SOFORT LOS WENN NICHT CONFIG - # DDR_SEL & DDR_CONFIG -- CONFIG SOFORT LOS - # FB_REGDDR==FR_S1 & !nFB_WR; -- LINE WRITE SPÄTER - CPU_REQ.CLK = DDR_SYNC_66M; - CPU_REQ = CPU_SIG - # CPU_REQ & FB_REGDDR!=FR_S1 & FB_REGDDR!=FR_S3 & !BUS_CYC_END & !BUS_CYC; -- HALTEN BUS CYC BEGONNEN ODER FERTIG - BUS_CYC.CLK = DDRCLK0; - BUS_CYC = BUS_CYC & !BUS_CYC_END; - -- STATE MACHINE SYNCHRONISIEREN ----------------- - MCS[].CLK = DDRCLK0; - MCS0 = MAIN_CLK; - MCS1 = MCS0; - CPU_DDR_SYNC.CLK = DDRCLK0; - CPU_DDR_SYNC = MCS[]==2 & VCKE & !nVCS; -- NUR 1 WENN EIN - --------------------------------------------------- - VA_S[].CLK = DDRCLK0; - BA_S[].CLK = DDRCLK0; - VA[] = VA_S[]; - BA[] = BA_S[]; - VA_P[].CLK = DDRCLK0; - BA_P[].CLK = DDRCLK0; --- DDR STATE MACHINE ----------------------------------------------- - DDR_SM.CLK = DDRCLK0; - CASE DDR_SM IS - WHEN DS_T1 => - IF DDR_REFRESH_REQ THEN - DDR_SM = DS_R2; - ELSE - IF CPU_DDR_SYNC THEN -- SYNCHRON UND EIN? - IF DDR_CONFIG THEN -- JA - DDR_SM = DS_C2; - ELSE - IF CPU_REQ THEN -- BEI WAIT UND LINE WRITE - VA_S[] = CPU_ROW_ADR[]; - BA_S[] = CPU_BA[]; - CPU_AC = VCC; - BUS_CYC = VCC; - DDR_SM = DS_T2B; - ELSE - IF FIFO_REQ # !BLITTER_REQ THEN -- FIFO IST DEFAULT - VA_P[] = FIFO_ROW_ADR[]; - BA_P[] = FIFO_BA[]; - FIFO_AC = VCC; -- VORBESETZEN - ELSE - VA_P[] = BLITTER_ROW_ADR[]; - BA_P[] = BLITTER_BA[]; - BLITTER_AC = VCC; -- VORBESETZEN - END IF; - DDR_SM = DS_T2A; - END IF; - END IF; - ELSE - DDR_SM = DS_T1; -- NEIN ->SYNCHRONISIEREN - END IF; - END IF; - - WHEN DS_T2A => -- SCHNELLZUGRIFF *** HIER IST PAGE IMMER NOT OK *** - IF DDR_SEL & (nFB_WR # !LINE) THEN - VRAS = VCC; - VA[] = FB_AD[26..14]; - BA[] = FB_AD[13..12]; - VA_S[10] = VCC; -- AUTO PRECHARGE DA NICHT FIFO PAGE - CPU_AC = VCC; - BUS_CYC = VCC; -- BUS CYCLUS LOSTRETEN - ELSE - VRAS = FIFO_AC & FIFO_REQ # BLITTER_AC & BLITTER_REQ; - VA[] = VA_P[]; - BA[] = BA_P[]; - VA_S[10] = !(FIFO_AC & FIFO_REQ); - FIFO_BANK_OK = FIFO_AC & FIFO_REQ; - FIFO_AC = FIFO_AC & FIFO_REQ; - BLITTER_AC = BLITTER_AC & BLITTER_REQ; - END IF; - DDR_SM = DS_T3; - - WHEN DS_T2B => - VRAS = VCC; - FIFO_BANK_NOT_OK = VCC; - CPU_AC = VCC; - BUS_CYC = VCC; -- BUS CYCLUS LOSTRETEN - DDR_SM = DS_T3; - - WHEN DS_T3 => - CPU_AC = CPU_AC; - FIFO_AC = FIFO_AC; - BLITTER_AC = BLITTER_AC; - VA_S[10] = VA_S[10]; -- AUTO PRECHARGE WENN NICHT FIFO PAGE - IF !nFB_WR & CPU_AC # BLITTER_WR & BLITTER_AC THEN - DDR_SM = DS_T4W; - ELSE - IF CPU_AC THEN -- CPU? - VA_S[9..0] = CPU_COL_ADR[]; - BA_S[] = CPU_BA[]; - DDR_SM = DS_T4R; - ELSE - IF FIFO_AC THEN -- FIFO? - VA_S[9..0] = FIFO_COL_ADR[]; - BA_S[] = FIFO_BA[]; - DDR_SM = DS_T4F; - ELSE - IF BLITTER_AC THEN - VA_S[9..0] = BLITTER_COL_ADR[]; - BA_S[] = BLITTER_BA[]; - DDR_SM = DS_T4R; - ELSE - DDR_SM = DS_N8; - END IF; - END IF; - END IF; - END IF; --- READ - WHEN DS_T4R => - CPU_AC = CPU_AC; - BLITTER_AC = BLITTER_AC; - VCAS = VCC; - SR_DDR_FB = CPU_AC; -- READ DATEN FÜR CPU - SR_BLITTER_DACK = BLITTER_AC; -- BLITTER DACK AND BLITTER LATCH DATEN - DDR_SM = DS_T5R; - - WHEN DS_T5R => - CPU_AC = CPU_AC; - BLITTER_AC = BLITTER_AC; - IF FIFO_REQ & FIFO_BANK_OK THEN -- FIFO READ EINSCHIEBEN WENN BANK OK - VA_S[9..0] = FIFO_COL_ADR[]; - VA_S[10] = GND; -- MANUEL PRECHARGE - BA_S[] = FIFO_BA[]; - DDR_SM = DS_T6F; - ELSE - VA_S[10] = VCC; -- ALLE PAGES SCHLIESSEN - DDR_SM = DS_CB6; - END IF; --- WRITE - WHEN DS_T4W => - CPU_AC = CPU_AC; - BLITTER_AC = BLITTER_AC; - SR_BLITTER_DACK = BLITTER_AC; -- BLITTER ACK AND BLITTER LATCH DATEN - VA_S[10] = VA_S[10]; -- AUTO PRECHARGE WENN NICHT FIFO PAGE - DDR_SM = DS_T5W; - - WHEN DS_T5W => - CPU_AC = CPU_AC; - BLITTER_AC = BLITTER_AC; - VA_S[9..0] = CPU_AC & CPU_COL_ADR[] - # BLITTER_AC & BLITTER_COL_ADR[]; - VA_S[10] = VA_S[10]; -- AUTO PRECHARGE WENN NICHT FIFO PAGE - BA_S[] = CPU_AC & CPU_BA[] - # BLITTER_AC & BLITTER_BA[]; - SR_VDMP[7..4] = FB_B[]; -- BYTE ENABLE WRITE - SR_VDMP[3..0] = LINE & B"1111"; -- LINE ENABLE WRITE - DDR_SM = DS_T6W; - - WHEN DS_T6W => - CPU_AC = CPU_AC; - BLITTER_AC = BLITTER_AC; - VCAS = VCC; - VWE = VCC; - SR_DDR_WR = VCC; -- WRITE COMMAND CPU UND BLITTER IF WRITER - SR_DDRWR_D_SEL = VCC; -- 2. HÄLFTE WRITE DATEN SELEKTIEREN - SR_VDMP[] = LINE & B"11111111"; -- WENN LINE DANN ACTIV - DDR_SM = DS_T7W; - - WHEN DS_T7W => - CPU_AC = CPU_AC; - BLITTER_AC = BLITTER_AC; - SR_DDR_WR = VCC; -- WRITE COMMAND CPU UND BLITTER IF WRITE - SR_DDRWR_D_SEL = VCC; -- 2. HÄLFTE WRITE DATEN SELEKTIEREN - DDR_SM = DS_T8W; - - WHEN DS_T8W => - DDR_SM = DS_T9W; - - WHEN DS_T9W => - IF FIFO_REQ & FIFO_BANK_OK THEN - VA_S[9..0] = FIFO_COL_ADR[]; - VA_S[10] = GND; -- NON AUTO PRECHARGE - BA_S[] = FIFO_BA[]; - DDR_SM = DS_T6F; - ELSE - VA_S[10] = VCC; -- ALLE PAGES SCHLIESSEN - DDR_SM = DS_CB6; - END IF; --- FIFO READ - WHEN DS_T4F => - VCAS = VCC; - SR_FIFO_WRE = VCC; -- DATEN WRITE FIFO - DDR_SM = DS_T5F; - - WHEN DS_T5F => - IF FIFO_REQ THEN - IF VIDEO_ADR_CNT[7..0]==H"FF" THEN -- NEUE PAGE? - VA_S[10] = VCC; -- ALLE PAGES SCHLIESSEN - DDR_SM = DS_CB6; -- BANK SCHLIESSEN - ELSE - VA_S[9..0] = FIFO_COL_ADR[]+4; - VA_S[10] = GND; -- NON AUTO PRECHARGE - BA_S[] = FIFO_BA[]; - DDR_SM = DS_T6F; - END IF; - ELSE - VA_S[10] = VCC; -- ALLE PAGES SCHLIESSEN - DDR_SM = DS_CB6; -- NOCH OFFEN LASSEN - END IF; - - WHEN DS_T6F => - VCAS = VCC; - SR_FIFO_WRE = VCC; -- DATEN WRITE FIFO - DDR_SM = DS_T7F; - - WHEN DS_T7F => - IF CPU_REQ & FIFO_MW[]>FIFO_LWM THEN - VA_S[10] = VCC; -- ALLE PAGES SCHLIESEN - DDR_SM = DS_CB8; -- BANK SCHLIESSEN - ELSE - IF FIFO_REQ THEN - IF VIDEO_ADR_CNT[7..0]==H"FF" THEN -- NEUE PAGE? - VA_S[10] = VCC; -- ALLE PAGES SCHLIESSEN - DDR_SM = DS_CB8; -- BANK SCHLIESSEN - ELSE - VA_S[9..0] = FIFO_COL_ADR[]+4; - VA_S[10] = GND; -- NON AUTO PRECHARGE - BA_S[] = FIFO_BA[]; - DDR_SM = DS_T8F; - END IF; - ELSE - VA_S[10] = VCC; -- ALLE PAGES SCHLIESEN - DDR_SM = DS_CB8; -- BANK SCHLIESSEN - END IF; - END IF; - - WHEN DS_T8F => - VCAS = VCC; - SR_FIFO_WRE = VCC; -- DATEN WRITE FIFO - IF FIFO_MW[] - ELSE - DDR_SM = DS_T9F; - END IF; - - WHEN DS_T9F => - IF FIFO_REQ THEN - IF VIDEO_ADR_CNT[7..0]==H"FF" THEN -- NEUE PAGE? - VA_S[10] = VCC; -- ALLE BANKS SCHLIESEN - DDR_SM = DS_CB6; -- BANK SCHLIESSEN - ELSE - VA_P[9..0] = FIFO_COL_ADR[]+4; - VA_P[10] = GND; -- NON AUTO PRECHARGE - BA_P[] = FIFO_BA[]; - DDR_SM = DS_T10F; - END IF; - ELSE - VA_S[10] = VCC; -- ALLE BANKS SCHLIESEN - DDR_SM = DS_CB6; -- BANK SCHLIESSEN - END IF; - - WHEN DS_T10F => - IF DDR_SEL & (nFB_WR # !LINE) & FB_AD[13..12]!=FIFO_BA[] THEN - VRAS = VCC; - VA[] = FB_AD[26..14]; - BA[] = FB_AD[13..12]; - CPU_AC = VCC; - BUS_CYC = VCC; -- BUS CYCLUS LOSTRETEN - VA_S[10] = VCC; -- AUTO PRECHARGE DA NICHT FIFO BANK - DDR_SM = DS_T3; - ELSE - VCAS = VCC; - VA[] = VA_P[]; - BA[] = BA_P[]; - SR_FIFO_WRE = VCC; -- DATEN WRITE FIFO - DDR_SM = DS_T7F; - END IF; - --- CONFIG CYCLUS - WHEN DS_C2 => - DDR_SM = DS_C3; - WHEN DS_C3 => - BUS_CYC = CPU_REQ; - DDR_SM = DS_C4; - WHEN DS_C4 => - IF CPU_REQ THEN - DDR_SM = DS_C5; - ELSE - DDR_SM = DS_T1; - END IF; - WHEN DS_C5 => - DDR_SM = DS_C6; - WHEN DS_C6 => - VA_S[] = FB_AD[12..0]; - BA_S[] = FB_AD[14..13]; - DDR_SM = DS_C7; - WHEN DS_C7 => - VRAS = FB_AD18 & !nFB_WR & !FB_SIZE0 & !FB_SIZE1; -- NUR BEI LONG WRITE - VCAS = FB_AD17 & !nFB_WR & !FB_SIZE0 & !FB_SIZE1; -- NUR BEI LONG WRITE - VWE = FB_AD16 & !nFB_WR & !FB_SIZE0 & !FB_SIZE1; -- NUR BEI LONG WRITE - DDR_SM = DS_N8; --- CLOSE FIFO BANK - WHEN DS_CB6 => - FIFO_BANK_NOT_OK = VCC; -- AUF NOT OK - VRAS = VCC; -- BÄNKE SCHLIESSEN - VWE = VCC; - DDR_SM = DS_N7; - WHEN DS_CB8 => - FIFO_BANK_NOT_OK = VCC; -- AUF NOT OK - VRAS = VCC; -- BÄNKE SCHLIESSEN - VWE = VCC; - DDR_SM = DS_T1; --- REFRESH 70NS = 10 ZYCLEN - WHEN DS_R2 => - IF DDR_REFRESH_SIG[]==9 THEN -- EIN CYCLUS VORLAUF UM BANKS ZU SCHLIESSEN - VRAS = VCC; -- ALLE BANKS SCHLIESSEN - VWE = VCC; - VA[10] = VCC; - FIFO_BANK_NOT_OK = VCC; - DDR_SM = DS_R4; - ELSE - VCAS = VCC; - VRAS = VCC; - DDR_SM = DS_R3; - END IF; - WHEN DS_R3 => - DDR_SM = DS_R4; - WHEN DS_R4 => - DDR_SM = DS_R5; - WHEN DS_R5 => - DDR_SM = DS_R6; - WHEN DS_R6 => - DDR_SM = DS_N5; --- LEERSCHLAUFE - WHEN DS_N5 => - DDR_SM = DS_N6; - WHEN DS_N6 => - DDR_SM = DS_N7; - WHEN DS_N7 => - DDR_SM = DS_N8; - WHEN DS_N8 => - DDR_SM = DS_T1; - END CASE; - ---------------------------------------------------------------- --- BLITTER ---------------------- ------------------------------------------ - BLITTER_REQ.CLK = DDRCLK0; - BLITTER_REQ = BLITTER_SIG & !DDR_CONFIG & VCKE & !nVCS; - BLITTER_ROW_ADR[] = BLITTER_ADR[26..14]; - BLITTER_BA1 = BLITTER_ADR13; - BLITTER_BA0 = BLITTER_ADR12; - BLITTER_COL_ADR[] = BLITTER_ADR[11..2]; ------------------------------------------------------------------------------- --- FIFO --------------------------------- --------------------------------------------------------- - FIFO_REQ.CLK = DDRCLK0; - FIFO_REQ = (FIFO_MW[]2048 33MHz CLOCKS ------------------------------------------------------------------------------------------ - DDR_REFRESH_CNT[].CLK = CLK33M; - DDR_REFRESH_CNT[] = DDR_REFRESH_CNT[]+1; -- ZÄHLEN 0-2047 - REFRESH_TIME.CLK = DDRCLK0; - REFRESH_TIME = DDR_REFRESH_CNT[]==0 & !MAIN_CLK; -- SYNC - DDR_REFRESH_SIG[].CLK = DDRCLK0; - DDR_REFRESH_SIG[].ENA = REFRESH_TIME # DDR_SM==DS_R6; - DDR_REFRESH_SIG[] = REFRESH_TIME & 9 & DDR_REFRESH_ON & !DDR_CONFIG -- 9 STÜCK (8 REFRESH UND 1 ALS VORLAUF) - # !REFRESH_TIME & (DDR_REFRESH_SIG[]-1) & DDR_REFRESH_ON & !DDR_CONFIG; -- MINUS 1 WENN GEMACHT - DDR_REFRESH_REQ.CLK = DDRCLK0; - DDR_REFRESH_REQ = DDR_REFRESH_SIG[]!=0 & DDR_REFRESH_ON & !REFRESH_TIME & !DDR_CONFIG; ------------------------------------------------------------ --- VIDEO REGISTER ----------------------- ---------------------------------------------------------------------------------------------------------------------- - VIDEO_BASE_L_D[].CLK = MAIN_CLK; - VIDEO_BASE_L = !nFB_CS1 & FB_ADR[19..1]==H"7C106"; -- 820D/2 - VIDEO_BASE_L_D[] = FB_AD[23..16]; -- SORRY, NUR 16 BYT GRENZEN - VIDEO_BASE_L_D[].ENA = !nFB_WR & VIDEO_BASE_L & FB_B1; - - VIDEO_BASE_M_D[].CLK = MAIN_CLK; - VIDEO_BASE_M = !nFB_CS1 & FB_ADR[19..1]==H"7C101"; -- 8203/2 - VIDEO_BASE_M_D[] = FB_AD[23..16]; - VIDEO_BASE_M_D[].ENA = !nFB_WR & VIDEO_BASE_M & FB_B3; - - VIDEO_BASE_H_D[].CLK = MAIN_CLK; - VIDEO_BASE_H = !nFB_CS1 & FB_ADR[19..1]==H"7C100"; -- 8200-1/2 - VIDEO_BASE_H_D[] = FB_AD[23..16]; - VIDEO_BASE_H_D[].ENA = !nFB_WR & VIDEO_BASE_H & FB_B1; - VIDEO_BASE_X_D[].CLK = MAIN_CLK; - VIDEO_BASE_X_D[] = FB_AD[26..24]; - VIDEO_BASE_X_D[].ENA = !nFB_WR & VIDEO_BASE_H & FB_B0; - - VIDEO_CNT_L = !nFB_CS1 & FB_ADR[19..1]==H"7C104"; -- 8209/2 - VIDEO_CNT_M = !nFB_CS1 & FB_ADR[19..1]==H"7C103"; -- 8207/2 - VIDEO_CNT_H = !nFB_CS1 & FB_ADR[19..1]==H"7C102"; -- 8204,5/2 - - FB_AD[31..24] = lpm_bustri_BYT( - VIDEO_BASE_H & (0,VIDEO_BASE_X_D[]) - # VIDEO_CNT_H & (0,VIDEO_ACT_ADR[26..24]) - ,(VIDEO_BASE_H # VIDEO_CNT_H) & !nFB_OE); - - FB_AD[23..16] = lpm_bustri_BYT( - VIDEO_BASE_L & VIDEO_BASE_L_D[] - # VIDEO_BASE_M & VIDEO_BASE_M_D[] - # VIDEO_BASE_H & VIDEO_BASE_H_D[] - # VIDEO_CNT_L & VIDEO_ACT_ADR[7..0] - # VIDEO_CNT_M & VIDEO_ACT_ADR[15..8] - # VIDEO_CNT_H & VIDEO_ACT_ADR[23..16] - ,(VIDEO_BASE_L # VIDEO_BASE_M # VIDEO_BASE_H # VIDEO_CNT_L # VIDEO_CNT_M # VIDEO_CNT_H) & !nFB_OE); -END; - diff --git a/FPGA_by_Fredi/Video/DDR_CTR_BLITTER.tdf.bak b/FPGA_by_Fredi/Video/DDR_CTR_BLITTER.tdf.bak deleted file mode 100644 index 03052b4..0000000 --- a/FPGA_by_Fredi/Video/DDR_CTR_BLITTER.tdf.bak +++ /dev/null @@ -1,352 +0,0 @@ -TITLE "DDR_CTR_BLITTER"; - --- CREATED BY FREDI ASCHWANDEN - -INCLUDE "lpm_bustri_BYT.inc"; - - --- {{ALTERA_PARAMETERS_BEGIN}} DO NOT REMOVE THIS LINE! --- {{ALTERA_PARAMETERS_END}} DO NOT REMOVE THIS LINE! - -SUBDESIGN DDR_CTR_BLITTER -( - -- {{ALTERA_IO_BEGIN}} DO NOT REMOVE THIS LINE! - FB_ADR[31..0] : INPUT; - nFB_CS1 : INPUT; - nFB_CS2 : INPUT; - nFB_CS3 : INPUT; - nFB_OE : INPUT; - FB_SIZE0 : INPUT; - FB_SIZE1 : INPUT; - nRSTO : INPUT; - MAIN_CLK : INPUT; - FIFO_FULL : INPUT; - FB_ALE : INPUT; - nFB_WR : INPUT; - DDR_SYNC_66M : INPUT; - VSYNC : INPUT; - BLITTER_ON : INPUT; - VIDEO_RAM_CTR[15..0] : INPUT; - VDVZ[127..0] : INPUT; - DDRCLK[3..0] : INPUT; - BA0 : OUTPUT; - BA1 : OUTPUT; - VA[12..0] : OUTPUT; - nVWE : OUTPUT; - nVRAS : OUTPUT; - nVCS : OUTPUT; - VCKE : OUTPUT; - nVCAS : OUTPUT; - FIFO_WRE : OUTPUT; - FB_LE[3..0] : OUTPUT; - FB_VDOE[3..0] : OUTPUT; - START_CYC_RDWR : OUTPUT; - DDR_WR : OUTPUT; - CLEAR_FIFO_CNT : OUTPUT; - BLITTER_RUN : OUTPUT; - BLITTER_DOUT[127..0] : OUTPUT; - BLITTER_LE[3..0] : OUTPUT; - BLITTER_RDE : OUTPUT; - DDRWR_D_SEL[1..0] : OUTPUT; - VDMP[7..0] : OUTPUT; - FB_AD[31..0] : BIDIR; - -- {{ALTERA_IO_END}} DO NOT REMOVE THIS LINE! -) - -VARIABLE - FB_REGDDR :MACHINE WITH STATES(FR_WAIT,FR_S0,FR_S1,FR_S2,FR_S3); - DDR_SM :MACHINE WITH STATES(DS_T1,DS_T2,DS_T3,DS_T4,DS_T5,DS_T6,DS_T7,DS_T8,DS_LS); - LINE :NODE; - FB_B[3..0] :NODE; - VCAS :NODE; - VRAS :NODE; - VWE :NODE; - VA[12..0] :NODE; - BA0 :NODE; - BA1 :NODE; - DDR_WR :DFF; - DDR_SEL :NODE; - DDR_CONFIG :NODE; - DDRWR_D_SEL[1..0] :DFF; - CPU_ROW_ADR[12..0] :NODE; - CPU_BA0 :NODE; - CPU_BA1 :NODE; - CPU_COL_ADR[9..0] :NODE; - CPU_SIG :NODE; - CPU_REQ :DFF; - BLITTER_SIG :NODE; - BLITTER_REQ :DFF; - BLITTER_RUN :DFF; - BLITTER_WR :DFF; - BLITTER_ROW_ADR[12..0] :NODE; - BLITTER_BA0 :NODE; - BLITTER_BA1 :NODE; - BLITTER_COL_ADR[9..0] :NODE; - FIFO_SIG :NODE; - FIFO_REQ :DFF; - FIFO_ROW_ADR[12..0] :NODE; - FIFO_BA0 :NODE; - FIFO_BA1 :NODE; - FIFO_COL_ADR[9..0] :NODE; - FIFO_WRE :DFF; - FIFO_ACTIVE :NODE; - CLEAR_FIFO_CNT :DFF; - STOP :DFF; - DDR_REFRESH_ON :NODE; - VIDEO_BASE_L_D[3..0] :DFFE; - VIDEO_BASE_L :NODE; - VIDEO_BASE_M_D[7..0] :DFFE; - VIDEO_BASE_M :NODE; - VIDEO_BASE_H_D[7..0] :DFFE; - VIDEO_BASE_H :NODE; - VIDEO_BASE_X_D[7..0] :DFFE; - VIDEO_ADR_CNT[27..0] :DFFE; - VIDEO_CNT_L :NODE; - VIDEO_CNT_M :NODE; - VIDEO_CNT_H :NODE; - VIDEO_BASE_ADR[27..0] :NODE; - -BEGIN - LINE = FB_SIZE0 & FB_SIZE1; --- BYT SELECT - FB_B0 = FB_ADR[1..0]==0; -- ADR==0 - FB_B1 = FB_ADR[1..0]==1 -- ADR==1 - # FB_SIZE1 & !FB_SIZE0 & !FB_ADR1 -- HIGH WORD - # FB_SIZE1 & FB_SIZE0 # !FB_SIZE1 & !FB_SIZE0; -- LONG UND LINE - FB_B2 = FB_ADR[1..0]==2 -- ADR==2 - # FB_SIZE1 & FB_SIZE0 # !FB_SIZE1 & !FB_SIZE0; -- LONG UND LINE - FB_B3 = FB_ADR[1..0]==3 -- ADR==3 - # FB_SIZE1 & !FB_SIZE0 & FB_ADR1 -- LOW WORD - # FB_SIZE1 & FB_SIZE0 # !FB_SIZE1 & !FB_SIZE0; -- LONG UND LINE --- CPU READ (REG DDR => CPU) AND WRITE (CPU => REG DDR) -------------------------------------------------- - FB_REGDDR.CLK = MAIN_CLK; - CASE FB_REGDDR IS - WHEN FR_WAIT => - IF DDR_SEL THEN - FB_REGDDR = FR_S0; - ELSE - FB_REGDDR = FR_WAIT; - END IF; - WHEN FR_S0 => - FB_VDOE0 = !nFB_OE & !DDR_CONFIG; - FB_LE0 = !nFB_WR; - IF LINE THEN - FB_REGDDR = FR_S1; - ELSE - FB_REGDDR = FR_WAIT; - END IF; - WHEN FR_S1 => - FB_VDOE1 = !nFB_OE & !DDR_CONFIG; - FB_LE1 = !nFB_WR; - FB_REGDDR = FR_S2; - WHEN FR_S2 => - FB_VDOE2 = !nFB_OE & !DDR_CONFIG; - FB_LE2 = !nFB_WR; - FB_REGDDR = FR_S3; - WHEN FR_S3 => - FB_VDOE3 = !nFB_OE & !DDR_CONFIG; - FB_LE3 = !nFB_WR; - FB_REGDDR = FR_WAIT; - END CASE; --- DDR STEUERUNG ----------------------------------------------------- --- VIDEO RAM CONTROL REGISTER (IST IN VIDEO_MUX_CTR) $F0000400: BIT 0=VCKE,1=!nVCS,2=FIFO_ACTIVE,3=FIFO UND CNT CLEAR,15..11=VIDEO RAM BASE - VCKE = VIDEO_RAM_CTR0; - nVCS = !VIDEO_RAM_CTR1; - FIFO_ACTIVE = VIDEO_RAM_CTR2; - DDR_CONFIG = VIDEO_RAM_CTR3; - DDR_REFRESH_ON = VIDEO_RAM_CTR4; --------------------------------- - CPU_ROW_ADR[] = FB_ADR[26..14]; - CPU_BA1 = FB_ADR13; - CPU_BA0 = FB_ADR12; - CPU_COL_ADR[] = FB_ADR[11..2]; - nVRAS = !VRAS; - nVCAS = !VCAS; - nVWE = !VWE; - DDR_WR.CLK = DDRCLK0; --- SELECT LOGIC - DDR_SEL = FB_ALE & FB_AD[31..29]==B"011"; --- WENN READ ODER WRITE B,W,L DDR SOFORT ANFORDERN, BEI WRITE LINE SPÄTER - CPU_SIG = DDR_SEL & nFB_WR & !DDR_CONFIG -- READ SOFORT LOS - # FR_S0 & !nFB_WR -- WRITE SPÄTER AUCH CONFIG - # FR_S3 & !nFB_WR & LINE & !DDR_CONFIG; -- LINE WRITE - CPU_REQ = CPU_SIG; - CPU_REQ.CLK = DDR_SYNC_66M; - DDR_D_SEL[].CLK = DDRCLK3; --- DDR STATE MACHINE ----------------------------------------------- - DDR_SM.CLK = DDRCLK0; - CASE DDR_SM IS - WHEN DS_T1 => - IF MAIN_CLK THEN - DDR_WR = DDR_WR; -- WRITE HALTEN (VON T4) - DDR_SM = DS_T2; - ELSE - DDR_SM = DS_LS; -- SYNCHRONISIEREN - END IF; - WHEN DS_T2 => - IF !DDR_CONFIG THEN - VRAS = CPU_SIG # BLITTER_SIG # FIFO_SIG # DDR_REFRESH_ON; - VA[] = CPU_SIG & CPU_ROW_ADR[] - # BLITTER_SIG & BLITTER_ROW_ADR[] - # FIFO_SIG & FIFO_ROW_ADR[]; - BA0 = CPU_SIG & CPU_BA0 - # BLITTER_SIG & BLITTER_BA0 - # FIFO_SIG & FIFO_BA0; - BA1 = CPU_SIG & CPU_BA1 - # BLITTER_SIG & BLITTER_BA1 - # FIFO_SIG & FIFO_BA1; - VCAS = !CPU_SIG & !BLITTER_SIG & !FIFO_SIG & DDR_REFRESH_ON; -- AUTO REFRESH WENN SONST NICHTS - BLITTER_REQ = BLITTER_SIG; - FIFO_REQ = FIFO_SIG; - END IF; - IF MAIN_CLK THEN - DDR_SM = DS_T3; - ELSE - DDR_SM = DS_LS; - END IF; - WHEN DS_T3 => - IF DDR_CONFIG & CPU_REQ THEN - VRAS = FB_AD18; - VCAS = FB_AD17; - VWE = FB_AD16; - BA1 = FB_AD14; - BA0 = FB_AD13; - VA[] = FB_AD[12..0]; - END IF; - IF !CPU_REQ & !BLITTER_REQ & !FIFO_REQ # DDR_CONFIG THEN - DDR_SM = DS_LS; - ELSE - BLITTER_REQ = BLITTER_SIG; - FIFO_REQ = FIFO_SIG; - DDR_SM = DS_T4; - END IF; - WHEN DS_T4 => - FIFO_REQ = FIFO_SIG; - VCAS = VCC; - VWE = !nFB_WR & CPU_REQ # BLITTER_WR & BLITTER_REQ; - VA[9..0] = CPU_REQ & CPU_COL_ADR[] - # BLITTER_REQ & BLITTER_COL_ADR[] - # FIFO_REQ & FIFO_COL_ADR[]; - VA10 = VCC; -- AUTO PRECHARGE - BA0 = CPU_REQ & CPU_BA0 - # BLITTER_REQ & BLITTER_BA0 - # FIFO_REQ & FIFO_BA0; - BA1 = CPU_REQ & CPU_BA1 - # BLITTER_REQ & BLITTER_BA1 - # FIFO_REQ & FIFO_BA1; - DDR_WR = !nFB_WR & CPU_REQ # BLITTER_WR & BLITTER_REQ; - FIFO_REQ = FIFO_SIG; - IF FIFO_REQ & FIFO_COL_ADR[]!= H"3FF" THEN -- GLEICHE PAGE? - DDR_SM = DS_T5; -- JA-> - ELSE - DDR_SM = DS_T1; -- SONST NEUE PAGE AUFMACHEN - END IF; - WHEN DS_T5 => - FIFO_REQ = FIFO_SIG; - DDR_SM = DS_T6; - WHEN DS_T6 => - IF CPU_SIG THEN -- SOFORT UMSCHALTEN WENN CPU REQ - VRAS = VCC; - VA[] = CPU_ROW_ADR[]; - BA1 = CPU_BA1; - BA0 = CPU_BA0; - DDR_SM = DS_T3; - ELSE - FIFO_REQ = FIFO_SIG; - VCAS = VCC; - VA[9..0] = FIFO_COL_ADR[]; - VA10 = VCC; -- AUTO PRECHARGE - BA0 = FIFO_BA0; - BA1 = FIFO_BA1; - FIFO_WRE = FIFO_REQ; -- ODER FIFO LATCH IN 5 CYC 133 - IF FIFO_REQ & FIFO_COL_ADR[]!= H"3FF" THEN -- GLEICHE PAGE? - DDR_SM = DS_T5; -- JA-> - ELSE - DDR_SM = DS_T1; -- SONST NEUE PAGE AUFMACHEN - END IF; - END IF; - WHEN DS_LS => - IF !MAIN_CLK THEN -- LEERSTATE UND SYNC - DDR_SM = DS_T1; - ELSE - DDR_SM = DS_LS; - END IF; - END CASE; ------------------------------------------------------------------------------- --- FIFO --------------------------------- - FIFO_SIG = FIFO_ACTIVE & !FIFO_FULL & !BLITTER_SIG & !CPU_SIG; - FIFO_REQ.CLK = DDR_SYNC_66M; - FIFO_ROW_ADR[] = VIDEO_ADR_CNT[24..12]; - FIFO_BA1 = VIDEO_ADR_CNT11; - FIFO_BA0 = VIDEO_ADR_CNT10; - FIFO_COL_ADR[] = VIDEO_ADR_CNT[9..0]; - -- ZÄHLER RÜCKSETZEN WENN VSYNC ---------------- - CLEAR_FIFO_CNT.CLK = DDRCLK0; - CLEAR_FIFO_CNT = VSYNC # !FIFO_ACTIVE; - STOP.CLK = DDRCLK0; - STOP = VSYNC # CLEAR_FIFO_CNT; - VIDEO_ADR_CNT[].CLK = DDRCLK0; - VIDEO_ADR_CNT[] = CLEAR_FIFO_CNT & VIDEO_BASE_ADR[] -- SET - # !CLEAR_FIFO_CNT & (VIDEO_ADR_CNT[]+1); -- NEXT 16 BYTS - VIDEO_ADR_CNT[].ENA = CLEAR_FIFO_CNT # FIFO_WRE; - FIFO_WRE.CLK = DDRCLK0; ---------------------------------------------------------------- --- BLITTER BUS IST 128 BIT BREIT ------ - BLITTER_SIG = GND & !CPU_SIG; - BLITTER_REQ.CLK = DDR_SYNC_66M; - BLITTER_RUN.CLK = DDRCLK0; - BLITTER_RUN = GND; - BLITTER_WR.CLK = DDRCLK0; - BLITTER_WR = GND; - DDRWR_D_SEL1 = BLITTER_WR; - BLITTER_ROW_ADR[] = H"0"; - BLITTER_BA1 = GND; - BLITTER_BA0 = GND; - BLITTER_COL_ADR[] = H"0"; - BLITTER_DOUT[] = H"0"; - BLITTER_LE[] = H"0"; ------------------------------------------------------------ --- VIDEO REGISTER ----------------------- ---------------------------------------------------------------------------------------------------------------------- - VIDEO_BASE_L_D[].CLK = MAIN_CLK; - VIDEO_BASE_L = !nFB_CS1 & FB_ADR[15..1]==H"4106"; -- 820D/2 - VIDEO_BASE_L_D[] = FB_AD[23..20]; -- SORRY, NUR 16 BYT GRENZEN - VIDEO_BASE_L_D[].ENA = !nFB_WR & VIDEO_BASE_L & FB_B1; - - VIDEO_BASE_M_D[].CLK = MAIN_CLK; - VIDEO_BASE_M = !nFB_CS1 & FB_ADR[15..1]==H"4101"; -- 8203/2 - VIDEO_BASE_M_D[] = FB_AD[23..16]; - VIDEO_BASE_M_D[].ENA = !nFB_WR & VIDEO_BASE_M & FB_B3; - - VIDEO_BASE_H_D[].CLK = MAIN_CLK; - VIDEO_BASE_H = !nFB_CS1 & FB_ADR[15..1]==H"4100"; -- 8200-1/2 - VIDEO_BASE_H_D[] = FB_AD[23..16]; - VIDEO_BASE_H_D[].ENA = !nFB_WR & VIDEO_BASE_H & FB_B1; - VIDEO_BASE_X_D[].CLK = MAIN_CLK; - VIDEO_BASE_X_D[] = FB_AD[31..24]; - VIDEO_BASE_X_D[].ENA = !nFB_WR & VIDEO_BASE_H & FB_B0; - - VIDEO_CNT_L = !nFB_CS1 & FB_ADR[15..1]==H"4104"; -- 8209/2 - VIDEO_CNT_M = !nFB_CS1 & FB_ADR[15..1]==H"4103"; -- 8207/2 - VIDEO_CNT_H = !nFB_CS1 & FB_ADR[15..1]==H"4102"; -- 8205/2 - - FB_AD[31..24] = lpm_bustri_BYT( - VIDEO_BASE_H & VIDEO_BASE_X_D[] - # VIDEO_CNT_H & VIDEO_ADR_CNT[27..20] - ,(VIDEO_BASE_H # VIDEO_CNT_H) & !nFB_OE); - - FB_AD[23..16] = lpm_bustri_BYT( - VIDEO_BASE_L & (VIDEO_BASE_L_D[],B"0000") - # VIDEO_BASE_M & VIDEO_BASE_M_D[] - # VIDEO_BASE_H & VIDEO_BASE_H_D[] - # VIDEO_CNT_L & (VIDEO_ADR_CNT[3..0],B"0000") - # VIDEO_CNT_M & VIDEO_ADR_CNT[11..4] - # VIDEO_CNT_H & VIDEO_ADR_CNT[19..12] - ,(VIDEO_BASE_L # VIDEO_BASE_M # VIDEO_BASE_H # VIDEO_CNT_L # VIDEO_CNT_M # VIDEO_CNT_H) & !nFB_OE); - - VIDEO_BASE_ADR[27..20] = VIDEO_BASE_X_D[]; - VIDEO_BASE_ADR[19..12] = VIDEO_BASE_H_D[]; - VIDEO_BASE_ADR[11..4] = VIDEO_BASE_M_D[]; - VIDEO_BASE_ADR[3..0] = VIDEO_BASE_L_D[]; -END; - diff --git a/FPGA_by_Fredi/Video/UNUSED b/FPGA_by_Fredi/Video/UNUSED deleted file mode 100644 index 12f424b..0000000 --- a/FPGA_by_Fredi/Video/UNUSED +++ /dev/null @@ -1,267 +0,0 @@ - --- Clearbox generated Memory Initialization File (.mif) - -WIDTH=6; -DEPTH=256; - -ADDRESS_RADIX=HEX; -DATA_RADIX=HEX; - -CONTENT BEGIN - 000 : 0F; - 001 : 0E; - 002 : 0D; - 003 : 0C; - 004 : 0B; - 005 : 0A; - 006 : 09; - 007 : 08; - 008 : 07; - 009 : 06; - 00a : 05; - 00b : 04; - 00c : 03; - 00d : 02; - 00e : 01; - 00f : 00; - 010 : 0F; - 011 : 0E; - 012 : 0D; - 013 : 0C; - 014 : 0B; - 015 : 0A; - 016 : 09; - 017 : 08; - 018 : 07; - 019 : 06; - 01a : 05; - 01b : 04; - 01c : 03; - 01d : 02; - 01e : 01; - 01f : 00; - 020 : 0F; - 021 : 0E; - 022 : 0D; - 023 : 0C; - 024 : 0B; - 025 : 0A; - 026 : 09; - 027 : 08; - 028 : 07; - 029 : 06; - 02a : 05; - 02b : 04; - 02c : 03; - 02d : 02; - 02e : 01; - 02f : 00; - 030 : 0F; - 031 : 0E; - 032 : 0D; - 033 : 0C; - 034 : 0B; - 035 : 0A; - 036 : 09; - 037 : 08; - 038 : 07; - 039 : 06; - 03a : 05; - 03b : 04; - 03c : 03; - 03d : 02; - 03e : 01; - 03f : 00; - 040 : 0F; - 041 : 0E; - 042 : 0D; - 043 : 0C; - 044 : 0B; - 045 : 0A; - 046 : 09; - 047 : 08; - 048 : 07; - 049 : 06; - 04a : 05; - 04b : 04; - 04c : 03; - 04d : 02; - 04e : 01; - 04f : 00; - 050 : 0F; - 051 : 0E; - 052 : 0D; - 053 : 0C; - 054 : 0B; - 055 : 0A; - 056 : 09; - 057 : 08; - 058 : 07; - 059 : 06; - 05a : 05; - 05b : 04; - 05c : 03; - 05d : 02; - 05e : 01; - 05f : 00; - 060 : 0F; - 061 : 0E; - 062 : 0D; - 063 : 0C; - 064 : 0B; - 065 : 0A; - 066 : 09; - 067 : 08; - 068 : 07; - 069 : 06; - 06a : 05; - 06b : 04; - 06c : 03; - 06d : 02; - 06e : 01; - 06f : 00; - 070 : 0F; - 071 : 0E; - 072 : 0D; - 073 : 0C; - 074 : 0B; - 075 : 0A; - 076 : 09; - 077 : 08; - 078 : 07; - 079 : 06; - 07a : 05; - 07b : 04; - 07c : 03; - 07d : 02; - 07e : 01; - 07f : 00; - 080 : 0F; - 081 : 0E; - 082 : 0D; - 083 : 0C; - 084 : 0B; - 085 : 0A; - 086 : 09; - 087 : 08; - 088 : 07; - 089 : 06; - 08a : 05; - 08b : 04; - 08c : 03; - 08d : 02; - 08e : 01; - 08f : 00; - 090 : 0F; - 091 : 0E; - 092 : 0D; - 093 : 0C; - 094 : 0B; - 095 : 0A; - 096 : 09; - 097 : 08; - 098 : 07; - 099 : 06; - 09a : 05; - 09b : 04; - 09c : 03; - 09d : 02; - 09e : 01; - 09f : 00; - 0a0 : 0F; - 0a1 : 0E; - 0a2 : 0D; - 0a3 : 0C; - 0a4 : 0B; - 0a5 : 0A; - 0a6 : 09; - 0a7 : 08; - 0a8 : 07; - 0a9 : 06; - 0aa : 05; - 0ab : 04; - 0ac : 03; - 0ad : 02; - 0ae : 01; - 0af : 00; - 0b0 : 0F; - 0b1 : 0E; - 0b2 : 0D; - 0b3 : 0C; - 0b4 : 0B; - 0b5 : 0A; - 0b6 : 09; - 0b7 : 08; - 0b8 : 07; - 0b9 : 06; - 0ba : 05; - 0bb : 04; - 0bc : 03; - 0bd : 02; - 0be : 01; - 0bf : 00; - 0c0 : 0F; - 0c1 : 0E; - 0c2 : 0D; - 0c3 : 0C; - 0c4 : 0B; - 0c5 : 0A; - 0c6 : 09; - 0c7 : 08; - 0c8 : 07; - 0c9 : 06; - 0ca : 05; - 0cb : 04; - 0cc : 03; - 0cd : 02; - 0ce : 01; - 0cf : 00; - 0d0 : 0F; - 0d1 : 0E; - 0d2 : 0D; - 0d3 : 0C; - 0d4 : 0B; - 0d5 : 0A; - 0d6 : 09; - 0d7 : 08; - 0d8 : 07; - 0d9 : 06; - 0da : 05; - 0db : 04; - 0dc : 03; - 0dd : 02; - 0de : 01; - 0df : 00; - 0e0 : 0F; - 0e1 : 0E; - 0e2 : 0D; - 0e3 : 0C; - 0e4 : 0B; - 0e5 : 0A; - 0e6 : 09; - 0e7 : 08; - 0e8 : 07; - 0e9 : 06; - 0ea : 05; - 0eb : 04; - 0ec : 03; - 0ed : 02; - 0ee : 01; - 0ef : 00; - 0f0 : 0F; - 0f1 : 0E; - 0f2 : 0D; - 0f3 : 0C; - 0f4 : 0B; - 0f5 : 0A; - 0f6 : 09; - 0f7 : 08; - 0f8 : 07; - 0f9 : 06; - 0fa : 05; - 0fb : 04; - 0fc : 03; - 0fd : 02; - 0fe : 01; - 0ff : 00; -END; diff --git a/FPGA_by_Fredi/Video/VIDEO_MOD_MUX_CLUTCTR.tdf b/FPGA_by_Fredi/Video/VIDEO_MOD_MUX_CLUTCTR.tdf index 6a77969..fc80715 100644 --- a/FPGA_by_Fredi/Video/VIDEO_MOD_MUX_CLUTCTR.tdf +++ b/FPGA_by_Fredi/Video/VIDEO_MOD_MUX_CLUTCTR.tdf @@ -338,7 +338,7 @@ BEGIN SYS_CTR[].CLK = MAIN_CLK; SYS_CTR[6..0] = FB_AD[22..16]; SYS_CTR[6..0].ENA = SYS_CTR_CS & !nFB_WR & FB_B3; - BLITTER_ON = !SYS_CTR3; + BLITTER_ON = SYS_CTR3; --VDL_LOF VDL_LOF_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C107"; -- $820E/2 VDL_LOF[].CLK = MAIN_CLK; @@ -440,7 +440,7 @@ BEGIN FB_AD[31..16] = lpm_bustri_WORD( ST_SHIFT_MODE_CS & (0,ST_SHIFT_MODE[],B"00000000") # FALCON_SHIFT_MODE_CS & (0,FALCON_SHIFT_MODE[]) - # SYS_CTR_CS & (B"100000000",SYS_CTR[6..4],!BLITTER_RUN,SYS_CTR[2..0]) + # SYS_CTR_CS & (B"100000000",SYS_CTR[6..4],BLITTER_RUN,SYS_CTR[2..0]) # VDL_LOF_CS & VDL_LOF[] # VDL_LWD_CS & VDL_LWD[] # VDL_HBE_CS & (0,VDL_HBE[]) diff --git a/FPGA_by_Fredi/Video/VIDEO_MOD_MUX_CLUTCTR.tdf.bak b/FPGA_by_Fredi/Video/VIDEO_MOD_MUX_CLUTCTR.tdf.bak deleted file mode 100644 index 2c9adcc..0000000 --- a/FPGA_by_Fredi/Video/VIDEO_MOD_MUX_CLUTCTR.tdf.bak +++ /dev/null @@ -1,675 +0,0 @@ -TITLE "VIDEO MODUSE UND CLUT CONTROL"; - --- CREATED BY FREDI ASCHWANDEN - -INCLUDE "lpm_bustri_WORD.inc"; -INCLUDE "lpm_bustri_BYT.inc"; - --- {{ALTERA_PARAMETERS_BEGIN}} DO NOT REMOVE THIS LINE! --- {{ALTERA_PARAMETERS_END}} DO NOT REMOVE THIS LINE! - -SUBDESIGN VIDEO_MOD_MUX_CLUTCTR -( - -- {{ALTERA_IO_BEGIN}} DO NOT REMOVE THIS LINE! - nRSTO : INPUT; - MAIN_CLK : INPUT; - nFB_CS1 : INPUT; - nFB_CS2 : INPUT; - nFB_CS3 : INPUT; - nFB_WR : INPUT; - nFB_OE : INPUT; - FB_SIZE0 : INPUT; - FB_SIZE1 : INPUT; - nFB_BURST : INPUT; - FB_ADR[31..0] : INPUT; - CLK33M : INPUT; - CLK25M : INPUT; - BLITTER_RUN : INPUT; - CLK_VIDEO : INPUT; - VR_D[8..0] : INPUT; - VR_BUSY : INPUT; - COLOR8 : OUTPUT; - ACP_CLUT_RD : OUTPUT; - COLOR1 : OUTPUT; - FALCON_CLUT_RDH : OUTPUT; - FALCON_CLUT_RDL : OUTPUT; - FALCON_CLUT_WR[3..0] : OUTPUT; - ST_CLUT_RD : OUTPUT; - ST_CLUT_WR[1..0] : OUTPUT; - CLUT_MUX_ADR[3..0] : OUTPUT; - HSYNC : OUTPUT; - VSYNC : OUTPUT; - nBLANK : OUTPUT; - nSYNC : OUTPUT; - nPD_VGA : OUTPUT; - FIFO_RDE : OUTPUT; - COLOR2 : OUTPUT; - COLOR4 : OUTPUT; - PIXEL_CLK : OUTPUT; - CLUT_OFF[3..0] : OUTPUT; - BLITTER_ON : OUTPUT; - VIDEO_RAM_CTR[15..0] : OUTPUT; - VIDEO_MOD_TA : OUTPUT; - CCR[23..0] : OUTPUT; - CCSEL[2..0] : OUTPUT; - ACP_CLUT_WR[3..0] : OUTPUT; - INTER_ZEI : OUTPUT; - DOP_FIFO_CLR : OUTPUT; - VIDEO_RECONFIG : OUTPUT; - VR_WR : OUTPUT; - VR_RD : OUTPUT; - CLR_FIFO : OUTPUT; - FB_AD[31..0] : BIDIR; - -- {{ALTERA_IO_END}} DO NOT REMOVE THIS LINE! -) - -VARIABLE - CLK17M :DFF; - CLK13M :DFF; - ACP_CLUT_CS :NODE; - ACP_CLUT :NODE; - VIDEO_PLL_CONFIG_CS :NODE; - VR_WR :DFF; - VR_DOUT[8..0] :DFFE; - VR_FRQ[7..0] :DFFE; - VIDEO_PLL_RECONFIG_CS :NODE; - VIDEO_RECONFIG :DFF; - FALCON_CLUT_CS :NODE; - FALCON_CLUT :NODE; - ST_CLUT_CS :NODE; - ST_CLUT :NODE; - FB_B[3..0] :NODE; - FB_16B[1..0] :NODE; - ST_SHIFT_MODE[1..0] :DFFE; - ST_SHIFT_MODE_CS :NODE; - FALCON_SHIFT_MODE[10..0] :DFFE; - FALCON_SHIFT_MODE_CS :NODE; - CLUT_MUX_ADR[3..0] :DFF; - CLUT_MUX_AV[1..0][3..0] :DFF; - ACP_VCTR_CS :NODE; - ACP_VCTR[31..0] :DFFE; - CCR_CS :NODE; - CCR[23..0] :DFFE; - ACP_VIDEO_ON :NODE; - SYS_CTR[6..0] :DFFE; - SYS_CTR_CS :NODE; - VDL_LOF[15..0] :DFFE; - VDL_LOF_CS :NODE; - VDL_LWD[15..0] :DFFE; - VDL_LWD_CS :NODE; --- DIV. CONTROL REGISTER - CLUT_TA :DFF; -- BRAUCHT EIN WAITSTAT - HSYNC :DFF; - HSYNC_I[7..0] :DFF; - HSY_LEN[7..0] :DFF; -- LÄNGE HSYNC PULS IN PIXEL_CLK - HSYNC_START :DFF; - LAST :DFF; -- LETZTES PIXEL EINER ZEILE ERREICHT - VSYNC :DFF; - VSYNC_START :DFFE; - VSYNC_I[2..0] :DFFE; - nBLANK :DFF; - DISP_ON :DFF; - DPO_ZL :DFFE; - DPO_ON :DFF; - DPO_OFF :DFF; - VDTRON :DFF; - VDO_ZL :DFFE; - VDO_ON :DFF; - VDO_OFF :DFF; - VHCNT[11..0] :DFF; - SUB_PIXEL_CNT[6..0] :DFFE; - VVCNT[10..0] :DFFE; - VERZ[2..0][9..0] :DFF; - RAND[6..0] :DFF; - RAND_ON :NODE; - FIFO_RDE :DFF; - CLR_FIFO :DFFE; - START_ZEILE :DFFE; - SYNC_PIX :DFF; - SYNC_PIX1 :DFF; - SYNC_PIX2 :DFF; - CCSEL[2..0] :DFF; - COLOR16 :NODE; - COLOR24 :NODE; --- ATARI RESOLUTION - ATARI_SYNC :NODE; - ATARI_HH[31..0] :DFFE; -- HORIZONTAL TIMING 640x480 - ATARI_HH_CS :NODE; - ATARI_VH[31..0] :DFFE; -- VERTIKAL TIMING 640x480 - ATARI_VH_CS :NODE; - ATARI_HL[31..0] :DFFE; -- HORIZONTAL TIMING 320x240 - ATARI_HL_CS :NODE; - ATARI_VL[31..0] :DFFE; -- VERTIKAL TIMING 320x240 - ATARI_VL_CS :NODE; --- HORIZONTAL - RAND_LINKS[11..0] :NODE; - HDIS_START[11..0] :NODE; - HDIS_END[11..0] :NODE; - RAND_RECHTS[11..0] :NODE; - HS_START[11..0] :NODE; - H_TOTAL[11..0] :NODE; - HDIS_LEN[11..0] :NODE; - MULF[5..0] :NODE; - VDL_HHT[11..0] :DFFE; - VDL_HHT_CS :NODE; - VDL_HBE[11..0] :DFFE; - VDL_HBE_CS :NODE; - VDL_HDB[11..0] :DFFE; - VDL_HDB_CS :NODE; - VDL_HDE[11..0] :DFFE; - VDL_HDE_CS :NODE; - VDL_HBB[11..0] :DFFE; - VDL_HBB_CS :NODE; - VDL_HSS[11..0] :DFFE; - VDL_HSS_CS :NODE; --- VERTIKAL - RAND_OBEN[10..0] :NODE; - VDIS_START[10..0] :NODE; - VDIS_END[10..0] :NODE; - RAND_UNTEN[10..0] :NODE; - VS_START[10..0] :NODE; - V_TOTAL[10..0] :NODE; - FALCON_VIDEO :NODE; - ST_VIDEO :NODE; - INTER_ZEI :DFF; - DOP_ZEI :DFF; - DOP_FIFO_CLR :DFF; - - VDL_VBE[10..0] :DFFE; - VDL_VBE_CS :NODE; - VDL_VDB[10..0] :DFFE; - VDL_VDB_CS :NODE; - VDL_VDE[10..0] :DFFE; - VDL_VDE_CS :NODE; - VDL_VBB[10..0] :DFFE; - VDL_VBB_CS :NODE; - VDL_VSS[10..0] :DFFE; - VDL_VSS_CS :NODE; - VDL_VFT[10..0] :DFFE; - VDL_VFT_CS :NODE; - VDL_VCT[8..0] :DFFE; - VDL_VCT_CS :NODE; - VDL_VMD[3..0] :DFFE; - VDL_VMD_CS :NODE; - -BEGIN --- BYT SELECT 32 BIT - FB_B0 = FB_ADR[1..0]==0; -- ADR==0 - FB_B1 = FB_ADR[1..0]==1 -- ADR==1 - # FB_SIZE1 & !FB_SIZE0 & !FB_ADR1 -- HIGH WORD - # FB_SIZE1 & FB_SIZE0 # !FB_SIZE1 & !FB_SIZE0; -- LONG UND LINE - FB_B2 = FB_ADR[1..0]==2 -- ADR==2 - # FB_SIZE1 & FB_SIZE0 # !FB_SIZE1 & !FB_SIZE0; -- LONG UND LINE - FB_B3 = FB_ADR[1..0]==3 -- ADR==3 - # FB_SIZE1 & !FB_SIZE0 & FB_ADR1 -- LOW WORD - # FB_SIZE1 & FB_SIZE0 # !FB_SIZE1 & !FB_SIZE0; -- LONG UND LINE --- BYT SELECT 16 BIT - FB_16B0 = FB_ADR[0]==0; -- ADR==0 - FB_16B1 = FB_ADR[0]==1 -- ADR==1 - # !(!FB_SIZE1 & FB_SIZE0); -- NOT BYT --- ACP CLUT -- - ACP_CLUT_CS = !nFB_CS2 & FB_ADR[27..10]==H"0"; -- 0-3FF/1024 - ACP_CLUT_RD = ACP_CLUT_CS & !nFB_OE; - ACP_CLUT_WR[] = FB_B[] & ACP_CLUT_CS & !nFB_WR; - CLUT_TA.CLK = MAIN_CLK; - CLUT_TA = (ACP_CLUT_CS # FALCON_CLUT_CS # ST_CLUT_CS) & !VIDEO_MOD_TA; ---FALCON CLUT -- - FALCON_CLUT_CS = !nFB_CS1 & FB_ADR[19..10]==H"3E6"; -- $F9800/$400 - FALCON_CLUT_RDH = FALCON_CLUT_CS & !nFB_OE & !FB_ADR1; -- HIGH WORD - FALCON_CLUT_RDL = FALCON_CLUT_CS & !nFB_OE & FB_ADR1; -- LOW WORD - FALCON_CLUT_WR[1..0] = FB_16B[] & !FB_ADR1 & FALCON_CLUT_CS & !nFB_WR; - FALCON_CLUT_WR[3..2] = FB_16B[] & FB_ADR1 & FALCON_CLUT_CS & !nFB_WR; --- ST CLUT -- - ST_CLUT_CS = !nFB_CS1 & FB_ADR[19..5]==H"7C12"; -- $F8240/$20 - ST_CLUT_RD = ST_CLUT_CS & !nFB_OE; - ST_CLUT_WR[] = FB_16B[] & ST_CLUT_CS & !nFB_WR; --- ST SHIFT MODE - ST_SHIFT_MODE[].CLK = MAIN_CLK; - ST_SHIFT_MODE_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C130"; -- $F8260/2 - ST_SHIFT_MODE[] = FB_AD[25..24]; - ST_SHIFT_MODE[].ENA = ST_SHIFT_MODE_CS & !nFB_WR & FB_B0; - COLOR1 = ST_SHIFT_MODE[]==B"10" & !COLOR8 & ST_VIDEO & !ACP_VIDEO_ON; -- MONO - COLOR2 = ST_SHIFT_MODE[]==B"01" & !COLOR8 & ST_VIDEO & !ACP_VIDEO_ON; -- 4 FARBEN - COLOR4 = ST_SHIFT_MODE[]==B"00" & !COLOR8 & ST_VIDEO & !ACP_VIDEO_ON; -- 16 FARBEN --- FALCON SHIFT MODE - FALCON_SHIFT_MODE[].CLK = MAIN_CLK; - FALCON_SHIFT_MODE_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C133"; -- $F8266/2 - FALCON_SHIFT_MODE[] = FB_AD[26..16]; - FALCON_SHIFT_MODE[10..8].ENA = FALCON_SHIFT_MODE_CS & !nFB_WR & FB_B2; - FALCON_SHIFT_MODE[7..0].ENA = FALCON_SHIFT_MODE_CS & !nFB_WR & FB_B3; - CLUT_OFF[3..0] = FALCON_SHIFT_MODE[3..0] & COLOR4; - COLOR1 = FALCON_SHIFT_MODE10 & !COLOR16 & !COLOR8 & FALCON_VIDEO & !ACP_VIDEO_ON; - COLOR8 = FALCON_SHIFT_MODE4 & !COLOR16 & FALCON_VIDEO & !ACP_VIDEO_ON; - COLOR16 = FALCON_SHIFT_MODE8 & FALCON_VIDEO & !ACP_VIDEO_ON; - COLOR4 = !COLOR1 & !COLOR16 & !COLOR8 & FALCON_VIDEO & !ACP_VIDEO_ON; --- ACP VIDEO CONTROL BIT 0=ACP VIDEO ON, 1=POWER ON VIDEO DAC, 2=ACP 24BIT,3=ACP 16BIT,4=ACP 8BIT,5=ACP 1BIT, 6=FALCON SHIFT MODE;7=ST SHIFT MODE;9..8= VCLK FREQUENZ;15=-SYNC ALLOWED; 31..16=VIDEO_RAM_CTR,25=RANDFARBE EINSCHALTEN, 26=STANDARD ATARI SYNCS - ACP_VCTR[].CLK = MAIN_CLK; - ACP_VCTR_CS = !nFB_CS2 & FB_ADR[27..2]==H"100"; -- $400/4 - ACP_VCTR[31..8] = FB_AD[31..8]; - ACP_VCTR[5..0] = FB_AD[5..0]; - ACP_VCTR[31..24].ENA = ACP_VCTR_CS & FB_B0 & !nFB_WR; - ACP_VCTR[23..16].ENA = ACP_VCTR_CS & FB_B1 & !nFB_WR; - ACP_VCTR[15..8].ENA = ACP_VCTR_CS & FB_B2 & !nFB_WR; - ACP_VCTR[5..0].ENA = ACP_VCTR_CS & FB_B3 & !nFB_WR; - ACP_VIDEO_ON = ACP_VCTR0; - nPD_VGA = ACP_VCTR1; - -- ATARI MODUS - ATARI_SYNC = ACP_VCTR26; -- WENN 1 AUTOMATISCHE AUFLÖSUNG - -- HORIZONTAL TIMING 640x480 - ATARI_HH[].CLK = MAIN_CLK; - ATARI_HH_CS = !nFB_CS2 & FB_ADR[27..2]==H"104"; -- $410/4 - ATARI_HH[] = FB_AD[]; - ATARI_HH[31..24].ENA = ATARI_HH_CS & FB_B0 & !nFB_WR; - ATARI_HH[23..16].ENA = ATARI_HH_CS & FB_B1 & !nFB_WR; - ATARI_HH[15..8].ENA = ATARI_HH_CS & FB_B2 & !nFB_WR; - ATARI_HH[7..0].ENA = ATARI_HH_CS & FB_B3 & !nFB_WR; - -- VERTIKAL TIMING 640x480 - ATARI_VH[].CLK = MAIN_CLK; - ATARI_VH_CS = !nFB_CS2 & FB_ADR[27..2]==H"105"; -- $414/4 - ATARI_VH[] = FB_AD[]; - ATARI_VH[31..24].ENA = ATARI_VH_CS & FB_B0 & !nFB_WR; - ATARI_VH[23..16].ENA = ATARI_VH_CS & FB_B1 & !nFB_WR; - ATARI_VH[15..8].ENA = ATARI_VH_CS & FB_B2 & !nFB_WR; - ATARI_VH[7..0].ENA = ATARI_VH_CS & FB_B3 & !nFB_WR; - -- HORIZONTAL TIMING 320x240 - ATARI_HL[].CLK = MAIN_CLK; - ATARI_HL_CS = !nFB_CS2 & FB_ADR[27..2]==H"106"; -- $418/4 - ATARI_HL[] = FB_AD[]; - ATARI_HL[31..24].ENA = ATARI_HL_CS & FB_B0 & !nFB_WR; - ATARI_HL[23..16].ENA = ATARI_HL_CS & FB_B1 & !nFB_WR; - ATARI_HL[15..8].ENA = ATARI_HL_CS & FB_B2 & !nFB_WR; - ATARI_HL[7..0].ENA = ATARI_HL_CS & FB_B3 & !nFB_WR; - -- VERTIKAL TIMING 320x240 - ATARI_VL[].CLK = MAIN_CLK; - ATARI_VL_CS = !nFB_CS2 & FB_ADR[27..2]==H"107"; -- $41C/4 - ATARI_VL[] = FB_AD[]; - ATARI_VL[31..24].ENA = ATARI_VL_CS & FB_B0 & !nFB_WR; - ATARI_VL[23..16].ENA = ATARI_VL_CS & FB_B1 & !nFB_WR; - ATARI_VL[15..8].ENA = ATARI_VL_CS & FB_B2 & !nFB_WR; - ATARI_VL[7..0].ENA = ATARI_VL_CS & FB_B3 & !nFB_WR; --- VIDEO PLL CONFIG - VIDEO_PLL_CONFIG_CS = !nFB_CS2 & FB_ADR[27..9]==H"3" & FB_B0 & FB_B1; -- $(F)000'0600-7FF ->6/2 WORD RESP LONG ONLY - VR_WR.CLK = MAIN_CLK; - VR_WR = VIDEO_PLL_CONFIG_CS & !nFB_WR & !VR_BUSY & !VR_WR; - VR_RD = VIDEO_PLL_CONFIG_CS & nFB_WR & !VR_BUSY; - VR_DOUT[].CLK = MAIN_CLK; - VR_DOUT[].ENA = !VR_BUSY; - VR_DOUT[] = VR_D[]; - VR_FRQ[].CLK = MAIN_CLK; - VR_FRQ[].ENA = VR_WR & FB_ADR[8..0]==H"04"; - VR_FRQ[] = FB_AD[23..16]; --- VIDEO PLL RECONFIG - VIDEO_PLL_RECONFIG_CS = !nFB_CS2 & FB_ADR[27..0]==H"800" & FB_B0; -- $(F)000'0800 - VIDEO_RECONFIG.CLK = MAIN_CLK; - VIDEO_RECONFIG = VIDEO_PLL_RECONFIG_CS & !nFB_WR & !VR_BUSY & !VIDEO_RECONFIG; ------------------------------------------------------------------------------------------------------------------------- - VIDEO_RAM_CTR[] = ACP_VCTR[31..16]; --------------- COLOR MODE IM ACP SETZEN - COLOR1 = ACP_VCTR5 & !ACP_VCTR4 & !ACP_VCTR3 & !ACP_VCTR2 & ACP_VIDEO_ON; - COLOR8 = ACP_VCTR4 & !ACP_VCTR3 & !ACP_VCTR2 & ACP_VIDEO_ON; - COLOR16 = ACP_VCTR3 & !ACP_VCTR2 & ACP_VIDEO_ON; - COLOR24 = ACP_VCTR2 & ACP_VIDEO_ON; - ACP_CLUT = ACP_VIDEO_ON & (COLOR1 # COLOR8) # ST_VIDEO & COLOR1; --- ST ODER FALCON SHIFT MODE SETZEN WENN WRITE X..SHIFT REGISTER - ACP_VCTR7 = FALCON_SHIFT_MODE_CS & !nFB_WR & !ACP_VIDEO_ON; - ACP_VCTR6 = ST_SHIFT_MODE_CS & !nFB_WR & !ACP_VIDEO_ON; - ACP_VCTR[7..6].ENA = FALCON_SHIFT_MODE_CS & !nFB_WR # ST_SHIFT_MODE_CS & !nFB_WR # ACP_VCTR_CS & FB_B3 & !nFB_WR & FB_AD0; - FALCON_VIDEO = ACP_VCTR7; - FALCON_CLUT = FALCON_VIDEO & !ACP_VIDEO_ON & !COLOR16; - ST_VIDEO = ACP_VCTR6; - ST_CLUT = ST_VIDEO & !ACP_VIDEO_ON & !FALCON_CLUT & !COLOR1; - CCSEL[].CLK = PIXEL_CLK; - CCSEL[] = B"000" & ST_CLUT -- ONLY FOR INFORMATION - # B"001" & FALCON_CLUT - # B"100" & ACP_CLUT - # B"101" & COLOR16 - # B"110" & COLOR24 - # B"111" & RAND_ON; --- DIVERSE (VIDEO)-REGISTER ---------------------------- --- RANDFARBE - CCR[].CLK = MAIN_CLK; - CCR_CS = !nFB_CS2 & FB_ADR[27..2]==H"101"; -- $404/4 - CCR[] = FB_AD[23..0]; - CCR[23..16].ENA = CCR_CS & FB_B1 & !nFB_WR; - CCR[15..8].ENA = CCR_CS & FB_B2 & !nFB_WR; - CCR[7..0].ENA = CCR_CS & FB_B3 & !nFB_WR; ---SYS CTR - SYS_CTR_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C003"; -- $8006/2 - SYS_CTR[].CLK = MAIN_CLK; - SYS_CTR[6..0] = FB_AD[22..16]; - SYS_CTR[6..0].ENA = SYS_CTR_CS & !nFB_WR & FB_B3; - BLITTER_ON = !SYS_CTR3; ---VDL_LOF - VDL_LOF_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C107"; -- $820E/2 - VDL_LOF[].CLK = MAIN_CLK; - VDL_LOF[] = FB_AD[31..16]; - VDL_LOF[15..8].ENA = VDL_LOF_CS & !nFB_WR & FB_B2; - VDL_LOF[7..0].ENA = VDL_LOF_CS & !nFB_WR & FB_B3; ---VDL_LWD - VDL_LWD_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C108"; -- $8210/2 - VDL_LWD[].CLK = MAIN_CLK; - VDL_LWD[] = FB_AD[31..16]; - VDL_LWD[15..8].ENA = VDL_LWD_CS & !nFB_WR & FB_B0; - VDL_LWD[7..0].ENA = VDL_LWD_CS & !nFB_WR & FB_B1; --- HORIZONTAL --- VDL_HHT - VDL_HHT_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C141"; -- $8282/2 - VDL_HHT[].CLK = MAIN_CLK; - VDL_HHT[] = FB_AD[27..16]; - VDL_HHT[11..8].ENA = VDL_HHT_CS & !nFB_WR & FB_B2; - VDL_HHT[7..0].ENA = VDL_HHT_CS & !nFB_WR & FB_B3; --- VDL_HBE - VDL_HBE_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C143"; -- $8286/2 - VDL_HBE[].CLK = MAIN_CLK; - VDL_HBE[] = FB_AD[27..16]; - VDL_HBE[11..8].ENA = VDL_HBE_CS & !nFB_WR & FB_B2; - VDL_HBE[7..0].ENA = VDL_HBE_CS & !nFB_WR & FB_B3; --- VDL_HDB - VDL_HDB_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C144"; -- $8288/2 - VDL_HDB[].CLK = MAIN_CLK; - VDL_HDB[] = FB_AD[27..16]; - VDL_HDB[11..8].ENA = VDL_HDB_CS & !nFB_WR & FB_B0; - VDL_HDB[7..0].ENA = VDL_HDB_CS & !nFB_WR & FB_B1; --- VDL_HDE - VDL_HDE_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C145"; -- $828A/2 - VDL_HDE[].CLK = MAIN_CLK; - VDL_HDE[] = FB_AD[27..16]; - VDL_HDE[11..8].ENA = VDL_HDE_CS & !nFB_WR & FB_B2; - VDL_HDE[7..0].ENA = VDL_HDE_CS & !nFB_WR & FB_B3; --- VDL_HBB - VDL_HBB_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C142"; -- $8284/2 - VDL_HBB[].CLK = MAIN_CLK; - VDL_HBB[] = FB_AD[27..16]; - VDL_HBB[11..8].ENA = VDL_HBB_CS & !nFB_WR & FB_B0; - VDL_HBB[7..0].ENA = VDL_HBB_CS & !nFB_WR & FB_B1; --- VDL_HSS - VDL_HSS_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C146"; -- $828C/2 - VDL_HSS[].CLK = MAIN_CLK; - VDL_HSS[] = FB_AD[27..16]; - VDL_HSS[11..8].ENA = VDL_HSS_CS & !nFB_WR & FB_B0; - VDL_HSS[7..0].ENA = VDL_HSS_CS & !nFB_WR & FB_B1; --- VERTIKAL --- VDL_VBE - VDL_VBE_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C153"; -- $82A6/2 - VDL_VBE[].CLK = MAIN_CLK; - VDL_VBE[] = FB_AD[26..16]; - VDL_VBE[10..8].ENA = VDL_VBE_CS & !nFB_WR & FB_B2; - VDL_VBE[7..0].ENA = VDL_VBE_CS & !nFB_WR & FB_B3; --- VDL_VDB - VDL_VDB_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C154"; -- $82A8/2 - VDL_VDB[].CLK = MAIN_CLK; - VDL_VDB[] = FB_AD[26..16]; - VDL_VDB[10..8].ENA = VDL_VDB_CS & !nFB_WR & FB_B0; - VDL_VDB[7..0].ENA = VDL_VDB_CS & !nFB_WR & FB_B1; --- VDL_VDE - VDL_VDE_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C155"; -- $82AA/2 - VDL_VDE[].CLK = MAIN_CLK; - VDL_VDE[] = FB_AD[26..16]; - VDL_VDE[10..8].ENA = VDL_VDE_CS & !nFB_WR & FB_B2; - VDL_VDE[7..0].ENA = VDL_VDE_CS & !nFB_WR & FB_B3; --- VDL_VBB - VDL_VBB_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C152"; -- $82A4/2 - VDL_VBB[].CLK = MAIN_CLK; - VDL_VBB[] = FB_AD[26..16]; - VDL_VBB[10..8].ENA = VDL_VBB_CS & !nFB_WR & FB_B0; - VDL_VBB[7..0].ENA = VDL_VBB_CS & !nFB_WR & FB_B1; --- VDL_VSS - VDL_VSS_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C156"; -- $82AC/2 - VDL_VSS[].CLK = MAIN_CLK; - VDL_VSS[] = FB_AD[26..16]; - VDL_VSS[10..8].ENA = VDL_VSS_CS & !nFB_WR & FB_B0; - VDL_VSS[7..0].ENA = VDL_VSS_CS & !nFB_WR & FB_B1; --- VDL_VFT - VDL_VFT_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C151"; -- $82A2/2 - VDL_VFT[].CLK = MAIN_CLK; - VDL_VFT[] = FB_AD[26..16]; - VDL_VFT[10..8].ENA = VDL_VFT_CS & !nFB_WR & FB_B2; - VDL_VFT[7..0].ENA = VDL_VFT_CS & !nFB_WR & FB_B3; --- VDL_VCT - VDL_VCT_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C160"; -- $82C0/2 - VDL_VCT[].CLK = MAIN_CLK; - VDL_VCT[] = FB_AD[24..16]; - VDL_VCT[8].ENA = VDL_VCT_CS & !nFB_WR & FB_B0; - VDL_VCT[7..0].ENA = VDL_VCT_CS & !nFB_WR & FB_B1; --- VDL_VMD - VDL_VMD_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C161"; -- $82C2/2 - VDL_VMD[].CLK = MAIN_CLK; - VDL_VMD[] = FB_AD[19..16]; - VDL_VMD[3..0].ENA = VDL_VMD_CS & !nFB_WR & FB_B3; ---- REGISTER OUT - FB_AD[31..16] = lpm_bustri_WORD( - ST_SHIFT_MODE_CS & (0,ST_SHIFT_MODE[],B"00000000") - # FALCON_SHIFT_MODE_CS & (0,FALCON_SHIFT_MODE[]) - # SYS_CTR_CS & (B"100000000",SYS_CTR[6..4],!BLITTER_RUN,SYS_CTR[2..0]) - # VDL_LOF_CS & VDL_LOF[] - # VDL_LWD_CS & VDL_LWD[] - # VDL_HBE_CS & (0,VDL_HBE[]) - # VDL_HDB_CS & (0,VDL_HDB[]) - # VDL_HDE_CS & (0,VDL_HDE[]) - # VDL_HBB_CS & (0,VDL_HBB[]) - # VDL_HSS_CS & (0,VDL_HSS[]) - # VDL_HHT_CS & (0,VDL_HHT[]) - # VDL_VBE_CS & (0,VDL_VBE[]) - # VDL_VDB_CS & (0,VDL_VDB[]) - # VDL_VDE_CS & (0,VDL_VDE[]) - # VDL_VBB_CS & (0,VDL_VBB[]) - # VDL_VSS_CS & (0,VDL_VSS[]) - # VDL_VFT_CS & (0,VDL_VFT[]) - # VDL_VCT_CS & (0,VDL_VCT[]) - # VDL_VMD_CS & (0,VDL_VMD[]) - # ACP_VCTR_CS & ACP_VCTR[31..16] - # ATARI_HH_CS & ATARI_HH[31..16] - # ATARI_VH_CS & ATARI_VH[31..16] - # ATARI_HL_CS & ATARI_HL[31..16] - # ATARI_VL_CS & ATARI_VL[31..16] - # CCR_CS & (0,CCR[23..16]) - # VIDEO_PLL_CONFIG_CS & (0,VR_DOUT[]) - # VIDEO_PLL_RECONFIG_CS & (VR_BUSY,B"0000",VR_WR,VR_RD,VIDEO_RECONFIG,H"FA") - ,(ST_SHIFT_MODE_CS # FALCON_SHIFT_MODE_CS # ACP_VCTR_CS # CCR_CS # SYS_CTR_CS # VDL_LOF_CS # VDL_LWD_CS - # VDL_HBE_CS # VDL_HDB_CS # VDL_HDE_CS # VDL_HBB_CS # VDL_HSS_CS # VDL_HHT_CS - # ATARI_HH_CS # ATARI_VH_CS # ATARI_HL_CS # ATARI_VL_CS # VIDEO_PLL_CONFIG_CS # VIDEO_PLL_RECONFIG_CS - # VDL_VBE_CS # VDL_VDB_CS # VDL_VDE_CS # VDL_VBB_CS # VDL_VSS_CS # VDL_VFT_CS # VDL_VCT_CS # VDL_VMD_CS) & !nFB_OE); - - FB_AD[15..0] = lpm_bustri_WORD( - ACP_VCTR_CS & ACP_VCTR[15..0] - # ATARI_HH_CS & ATARI_HH[15..0] - # ATARI_VH_CS & ATARI_VH[15..0] - # ATARI_HL_CS & ATARI_HL[15..0] - # ATARI_VL_CS & ATARI_VL[15..0] - # CCR_CS & CCR[15..0] - ,(ACP_VCTR_CS # CCR_CS # ATARI_HH_CS # ATARI_VH_CS # ATARI_HL_CS # ATARI_VL_CS ) & !nFB_OE); - - VIDEO_MOD_TA = CLUT_TA # ST_SHIFT_MODE_CS # FALCON_SHIFT_MODE_CS # ACP_VCTR_CS # SYS_CTR_CS # VDL_LOF_CS # VDL_LWD_CS - # VDL_HBE_CS # VDL_HDB_CS # VDL_HDE_CS # VDL_HBB_CS # VDL_HSS_CS # VDL_HHT_CS - # ATARI_HH_CS # ATARI_VH_CS # ATARI_HL_CS # ATARI_VL_CS - # VDL_VBE_CS # VDL_VDB_CS # VDL_VDE_CS # VDL_VBB_CS # VDL_VSS_CS # VDL_VFT_CS # VDL_VCT_CS # VDL_VMD_CS; - --- VIDEO AUSGABE SETZEN - CLK17M.CLK = CLK33M; - CLK17M = !CLK17M; - CLK13M.CLK = CLK25M; - CLK13M = !CLK13M; - PIXEL_CLK = CLK13M & !ACP_VIDEO_ON & (FALCON_VIDEO # ST_VIDEO) & ( VDL_VMD2 & VDL_VCT2 # VDL_VCT0) - # CLK17M & !ACP_VIDEO_ON & (FALCON_VIDEO # ST_VIDEO) & ( VDL_VMD2 & !VDL_VCT2 # VDL_VCT0) - # CLK25M & !ACP_VIDEO_ON & (FALCON_VIDEO # ST_VIDEO) & !VDL_VMD2 & VDL_VCT2 & !VDL_VCT0 - # CLK33M & !ACP_VIDEO_ON & (FALCON_VIDEO # ST_VIDEO) & !VDL_VMD2 & !VDL_VCT2 & !VDL_VCT0 - # CLK25M & ACP_VIDEO_ON & ACP_VCTR[9..8]==B"00" - # CLK33M & ACP_VIDEO_ON & ACP_VCTR[9..8]==B"01" - # CLK_VIDEO & ACP_VIDEO_ON & ACP_VCTR[9]; --------------------------------------------------------------- --- HORIZONTALE SYNC LÄNGE in PIXEL_CLK ----------------------------------------------------------------- - HSY_LEN[].CLK = MAIN_CLK; - HSY_LEN[] = 14 & !ACP_VIDEO_ON & (FALCON_VIDEO # ST_VIDEO) & ( VDL_VMD2 & VDL_VCT2 # VDL_VCT0) - # 16 & !ACP_VIDEO_ON & (FALCON_VIDEO # ST_VIDEO) & ( VDL_VMD2 & !VDL_VCT2 # VDL_VCT0) - # 28 & !ACP_VIDEO_ON & (FALCON_VIDEO # ST_VIDEO) & !VDL_VMD2 & VDL_VCT2 & !VDL_VCT0 - # 32 & !ACP_VIDEO_ON & (FALCON_VIDEO # ST_VIDEO) & !VDL_VMD2 & !VDL_VCT2 & !VDL_VCT0 - # 28 & ACP_VIDEO_ON & ACP_VCTR[9..8]==B"00" - # 32 & ACP_VIDEO_ON & ACP_VCTR[9..8]==B"01" - # 16 + (0,VR_FRQ[7..1]) & ACP_VIDEO_ON & ACP_VCTR[9]; -- hsync puls length in pixeln=frequenz/ = 500ns - - MULF[] = 2 & !ST_VIDEO & VDL_VMD2 -- MULTIPLIKATIONS FAKTOR - # 4 & !ST_VIDEO & !VDL_VMD2 - # 16 & ST_VIDEO & VDL_VMD2 - # 32 & ST_VIDEO & !VDL_VMD2; - - - HDIS_LEN[] = 320 & VDL_VMD2 -- BREITE IN PIXELN - # 640 & !VDL_VMD2; - --- DOPPELZEILENMODUS - DOP_ZEI.CLK = MAIN_CLK; - DOP_ZEI = VDL_VMD0 & ST_VIDEO; -- ZEILENVERDOPPELUNG EIN AUS - INTER_ZEI.CLK = PIXEL_CLK; - INTER_ZEI = DOP_ZEI & VVCNT0!=VDIS_START0 & VVCNT[]!=0 & VHCNT[]<(HDIS_END[]-1) -- EINSCHIEBEZEILE AUF "DOPPEL" ZEILEN UND ZEILE NULL WEGEN SYNC - # DOP_ZEI & VVCNT0==VDIS_START0 & VVCNT[]!=0 & VHCNT[]>(HDIS_END[]-2); -- EINSCHIEBEZEILE AUF "NORMAL" ZEILEN UND ZEILE NULL WEGEN SYNC - DOP_FIFO_CLR.CLK = PIXEL_CLK; - DOP_FIFO_CLR = INTER_ZEI & HSYNC_START # SYNC_PIX; -- DOPPELZEILENFIFO LÖSCHEN AM ENDE DER DOPPELZEILE UND BEI MAIN FIFO START - - RAND_LINKS[] = VDL_HBE[] & ACP_VIDEO_ON - # 21 & !ACP_VIDEO_ON & ATARI_SYNC & VDL_VMD2 - # 42 & !ACP_VIDEO_ON & ATARI_SYNC & !VDL_VMD2 - # VDL_HBE[] * (0,MULF[5..1]) & !ACP_VIDEO_ON & !ATARI_SYNC; -- - HDIS_START[] = VDL_HDB[] & ACP_VIDEO_ON - # RAND_LINKS[]+1 & !ACP_VIDEO_ON; -- - HDIS_END[] = VDL_HDE[] & ACP_VIDEO_ON - # RAND_LINKS[]+HDIS_LEN[] & !ACP_VIDEO_ON; -- - RAND_RECHTS[] = VDL_HBB[] & ACP_VIDEO_ON - # HDIS_END[]+1 & !ACP_VIDEO_ON; -- - HS_START[] = VDL_HSS[] & ACP_VIDEO_ON - # ATARI_HL[11..0] & !ACP_VIDEO_ON & ATARI_SYNC & VDL_VMD2 - # ATARI_HH[11..0] & !ACP_VIDEO_ON & ATARI_SYNC & !VDL_VMD2 - # (VDL_HHT[]+1+VDL_HSS[]) * (0,MULF[5..1]) & !ACP_VIDEO_ON & !ATARI_SYNC; -- - H_TOTAL[] = VDL_HHT[] & ACP_VIDEO_ON - # ATARI_HL[27..16] & !ACP_VIDEO_ON & ATARI_SYNC & VDL_VMD2 - # ATARI_HH[27..16] & !ACP_VIDEO_ON & ATARI_SYNC & !VDL_VMD2 - # (VDL_HHT[]+2) * (0,MULF[]) & !ACP_VIDEO_ON & !ATARI_SYNC; -- - - RAND_OBEN[] = VDL_VBE[] & ACP_VIDEO_ON - # 31 & !ACP_VIDEO_ON & ATARI_SYNC - # (0,VDL_VBE[10..1]) & !ACP_VIDEO_ON & !ATARI_SYNC; - VDIS_START[] = VDL_VDB[] & ACP_VIDEO_ON - # 32 & !ACP_VIDEO_ON & ATARI_SYNC - # (0,VDL_VDB[10..1])+1 & !ACP_VIDEO_ON & !ATARI_SYNC; - VDIS_END[] = VDL_VDE[] & ACP_VIDEO_ON - # 431 & !ACP_VIDEO_ON & ATARI_SYNC & ST_VIDEO - # 511 & !ACP_VIDEO_ON & ATARI_SYNC & !ST_VIDEO - # (0,VDL_VDE[10..1]) & !ACP_VIDEO_ON & !ATARI_SYNC; - RAND_UNTEN[] = VDL_VBB[] & ACP_VIDEO_ON - # VDIS_END[]+1 & !ACP_VIDEO_ON & ATARI_SYNC - # (0,VDL_VBB[10..1])+1 & !ACP_VIDEO_ON & !ATARI_SYNC; - VS_START[] = VDL_VSS[] & ACP_VIDEO_ON - # ATARI_VL[10..0] & !ACP_VIDEO_ON & ATARI_SYNC & VDL_VMD2 - # ATARI_VH[10..0] & !ACP_VIDEO_ON & ATARI_SYNC & !VDL_VMD2 - # (0,VDL_VSS[10..1]) & !ACP_VIDEO_ON & !ATARI_SYNC; - V_TOTAL[] = VDL_VFT[] & ACP_VIDEO_ON - # ATARI_VL[26..16] & !ACP_VIDEO_ON & ATARI_SYNC & VDL_VMD2 - # ATARI_VH[26..16] & !ACP_VIDEO_ON & ATARI_SYNC & !VDL_VMD2 - # (0,VDL_VFT[10..1]) & !ACP_VIDEO_ON & !ATARI_SYNC; --- ZÄHLER - LAST.CLK = PIXEL_CLK; - LAST = VHCNT[]==(H_TOTAL[]-2); - VHCNT[].CLK = PIXEL_CLK; - VHCNT[] = (VHCNT[] + 1) & !LAST; - VVCNT[].CLK = PIXEL_CLK; - VVCNT[].ENA = LAST; - VVCNT[] = (VVCNT[] + 1) & (VVCNT[]!=V_TOTAL[]-1); --- DISPLAY ON OFF - DPO_ZL.CLK = PIXEL_CLK; - DPO_ZL = (VVCNT[]>RAND_OBEN[]-1) & (VVCNT[]=(VDIS_START[]-1)) & (VVCNT[] - -Sample Waveforms for altdpram0.vhd - - -

Sample behavioral waveforms for design file altdpram0.vhd

-

The following waveforms show the behavior of altsyncram megafunction for the chosen set of parameters in design altdpram0.vhd. For the purpose of this simulation, the contents of the memory at the start of the sample waveforms is assumed to be ( 7, 6, 5, 4, ...). The design altdpram0.vhd has two read/write ports. Read/write port A has 16 words of 3 bits each and Read/write port B has 16 words of 3 bits each. The output of the read/write port A is registered by clock_a. The output of the read/write port B is registered by clock_b.

-
-

Fig. 1 : Wave showing read operation.

-

The above waveform shows the behavior of the design under normal read conditions. The read happens at the rising edge of the enabled clock cycle. The output from the RAM is undefined until after the first rising edge of the read clock. The clock enable on the read side input registers are disabled. The clock enable on the output registers are disabled.

-
-

Fig. 2 : Waveform showing write operation

-

The above waveform shows the behavior of the design under normal write conditions. The write cycle is assumed to be from the rising edge of the enabled clock in which wren is high till the rising edge of the next clock cycle. In BIDIR_DUAL_PORT mode, when the write happens at the same address as the one being read in the other port, the read output is unknown. Actual write into the RAM happens at the rising edge of the write clock. The clock enable on the write side input registers are disabled. The clock enable on the output registers are disabled. For the A port, When a write happens, the output of the port is the old data at the address. For the B port, When a write happens, the output of the port is the old data at the address.

-

- - diff --git a/FPGA_by_Fredi/Video/altdpram1_waveforms.html b/FPGA_by_Fredi/Video/altdpram1_waveforms.html deleted file mode 100644 index 85b4369..0000000 --- a/FPGA_by_Fredi/Video/altdpram1_waveforms.html +++ /dev/null @@ -1,16 +0,0 @@ - - -Sample Waveforms for altdpram1.vhd - - -

Sample behavioral waveforms for design file altdpram1.vhd

-

The following waveforms show the behavior of altsyncram megafunction for the chosen set of parameters in design altdpram1.vhd. For the purpose of this simulation, the contents of the memory at the start of the sample waveforms is assumed to be ( 0F, 0E, 0D, 0C, ...). The design altdpram1.vhd has two read/write ports. Read/write port A has 256 words of 6 bits each and Read/write port B has 256 words of 6 bits each. The output of the read/write port A is registered by clock_a. The output of the read/write port B is registered by clock_b.

-
-

Fig. 1 : Wave showing read operation.

-

The above waveform shows the behavior of the design under normal read conditions. The read happens at the rising edge of the enabled clock cycle. The output from the RAM is undefined until after the first rising edge of the read clock. The clock enable on the read side input registers are disabled. The clock enable on the output registers are disabled.

-
-

Fig. 2 : Waveform showing write operation

-

The above waveform shows the behavior of the design under normal write conditions. The write cycle is assumed to be from the rising edge of the enabled clock in which wren is high till the rising edge of the next clock cycle. In BIDIR_DUAL_PORT mode, when the write happens at the same address as the one being read in the other port, the read output is unknown. Actual write into the RAM happens at the rising edge of the write clock. The clock enable on the write side input registers are disabled. The clock enable on the output registers are disabled. For the A port, When a write happens, the output of the port is the old data at the address. For the B port, When a write happens, the output of the port is the old data at the address.

-

- - diff --git a/FPGA_by_Fredi/Video/altdpram2_waveforms.html b/FPGA_by_Fredi/Video/altdpram2_waveforms.html deleted file mode 100644 index a856bf6..0000000 --- a/FPGA_by_Fredi/Video/altdpram2_waveforms.html +++ /dev/null @@ -1,16 +0,0 @@ - - -Sample Waveforms for altdpram2.vhd - - -

Sample behavioral waveforms for design file altdpram2.vhd

-

The following waveforms show the behavior of altsyncram megafunction for the chosen set of parameters in design altdpram2.vhd. For the purpose of this simulation, the contents of the memory at the start of the sample waveforms is assumed to be ( F0, F1, F2, F3, ...). The design altdpram2.vhd has two read/write ports. Read/write port A has 256 words of 8 bits each and Read/write port B has 256 words of 8 bits each. The output of the read/write port A is registered by clock_a. The output of the read/write port B is registered by clock_b.

-
-

Fig. 1 : Wave showing read operation.

-

The above waveform shows the behavior of the design under normal read conditions. The read happens at the rising edge of the enabled clock cycle. The output from the RAM is undefined until after the first rising edge of the read clock. The clock enable on the read side input registers are disabled. The clock enable on the output registers are disabled.

-
-

Fig. 2 : Waveform showing write operation

-

The above waveform shows the behavior of the design under normal write conditions. The write cycle is assumed to be from the rising edge of the enabled clock in which wren is high till the rising edge of the next clock cycle. In BIDIR_DUAL_PORT mode, when the write happens at the same address as the one being read in the other port, the read output is unknown. Actual write into the RAM happens at the rising edge of the write clock. The clock enable on the write side input registers are disabled. The clock enable on the output registers are disabled. For the A port, When a write happens, the output of the port is the old data at the address. For the B port, When a write happens, the output of the port is the old data at the address.

-

- - diff --git a/FPGA_by_Fredi/Video/lpm_blitter.bsf b/FPGA_by_Fredi/Video/lpm_blitter.bsf new file mode 100644 index 0000000..812ab9d --- /dev/null +++ b/FPGA_by_Fredi/Video/lpm_blitter.bsf @@ -0,0 +1,63 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 1991-2010 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ +(header "symbol" (version "1.1")) +(symbol + (rect 0 0 144 96) + (text "lpm_blitter" (rect 42 1 112 17)(font "Arial" (font_size 10))) + (text "inst" (rect 8 80 25 92)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "data[63..0]" (rect 0 0 60 14)(font "Arial" (font_size 8))) + (text "data[63..0]" (rect 20 26 71 39)(font "Arial" (font_size 8))) + (line (pt 0 32)(pt 16 32)(line_width 3)) + ) + (port + (pt 0 48) + (input) + (text "clock" (rect 0 0 29 14)(font "Arial" (font_size 8))) + (text "clock" (rect 26 42 49 55)(font "Arial" (font_size 8))) + (line (pt 0 48)(pt 16 48)(line_width 1)) + ) + (port + (pt 0 64) + (input) + (text "enable" (rect 0 0 37 14)(font "Arial" (font_size 8))) + (text "enable" (rect 20 58 53 71)(font "Arial" (font_size 8))) + (line (pt 0 64)(pt 16 64)(line_width 1)) + ) + (port + (pt 144 56) + (output) + (text "q[63..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "q[63..0]" (rect 89 50 125 63)(font "Arial" (font_size 8))) + (line (pt 144 56)(pt 128 56)(line_width 3)) + ) + (drawing + (text "DFF" (rect 109 17 128 29)(font "Arial" )) + (line (pt 16 16)(pt 128 16)(line_width 1)) + (line (pt 128 16)(pt 128 80)(line_width 1)) + (line (pt 128 80)(pt 16 80)(line_width 1)) + (line (pt 16 80)(pt 16 16)(line_width 1)) + (line (pt 16 42)(pt 22 48)(line_width 1)) + (line (pt 22 48)(pt 16 54)(line_width 1)) + ) +) diff --git a/FPGA_by_Fredi/Video/BLITTER/lpm_clshift0.cmp b/FPGA_by_Fredi/Video/lpm_blitter.cmp similarity index 81% rename from FPGA_by_Fredi/Video/BLITTER/lpm_clshift0.cmp rename to FPGA_by_Fredi/Video/lpm_blitter.cmp index d428d99..295a940 100644 --- a/FPGA_by_Fredi/Video/BLITTER/lpm_clshift0.cmp +++ b/FPGA_by_Fredi/Video/lpm_blitter.cmp @@ -13,11 +13,12 @@ --applicable agreement for further details. -component lpm_clshift0 +component lpm_blitter PORT ( - data : IN STD_LOGIC_VECTOR (255 DOWNTO 0); - distance : IN STD_LOGIC_VECTOR (6 DOWNTO 0); - result : OUT STD_LOGIC_VECTOR (255 DOWNTO 0) + clock : IN STD_LOGIC ; + data : IN STD_LOGIC_VECTOR (63 DOWNTO 0); + enable : IN STD_LOGIC ; + q : OUT STD_LOGIC_VECTOR (63 DOWNTO 0) ); end component; diff --git a/FPGA_by_Fredi/Video/lpm_blitter.inc b/FPGA_by_Fredi/Video/lpm_blitter.inc new file mode 100644 index 0000000..875a095 --- /dev/null +++ b/FPGA_by_Fredi/Video/lpm_blitter.inc @@ -0,0 +1,25 @@ +--Copyright (C) 1991-2010 Altera Corporation +--Your use of Altera Corporation's design tools, logic functions +--and other software and tools, and its AMPP partner logic +--functions, and any output files from any of the foregoing +--(including device programming or simulation files), and any +--associated documentation or information are expressly subject +--to the terms and conditions of the Altera Program License +--Subscription Agreement, Altera MegaCore Function License +--Agreement, or other applicable license agreement, including, +--without limitation, that your use is for the sole purpose of +--programming logic devices manufactured by Altera and sold by +--Altera or its authorized distributors. Please refer to the +--applicable agreement for further details. + + +FUNCTION lpm_blitter +( + clock, + data[63..0], + enable +) + +RETURNS ( + q[63..0] +); diff --git a/FPGA_by_Fredi/Video/lpm_blitter.qip b/FPGA_by_Fredi/Video/lpm_blitter.qip new file mode 100644 index 0000000..ec4ba3f --- /dev/null +++ b/FPGA_by_Fredi/Video/lpm_blitter.qip @@ -0,0 +1,6 @@ +set_global_assignment -name IP_TOOL_NAME "LPM_FF" +set_global_assignment -name IP_TOOL_VERSION "9.1" +set_global_assignment -name VHDL_FILE [file join $::quartus(qip_path) "lpm_blitter.vhd"] +set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "lpm_blitter.bsf"] +set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "lpm_blitter.inc"] +set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "lpm_blitter.cmp"] diff --git a/FPGA_by_Fredi/Video/lpm_blitter.vhd b/FPGA_by_Fredi/Video/lpm_blitter.vhd new file mode 100644 index 0000000..fba0b72 --- /dev/null +++ b/FPGA_by_Fredi/Video/lpm_blitter.vhd @@ -0,0 +1,127 @@ +-- megafunction wizard: %LPM_FF% +-- GENERATION: STANDARD +-- VERSION: WM1.0 +-- MODULE: lpm_ff + +-- ============================================================ +-- File Name: lpm_blitter.vhd +-- Megafunction Name(s): +-- lpm_ff +-- +-- Simulation Library Files(s): +-- lpm +-- ============================================================ +-- ************************************************************ +-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! +-- +-- 9.1 Build 350 03/24/2010 SP 2 SJ Web Edition +-- ************************************************************ + + +--Copyright (C) 1991-2010 Altera Corporation +--Your use of Altera Corporation's design tools, logic functions +--and other software and tools, and its AMPP partner logic +--functions, and any output files from any of the foregoing +--(including device programming or simulation files), and any +--associated documentation or information are expressly subject +--to the terms and conditions of the Altera Program License +--Subscription Agreement, Altera MegaCore Function License +--Agreement, or other applicable license agreement, including, +--without limitation, that your use is for the sole purpose of +--programming logic devices manufactured by Altera and sold by +--Altera or its authorized distributors. Please refer to the +--applicable agreement for further details. + + +LIBRARY ieee; +USE ieee.std_logic_1164.all; + +LIBRARY lpm; +USE lpm.all; + +ENTITY lpm_blitter IS + PORT + ( + clock : IN STD_LOGIC ; + data : IN STD_LOGIC_VECTOR (63 DOWNTO 0); + enable : IN STD_LOGIC ; + q : OUT STD_LOGIC_VECTOR (63 DOWNTO 0) + ); +END lpm_blitter; + + +ARCHITECTURE SYN OF lpm_blitter IS + + SIGNAL sub_wire0 : STD_LOGIC_VECTOR (63 DOWNTO 0); + + + + COMPONENT lpm_ff + GENERIC ( + lpm_fftype : STRING; + lpm_type : STRING; + lpm_width : NATURAL + ); + PORT ( + enable : IN STD_LOGIC ; + clock : IN STD_LOGIC ; + q : OUT STD_LOGIC_VECTOR (63 DOWNTO 0); + data : IN STD_LOGIC_VECTOR (63 DOWNTO 0) + ); + END COMPONENT; + +BEGIN + q <= sub_wire0(63 DOWNTO 0); + + lpm_ff_component : lpm_ff + GENERIC MAP ( + lpm_fftype => "DFF", + lpm_type => "LPM_FF", + lpm_width => 64 + ) + PORT MAP ( + enable => enable, + clock => clock, + data => data, + q => sub_wire0 + ); + + + +END SYN; + +-- ============================================================ +-- CNX file retrieval info +-- ============================================================ +-- Retrieval info: PRIVATE: ACLR NUMERIC "0" +-- Retrieval info: PRIVATE: ALOAD NUMERIC "0" +-- Retrieval info: PRIVATE: ASET NUMERIC "0" +-- Retrieval info: PRIVATE: ASET_ALL1 NUMERIC "1" +-- Retrieval info: PRIVATE: CLK_EN NUMERIC "1" +-- Retrieval info: PRIVATE: DFF NUMERIC "1" +-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone III" +-- Retrieval info: PRIVATE: SCLR NUMERIC "0" +-- Retrieval info: PRIVATE: SLOAD NUMERIC "0" +-- Retrieval info: PRIVATE: SSET NUMERIC "0" +-- Retrieval info: PRIVATE: SSET_ALL1 NUMERIC "1" +-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" +-- Retrieval info: PRIVATE: UseTFFdataPort NUMERIC "0" +-- Retrieval info: PRIVATE: nBit NUMERIC "64" +-- Retrieval info: CONSTANT: LPM_FFTYPE STRING "DFF" +-- Retrieval info: CONSTANT: LPM_TYPE STRING "LPM_FF" +-- Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "64" +-- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL clock +-- Retrieval info: USED_PORT: data 0 0 64 0 INPUT NODEFVAL data[63..0] +-- Retrieval info: USED_PORT: enable 0 0 0 0 INPUT NODEFVAL enable +-- Retrieval info: USED_PORT: q 0 0 64 0 OUTPUT NODEFVAL q[63..0] +-- Retrieval info: CONNECT: @clock 0 0 0 0 clock 0 0 0 0 +-- Retrieval info: CONNECT: q 0 0 64 0 @q 0 0 64 0 +-- Retrieval info: CONNECT: @enable 0 0 0 0 enable 0 0 0 0 +-- Retrieval info: CONNECT: @data 0 0 64 0 data 0 0 64 0 +-- Retrieval info: LIBRARY: lpm lpm.lpm_components.all +-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_blitter.vhd TRUE +-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_blitter.inc TRUE +-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_blitter.cmp TRUE +-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_blitter.bsf TRUE +-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_blitter_inst.vhd FALSE +-- Retrieval info: LIB_FILE: lpm diff --git a/FPGA_by_Fredi/Video/lpm_compare1_waveforms.html b/FPGA_by_Fredi/Video/lpm_compare1_waveforms.html deleted file mode 100644 index e8242d9..0000000 --- a/FPGA_by_Fredi/Video/lpm_compare1_waveforms.html +++ /dev/null @@ -1,13 +0,0 @@ - - -Sample Waveforms for lpm_compare1.vhd - - -

Sample behavioral waveforms for design file lpm_compare1.vhd

-

The following waveforms show the behavior of lpm_comparator megafunction for the chosen set of parameters in design lpm_compare1.vhd. The design lpm_compare1.vhd is 11 bit UNSIGNED comparator.

-
-

Fig. 1 : Wave showing comparator operation.

-

-

- - diff --git a/FPGA_by_Fredi/Video/lpm_fifoDZ.bsf b/FPGA_by_Fredi/Video/lpm_fifoDZ.bsf index 1e24640..3c8cdfc 100644 --- a/FPGA_by_Fredi/Video/lpm_fifoDZ.bsf +++ b/FPGA_by_Fredi/Video/lpm_fifoDZ.bsf @@ -21,53 +21,53 @@ applicable agreement for further details. (header "symbol" (version "1.1")) (symbol (rect 0 0 160 144) - (text "lpm_fifoDZ" (rect 41 2 133 21)(font "Arial" (font_size 10))) - (text "inst" (rect 8 125 31 140)(font "Arial" )) + (text "lpm_fifoDZ" (rect 50 1 120 17)(font "Arial" (font_size 10))) + (text "inst" (rect 8 128 25 140)(font "Arial" )) (port (pt 0 32) (input) - (text "data[127..0]" (rect 0 0 81 16)(font "Arial" (font_size 8))) - (text "data[127..0]" (rect 20 24 89 40)(font "Arial" (font_size 8))) + (text "data[127..0]" (rect 0 0 67 14)(font "Arial" (font_size 8))) + (text "data[127..0]" (rect 20 26 77 39)(font "Arial" (font_size 8))) (line (pt 0 32)(pt 16 32)(line_width 3)) ) (port (pt 0 56) (input) - (text "wrreq" (rect 0 0 36 16)(font "Arial" (font_size 8))) - (text "wrreq" (rect 20 48 51 64)(font "Arial" (font_size 8))) + (text "wrreq" (rect 0 0 35 14)(font "Arial" (font_size 8))) + (text "wrreq" (rect 20 50 45 63)(font "Arial" (font_size 8))) (line (pt 0 56)(pt 16 56)(line_width 1)) ) (port (pt 0 72) (input) - (text "rdreq" (rect 0 0 34 16)(font "Arial" (font_size 8))) - (text "rdreq" (rect 20 64 49 80)(font "Arial" (font_size 8))) + (text "rdreq" (rect 0 0 30 14)(font "Arial" (font_size 8))) + (text "rdreq" (rect 20 66 44 79)(font "Arial" (font_size 8))) (line (pt 0 72)(pt 16 72)(line_width 1)) ) (port (pt 0 96) (input) - (text "clock" (rect 0 0 36 16)(font "Arial" (font_size 8))) - (text "clock" (rect 26 88 57 104)(font "Arial" (font_size 8))) + (text "clock" (rect 0 0 29 14)(font "Arial" (font_size 8))) + (text "clock" (rect 26 90 49 103)(font "Arial" (font_size 8))) (line (pt 0 96)(pt 16 96)(line_width 1)) ) (port (pt 0 120) (input) - (text "aclr" (rect 0 0 24 16)(font "Arial" (font_size 8))) - (text "aclr" (rect 20 112 41 128)(font "Arial" (font_size 8))) + (text "aclr" (rect 0 0 21 14)(font "Arial" (font_size 8))) + (text "aclr" (rect 20 114 37 127)(font "Arial" (font_size 8))) (line (pt 0 120)(pt 16 120)(line_width 1)) ) (port (pt 160 32) (output) - (text "q[127..0]" (rect 0 0 60 16)(font "Arial" (font_size 8))) - (text "q[127..0]" (rect 90 24 141 40)(font "Arial" (font_size 8))) + (text "q[127..0]" (rect 0 0 49 14)(font "Arial" (font_size 8))) + (text "q[127..0]" (rect 99 26 141 39)(font "Arial" (font_size 8))) (line (pt 160 32)(pt 144 32)(line_width 3)) ) (drawing - (text "(ack)" (rect 51 67 76 81)(font "Arial" )) - (text "128 bits x 128 words" (rect 31 114 134 128)(font "Arial" )) + (text "(ack)" (rect 51 67 72 79)(font "Arial" )) + (text "128 bits x 512 words" (rect 58 116 144 128)(font "Arial" )) (line (pt 16 16)(pt 144 16)(line_width 1)) (line (pt 144 16)(pt 144 128)(line_width 1)) (line (pt 144 128)(pt 16 128)(line_width 1)) diff --git a/FPGA_by_Fredi/Video/lpm_fifoDZ.vhd b/FPGA_by_Fredi/Video/lpm_fifoDZ.vhd index 95486bb..55183b0 100644 --- a/FPGA_by_Fredi/Video/lpm_fifoDZ.vhd +++ b/FPGA_by_Fredi/Video/lpm_fifoDZ.vhd @@ -88,11 +88,11 @@ BEGIN GENERIC MAP ( add_ram_output_register => "OFF", intended_device_family => "Cyclone III", - lpm_numwords => 128, + lpm_numwords => 512, lpm_showahead => "ON", lpm_type => "scfifo", lpm_width => 128, - lpm_widthu => 7, + lpm_widthu => 9, overflow_checking => "OFF", underflow_checking => "OFF", use_eab => "ON" @@ -119,7 +119,7 @@ END SYN; -- Retrieval info: PRIVATE: AlmostFullThr NUMERIC "-1" -- Retrieval info: PRIVATE: CLOCKS_ARE_SYNCHRONIZED NUMERIC "1" -- Retrieval info: PRIVATE: Clock NUMERIC "0" --- Retrieval info: PRIVATE: Depth NUMERIC "128" +-- Retrieval info: PRIVATE: Depth NUMERIC "512" -- Retrieval info: PRIVATE: Empty NUMERIC "0" -- Retrieval info: PRIVATE: Full NUMERIC "0" -- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone III" @@ -147,11 +147,11 @@ END SYN; -- Retrieval info: PRIVATE: wsUsedW NUMERIC "0" -- Retrieval info: CONSTANT: ADD_RAM_OUTPUT_REGISTER STRING "OFF" -- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone III" --- Retrieval info: CONSTANT: LPM_NUMWORDS NUMERIC "128" +-- Retrieval info: CONSTANT: LPM_NUMWORDS NUMERIC "512" -- Retrieval info: CONSTANT: LPM_SHOWAHEAD STRING "ON" -- Retrieval info: CONSTANT: LPM_TYPE STRING "scfifo" -- Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "128" --- Retrieval info: CONSTANT: LPM_WIDTHU NUMERIC "7" +-- Retrieval info: CONSTANT: LPM_WIDTHU NUMERIC "9" -- Retrieval info: CONSTANT: OVERFLOW_CHECKING STRING "OFF" -- Retrieval info: CONSTANT: UNDERFLOW_CHECKING STRING "OFF" -- Retrieval info: CONSTANT: USE_EAB STRING "ON" diff --git a/FPGA_by_Fredi/Video/lpm_fifoDZ_waveforms.html b/FPGA_by_Fredi/Video/lpm_fifoDZ_waveforms.html deleted file mode 100644 index 467441f..0000000 --- a/FPGA_by_Fredi/Video/lpm_fifoDZ_waveforms.html +++ /dev/null @@ -1,13 +0,0 @@ - - -Sample Waveforms for "lpm_fifoDZ.vhd" - - -

Sample behavioral waveforms for design file "lpm_fifoDZ.vhd"

-

The following waveforms show the behavior of scfifo megafunction for the chosen set of parameters in design "lpm_fifoDZ.vhd". The design "lpm_fifoDZ.vhd" has a depth of 128 words of 128 bits each. The fifo is in show-ahead synchronous mode. The data becomes available before 'rdreq' is asserted; 'rdreq' acts as a read acknowledge.

-
-

Fig. 1 : Wave showing read and write operation.

-

The above waveform shows the behavior of the design under normal read and write conditions with aclr .

-

- - diff --git a/FPGA_by_Fredi/Video/lpm_fifo_dc0.bsf b/FPGA_by_Fredi/Video/lpm_fifo_dc0.bsf index 61b485b..1e46d64 100644 --- a/FPGA_by_Fredi/Video/lpm_fifo_dc0.bsf +++ b/FPGA_by_Fredi/Video/lpm_fifo_dc0.bsf @@ -4,7 +4,7 @@ editor if you plan to continue editing the block that represents it in the Block Editor! File corruption is VERY likely to occur. */ /* -Copyright (C) 1991-2008 Altera Corporation +Copyright (C) 1991-2010 Altera Corporation Your use of Altera Corporation's design tools, logic functions and other software and tools, and its AMPP partner logic functions, and any output files from any of the foregoing @@ -68,8 +68,8 @@ applicable agreement for further details. (port (pt 160 72) (output) - (text "wrusedw[8..0]" (rect 0 0 84 14)(font "Arial" (font_size 8))) - (text "wrusedw[8..0]" (rect 69 66 132 79)(font "Arial" (font_size 8))) + (text "wrusedw[10..0]" (rect 0 0 92 14)(font "Arial" (font_size 8))) + (text "wrusedw[10..0]" (rect 63 66 132 79)(font "Arial" (font_size 8))) (line (pt 160 72)(pt 144 72)(line_width 3)) ) (port @@ -79,15 +79,8 @@ applicable agreement for further details. (text "q[127..0]" (rect 99 90 141 103)(font "Arial" (font_size 8))) (line (pt 160 96)(pt 144 96)(line_width 3)) ) - (port - (pt 160 120) - (output) - (text "rdempty" (rect 0 0 46 14)(font "Arial" (font_size 8))) - (text "rdempty" (rect 102 114 140 127)(font "Arial" (font_size 8))) - (line (pt 160 120)(pt 144 120)(line_width 1)) - ) (drawing - (text "128 bits x 512 words" (rect 58 140 144 152)(font "Arial" )) + (text "128 bits x 2048 words" (rect 53 140 144 152)(font "Arial" )) (line (pt 16 16)(pt 144 16)(line_width 1)) (line (pt 144 16)(pt 144 152)(line_width 1)) (line (pt 144 152)(pt 16 152)(line_width 1)) diff --git a/FPGA_by_Fredi/Video/lpm_fifo_dc0.cmp b/FPGA_by_Fredi/Video/lpm_fifo_dc0.cmp index 08f6114..a3d9feb 100644 --- a/FPGA_by_Fredi/Video/lpm_fifo_dc0.cmp +++ b/FPGA_by_Fredi/Video/lpm_fifo_dc0.cmp @@ -1,4 +1,4 @@ ---Copyright (C) 1991-2008 Altera Corporation +--Copyright (C) 1991-2010 Altera Corporation --Your use of Altera Corporation's design tools, logic functions --and other software and tools, and its AMPP partner logic --functions, and any output files from any of the foregoing @@ -23,7 +23,6 @@ component lpm_fifo_dc0 wrclk : IN STD_LOGIC ; wrreq : IN STD_LOGIC ; q : OUT STD_LOGIC_VECTOR (127 DOWNTO 0); - rdempty : OUT STD_LOGIC ; - wrusedw : OUT STD_LOGIC_VECTOR (8 DOWNTO 0) + wrusedw : OUT STD_LOGIC_VECTOR (10 DOWNTO 0) ); end component; diff --git a/FPGA_by_Fredi/Video/lpm_fifo_dc0.inc b/FPGA_by_Fredi/Video/lpm_fifo_dc0.inc index d29fb88..d8916c9 100644 --- a/FPGA_by_Fredi/Video/lpm_fifo_dc0.inc +++ b/FPGA_by_Fredi/Video/lpm_fifo_dc0.inc @@ -1,4 +1,4 @@ ---Copyright (C) 1991-2008 Altera Corporation +--Copyright (C) 1991-2010 Altera Corporation --Your use of Altera Corporation's design tools, logic functions --and other software and tools, and its AMPP partner logic --functions, and any output files from any of the foregoing @@ -25,6 +25,5 @@ FUNCTION lpm_fifo_dc0 RETURNS ( q[127..0], - rdempty, - wrusedw[8..0] + wrusedw[10..0] ); diff --git a/FPGA_by_Fredi/Video/lpm_fifo_dc0.qip b/FPGA_by_Fredi/Video/lpm_fifo_dc0.qip index e883724..5b251c2 100644 --- a/FPGA_by_Fredi/Video/lpm_fifo_dc0.qip +++ b/FPGA_by_Fredi/Video/lpm_fifo_dc0.qip @@ -1,5 +1,5 @@ set_global_assignment -name IP_TOOL_NAME "LPM_FIFO+" -set_global_assignment -name IP_TOOL_VERSION "8.1" +set_global_assignment -name IP_TOOL_VERSION "9.1" set_global_assignment -name VHDL_FILE [file join $::quartus(qip_path) "lpm_fifo_dc0.vhd"] set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "lpm_fifo_dc0.bsf"] set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "lpm_fifo_dc0.inc"] diff --git a/FPGA_by_Fredi/Video/lpm_fifo_dc0.vhd b/FPGA_by_Fredi/Video/lpm_fifo_dc0.vhd index 8646d9c..e82b488 100644 --- a/FPGA_by_Fredi/Video/lpm_fifo_dc0.vhd +++ b/FPGA_by_Fredi/Video/lpm_fifo_dc0.vhd @@ -14,11 +14,11 @@ -- ************************************************************ -- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! -- --- 8.1 Build 163 10/28/2008 SJ Web Edition +-- 9.1 Build 350 03/24/2010 SP 2 SJ Web Edition -- ************************************************************ ---Copyright (C) 1991-2008 Altera Corporation +--Copyright (C) 1991-2010 Altera Corporation --Your use of Altera Corporation's design tools, logic functions --and other software and tools, and its AMPP partner logic --functions, and any output files from any of the foregoing @@ -49,17 +49,15 @@ ENTITY lpm_fifo_dc0 IS wrclk : IN STD_LOGIC ; wrreq : IN STD_LOGIC ; q : OUT STD_LOGIC_VECTOR (127 DOWNTO 0); - rdempty : OUT STD_LOGIC ; - wrusedw : OUT STD_LOGIC_VECTOR (8 DOWNTO 0) + wrusedw : OUT STD_LOGIC_VECTOR (10 DOWNTO 0) ); END lpm_fifo_dc0; ARCHITECTURE SYN OF lpm_fifo_dc0 IS - SIGNAL sub_wire0 : STD_LOGIC ; - SIGNAL sub_wire1 : STD_LOGIC_VECTOR (8 DOWNTO 0); - SIGNAL sub_wire2 : STD_LOGIC_VECTOR (127 DOWNTO 0); + SIGNAL sub_wire0 : STD_LOGIC_VECTOR (10 DOWNTO 0); + SIGNAL sub_wire1 : STD_LOGIC_VECTOR (127 DOWNTO 0); @@ -80,9 +78,8 @@ ARCHITECTURE SYN OF lpm_fifo_dc0 IS ); PORT ( wrclk : IN STD_LOGIC ; - rdempty : OUT STD_LOGIC ; rdreq : IN STD_LOGIC ; - wrusedw : OUT STD_LOGIC_VECTOR (8 DOWNTO 0); + wrusedw : OUT STD_LOGIC_VECTOR (10 DOWNTO 0); aclr : IN STD_LOGIC ; rdclk : IN STD_LOGIC ; q : OUT STD_LOGIC_VECTOR (127 DOWNTO 0); @@ -92,18 +89,17 @@ ARCHITECTURE SYN OF lpm_fifo_dc0 IS END COMPONENT; BEGIN - rdempty <= sub_wire0; - wrusedw <= sub_wire1(8 DOWNTO 0); - q <= sub_wire2(127 DOWNTO 0); + wrusedw <= sub_wire0(10 DOWNTO 0); + q <= sub_wire1(127 DOWNTO 0); dcfifo_component : dcfifo GENERIC MAP ( intended_device_family => "Cyclone III", - lpm_numwords => 512, + lpm_numwords => 2048, lpm_showahead => "OFF", lpm_type => "dcfifo", lpm_width => 128, - lpm_widthu => 9, + lpm_widthu => 11, overflow_checking => "OFF", rdsync_delaypipe => 6, underflow_checking => "OFF", @@ -118,9 +114,8 @@ BEGIN rdclk => rdclk, wrreq => wrreq, data => data, - rdempty => sub_wire0, - wrusedw => sub_wire1, - q => sub_wire2 + wrusedw => sub_wire0, + q => sub_wire1 ); @@ -136,7 +131,7 @@ END SYN; -- Retrieval info: PRIVATE: AlmostFullThr NUMERIC "-1" -- Retrieval info: PRIVATE: CLOCKS_ARE_SYNCHRONIZED NUMERIC "0" -- Retrieval info: PRIVATE: Clock NUMERIC "4" --- Retrieval info: PRIVATE: Depth NUMERIC "512" +-- Retrieval info: PRIVATE: Depth NUMERIC "2048" -- Retrieval info: PRIVATE: Empty NUMERIC "1" -- Retrieval info: PRIVATE: Full NUMERIC "1" -- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone III" @@ -154,7 +149,7 @@ END SYN; -- Retrieval info: PRIVATE: diff_widths NUMERIC "0" -- Retrieval info: PRIVATE: msb_usedw NUMERIC "0" -- Retrieval info: PRIVATE: output_width NUMERIC "128" --- Retrieval info: PRIVATE: rsEmpty NUMERIC "1" +-- Retrieval info: PRIVATE: rsEmpty NUMERIC "0" -- Retrieval info: PRIVATE: rsFull NUMERIC "0" -- Retrieval info: PRIVATE: rsUsedW NUMERIC "0" -- Retrieval info: PRIVATE: sc_aclr NUMERIC "0" @@ -163,11 +158,11 @@ END SYN; -- Retrieval info: PRIVATE: wsFull NUMERIC "0" -- Retrieval info: PRIVATE: wsUsedW NUMERIC "1" -- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone III" --- Retrieval info: CONSTANT: LPM_NUMWORDS NUMERIC "512" +-- Retrieval info: CONSTANT: LPM_NUMWORDS NUMERIC "2048" -- Retrieval info: CONSTANT: LPM_SHOWAHEAD STRING "OFF" -- Retrieval info: CONSTANT: LPM_TYPE STRING "dcfifo" -- Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "128" --- Retrieval info: CONSTANT: LPM_WIDTHU NUMERIC "9" +-- Retrieval info: CONSTANT: LPM_WIDTHU NUMERIC "11" -- Retrieval info: CONSTANT: OVERFLOW_CHECKING STRING "OFF" -- Retrieval info: CONSTANT: RDSYNC_DELAYPIPE NUMERIC "6" -- Retrieval info: CONSTANT: UNDERFLOW_CHECKING STRING "OFF" @@ -178,19 +173,17 @@ END SYN; -- Retrieval info: USED_PORT: data 0 0 128 0 INPUT NODEFVAL data[127..0] -- Retrieval info: USED_PORT: q 0 0 128 0 OUTPUT NODEFVAL q[127..0] -- Retrieval info: USED_PORT: rdclk 0 0 0 0 INPUT NODEFVAL rdclk --- Retrieval info: USED_PORT: rdempty 0 0 0 0 OUTPUT NODEFVAL rdempty -- Retrieval info: USED_PORT: rdreq 0 0 0 0 INPUT NODEFVAL rdreq -- Retrieval info: USED_PORT: wrclk 0 0 0 0 INPUT NODEFVAL wrclk -- Retrieval info: USED_PORT: wrreq 0 0 0 0 INPUT NODEFVAL wrreq --- Retrieval info: USED_PORT: wrusedw 0 0 9 0 OUTPUT NODEFVAL wrusedw[8..0] +-- Retrieval info: USED_PORT: wrusedw 0 0 11 0 OUTPUT NODEFVAL wrusedw[10..0] -- Retrieval info: CONNECT: @data 0 0 128 0 data 0 0 128 0 -- Retrieval info: CONNECT: q 0 0 128 0 @q 0 0 128 0 -- Retrieval info: CONNECT: @wrreq 0 0 0 0 wrreq 0 0 0 0 -- Retrieval info: CONNECT: @rdreq 0 0 0 0 rdreq 0 0 0 0 -- Retrieval info: CONNECT: @rdclk 0 0 0 0 rdclk 0 0 0 0 -- Retrieval info: CONNECT: @wrclk 0 0 0 0 wrclk 0 0 0 0 --- Retrieval info: CONNECT: rdempty 0 0 0 0 @rdempty 0 0 0 0 --- Retrieval info: CONNECT: wrusedw 0 0 9 0 @wrusedw 0 0 9 0 +-- Retrieval info: CONNECT: wrusedw 0 0 11 0 @wrusedw 0 0 11 0 -- Retrieval info: CONNECT: @aclr 0 0 0 0 aclr 0 0 0 0 -- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all -- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_fifo_dc0.vhd TRUE diff --git a/FPGA_by_Fredi/Video/lpm_fifo_dc0_waveforms.html b/FPGA_by_Fredi/Video/lpm_fifo_dc0_waveforms.html deleted file mode 100644 index 3f52c1c..0000000 --- a/FPGA_by_Fredi/Video/lpm_fifo_dc0_waveforms.html +++ /dev/null @@ -1,13 +0,0 @@ - - -Sample Waveforms for lpm_fifo_dc0.vhd - - -

Sample behavioral waveforms for design file lpm_fifo_dc0.vhd

-

The following waveforms show the behavior of dcfifo megafunction for the chosen set of parameters in design lpm_fifo_dc0.vhd. The design lpm_fifo_dc0.vhd has a depth of 512 words of 128 bits each. The fifo is in legacy synchronous mode. The data becomes available after 'rdreq' is asserted; 'rdreq' acts as a read request.

-
-

Fig. 1 : Wave showing read and write operation.

-

The above waveform shows the behavior of the design under normal read and write conditions with aclr .

-

- - diff --git a/FPGA_by_Fredi/altddio_out0.bsf b/FPGA_by_Fredi/altddio_out0.bsf deleted file mode 100644 index 9889d79..0000000 --- a/FPGA_by_Fredi/altddio_out0.bsf +++ /dev/null @@ -1,64 +0,0 @@ -/* -WARNING: Do NOT edit the input and output ports in this file in a text -editor if you plan to continue editing the block that represents it in -the Block Editor! File corruption is VERY likely to occur. -*/ -/* -Copyright (C) 1991-2008 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. -*/ -(header "symbol" (version "1.1")) -(symbol - (rect 0 0 232 120) - (text "altddio_out0" (rect 81 1 163 17)(font "Arial" (font_size 10))) - (text "inst" (rect 8 104 25 116)(font "Arial" )) - (port - (pt 0 24) - (input) - (text "datain_h" (rect 0 0 48 14)(font "Arial" (font_size 8))) - (text "datain_h" (rect 4 11 46 24)(font "Arial" (font_size 8))) - (line (pt 0 24)(pt 88 24)(line_width 1)) - ) - (port - (pt 0 40) - (input) - (text "datain_l" (rect 0 0 43 14)(font "Arial" (font_size 8))) - (text "datain_l" (rect 4 27 43 40)(font "Arial" (font_size 8))) - (line (pt 0 40)(pt 88 40)(line_width 1)) - ) - (port - (pt 0 56) - (input) - (text "outclock" (rect 0 0 47 14)(font "Arial" (font_size 8))) - (text "outclock" (rect 4 43 42 56)(font "Arial" (font_size 8))) - (line (pt 0 56)(pt 88 56)(line_width 1)) - ) - (port - (pt 232 24) - (output) - (text "dataout" (rect 0 0 42 14)(font "Arial" (font_size 8))) - (text "dataout" (rect 193 11 229 24)(font "Arial" (font_size 8))) - (line (pt 232 24)(pt 152 24)(line_width 1)) - ) - (drawing - (text "ddio" (rect 110 27 131 40)(font "Arial" (font_size 8))) - (text "output" (rect 105 42 135 55)(font "Arial" (font_size 8))) - (text "power up" (rect 92 74 129 86)(font "Arial" )) - (text "low" (rect 92 84 105 96)(font "Arial" )) - (line (pt 88 16)(pt 152 16)(line_width 1)) - (line (pt 152 16)(pt 152 96)(line_width 1)) - (line (pt 152 96)(pt 88 96)(line_width 1)) - (line (pt 88 96)(pt 88 16)(line_width 1)) - ) -) diff --git a/FPGA_by_Fredi/blitter.tdf b/FPGA_by_Fredi/blitter.tdf new file mode 100644 index 0000000..c92f2a8 --- /dev/null +++ b/FPGA_by_Fredi/blitter.tdf @@ -0,0 +1,604 @@ +-- WARNING: Do NOT edit the input and output ports in this file in a text +-- editor if you plan to continue editing the block that represents it in +-- the Block Editor! File corruption is VERY likely to occur. + +-- Copyright (C) 1991-2010 Altera Corporation +-- Your use of Altera Corporation's design tools, logic functions +-- and other software and tools, and its AMPP partner logic +-- functions, and any output files from any of the foregoing +-- (including device programming or simulation files), and any +-- associated documentation or information are expressly subject +-- to the terms and conditions of the Altera Program License +-- Subscription Agreement, Altera MegaCore Function License +-- Agreement, or other applicable license agreement, including, +-- without limitation, that your use is for the sole purpose of +-- programming logic devices manufactured by Altera and sold by +-- Altera or its authorized distributors. Please refer to the +-- applicable agreement for further details. + + +-- Generated by Quartus II Version 9.1 (Build Build 350 03/24/2010) +-- Created on Sat Jan 15 11:06:17 2011 +INCLUDE "lpm_bustri_WORD.inc"; +INCLUDE "VIDEO/BLITTER/lpm_clshift384.INC"; +INCLUDE "VIDEO/BLITTER/altsyncram0.INC"; +INCLUDE "VIDEO/BLITTER/lpm_clshift144.inc"; + +--CONSTANT BL_SKEW_LF = 255; + +-- Title Statement (optional) +TITLE "Blitter"; + + +-- Parameters Statement (optional) + +-- {{ALTERA_PARAMETERS_BEGIN}} DO NOT REMOVE THIS LINE! +-- {{ALTERA_PARAMETERS_END}} DO NOT REMOVE THIS LINE! + + +-- Subdesign Section + +SUBDESIGN BLITTER +( + -- {{ALTERA_IO_BEGIN}} DO NOT REMOVE THIS LINE! + nRSTO : INPUT; + MAIN_CLK : INPUT; + FB_ALE : INPUT; + nFB_WR : INPUT; + nFB_OE : INPUT; + FB_SIZE0 : INPUT; + FB_SIZE1 : INPUT; + VIDEO_RAM_CTR[15..0] : INPUT; + BLITTER_ON : INPUT; + FB_ADR[31..0] : INPUT; + nFB_CS1 : INPUT; + nFB_CS2 : INPUT; + nFB_CS3 : INPUT; + DDRCLK0 : INPUT; + VDP_IN[63..0] : INPUT; + BLITTER_DACK[4..0] : INPUT; + SR_BLITTER_DACK : INPUT; + BLITTER_RUN : OUTPUT; + BLITTER_INT : OUTPUT; + BLITTER_DOUT[127..0] : OUTPUT; + BLITTER_ADR[31..0] : OUTPUT; + BLITTER_SIG : OUTPUT; + BLITTER_WR : OUTPUT; + BLITTER_TA : OUTPUT; + FB_AD[31..0] : BIDIR; + -- {{ALTERA_IO_END}} DO NOT REMOVE THIS LINE! +) + +VARIABLE + FB_B[3..0] :NODE; + FB_16B[1..0] :NODE; + BLITTER_CS :NODE; + BL_HRAM_CS :NODE; + BL_HRAM_BE[1..0] :NODE; + BL_HRAM_OUT[15..0] :NODE; + BL_DPRAM_OUT[15..0] :NODE; + BL_SRC_X_INC_CS :NODE; + BL_SRC_X_INC[15..0] :DFFE; + SRC_XINC_NODE[31..0] :NODE; + BL_SRC_Y_INC_CS :NODE; + BL_SRC_Y_INC[15..0] :DFFE; + SRC_YINC_NODE[31..0] :NODE; + BL_ENDMASK1_CS :NODE; + BL_ENDMASK1[15..0] :DFFE; + BL_ENDMASK2_CS :NODE; + BL_ENDMASK2[15..0] :DFFE; + BL_ENDMASK3_CS :NODE; + BL_ENDMASK3[15..0] :DFFE; + BL_SRC_ADRH_CS :NODE; + BL_SRC_ADRL_CS :NODE; + BL_SRC_ADR[31..0] :DFFE; + SRC_IADRH_CS :NODE; + SRC_IADRL_CS :NODE; + SRC_IADR[31..0] :DFF; + SRC_IADR_CLR :NODE; + SIINC :NODE; + SRC_ADR_NODE[31..0] :NODE; + BL_DST_X_INC_CS :NODE; + BL_DST_X_INC[15..0] :DFFE; + DST_XINC_NODE[31..0] :NODE; + BL_DST_Y_INC_CS :NODE; + BL_DST_Y_INC[15..0] :DFFE; + DST_YINC_NODE[31..0] :NODE; + BL_DST_ADRH_CS :NODE; + BL_DST_ADRL_CS :NODE; + BL_DST_ADR[31..0] :DFFE; + DST_IADRH_CS :NODE; + DST_IADRL_CS :NODE; + DST_IADR[31..0] :DFF; + DST_IADR_CLR :NODE; + DST_ADR_NODE[31..0] :NODE; + DIINC :NODE; + BL_X_CNT_CS :NODE; + BL_X_CNT[15..0] :DFFE; + X_CNT_NODE[15..0] :NODE; + BL_Y_CNT_CS :NODE; + BL_Y_CNT[15..0] :DFFE; + BL_HOP_CS :NODE; + BL_HOP[7..0] :DFFE; + BL_OP[7..0] :DFFE; + BL_LN_CS :NODE; + LN7CLR :NODE; + BL_LN[7..0] :DFFE; + BL_SKEW[7..0] :DFFE; +-- barell shifter + DIST_RIGHT[8..0] :NODE; + BL_BS_SKEW[7..0] :NODE; + BL_BSIN[383..0] :NODE; + BL_BSOUT[383..0] :NODE; + SHIFT_DIR :NODE; + BL_SRC_BUF1[127..0] :DFFE; + BL_SRC_BUF2[127..0] :DFFE; + BL_SRC_BUF3[127..0] :DFFE; + BL_DST_BUFRD[127..0] :DFFE; + BL_READ_DST :NODE; -- LATCH SIGNAL DST BUF RD + BL_READ_SRC :NODE; -- LATCH SIGNAL SRC BUF + SRC_READ :NODE; -- FREIGABE LATCH SIGNAL + NOT_DST_READ :NODE; + WREN_B :NODE; -- WR ENA HALFTONE RAM + X_INDEX_CS :NODE; + X_INDEX[15..0] :DFF; -- LAUFZEIGER X COUNT + X_INDEX_CLR :NODE; + Y_INDEX_CS :NODE; + Y_INDEX[15..0] :DFF; -- LAUFZEIGER Y COUNT + Y_INDEX_CLR :NODE; + LINE_NR[3..0] :NODE; + XIINC :NODE; -- INC INDEX SPALTE + YIINC :NODE; -- INC INDEX ZEILE + ZIINC :NODE; -- INC ADRESSEN ZEILENUMBRUCH + ZYINC :NODE; -- KORREKTUR ADRESSEN WENN FERTIG + HOP_OUT[127..0] :NODE; + OP_OUT[127..0] :NODE; + ENDMASK1_SHIFT[7..0] :NODE; + ENDMASK2_SHIFT[7..0] :NODE; + ENDMASK12_IN[143..0] :NODE; + ENDMASK12_OUT[143..0] :NODE; + ENDMASK23_IN[143..0] :NODE; + ENDMASK23_OUT[143..0] :NODE; + ENDMASK123[127..0] :NODE; + DDR_RAM_FREE :NODE; + SRC_DDR_ADR[31..0] :NODE; + DST_DDR_ADR[31..0] :NODE; + +-- MAIN STATE MACHINE + BL_SM :MACHINE WITH STATES(START,NEW_LINE,NEW_LINEW,RDSRC1,RDSRC2,RDDST,WRDST,TESTZEILENENDE,TESTFERTIG,FERTIG); + +BEGIN +-- BYT SELECT 32 BIT + FB_B0 = FB_ADR[1..0]==0; -- ADR==0 + FB_B1 = FB_ADR[1..0]==1 -- ADR==1 + # FB_SIZE1 & !FB_SIZE0 & !FB_ADR1 -- HIGH WORD + # FB_SIZE1 & FB_SIZE0 # !FB_SIZE1 & !FB_SIZE0; -- LONG UND LINE + FB_B2 = FB_ADR[1..0]==2 -- ADR==2 + # FB_SIZE1 & FB_SIZE0 # !FB_SIZE1 & !FB_SIZE0; -- LONG UND LINE + FB_B3 = FB_ADR[1..0]==3 -- ADR==3 + # FB_SIZE1 & !FB_SIZE0 & FB_ADR1 -- LOW WORD + # FB_SIZE1 & FB_SIZE0 # !FB_SIZE1 & !FB_SIZE0; -- LONG UND LINE +-- BYT SELECT 16 BIT + FB_16B0 = FB_ADR[0]==0; -- ADR==0 + FB_16B1 = FB_ADR[0]==1 -- wenn ADR==1 + # !(!FB_SIZE1 & FB_SIZE0); -- or NOT BYT +-- BLITTER CS + BLITTER_CS = !nFB_CS1 & FB_ADR[19..7]==H"1F14"; -- FFFF8A00-7F + BLITTER_TA = BLITTER_CS; +-- REGISTER + -- HALFTON RAM + BL_HRAM_CS = !nFB_CS1 & FB_ADR[19..5]==H"7C50"; -- $F8A00-1F.w + BL_HRAM_BE1 = BL_HRAM_CS & FB_16B0; + BL_HRAM_BE0 = BL_HRAM_CS & FB_16B1; + WREN_B = B"0"; + LINE_NR[] = ((Y_INDEX[3..0] & !BL_DST_Y_INC15) # (!Y_INDEX[3..0] & BL_DST_Y_INC15)); + (BL_DPRAM_OUT[],BL_HRAM_OUT[]) = altsyncram0(FB_ADR[4..1],LINE_NR[],BL_HRAM_BE[],MAIN_CLK,DDRCLK0,FB_AD[31..16],FB_AD[31..16],BL_HRAM_CS & !nFB_WR,WREN_B); + -- SRC X INC + BL_SRC_X_INC[].CLK = MAIN_CLK; + BL_SRC_X_INC[] = FB_AD[31..16]; + BL_SRC_X_INC_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C510"; -- $F8A20.w + BL_SRC_X_INC[15..8].ENA = BL_SRC_X_INC_CS & !nFB_WR & FB_16B0; + BL_SRC_X_INC[7..0].ENA = BL_SRC_X_INC_CS & !nFB_WR & FB_16B1; + SRC_XINC_NODE[] = (H"FFFF0000" & BL_SRC_X_INC15) # (H"0000",BL_SRC_X_INC[]); -- ERWEITERN AUF 32 BIT + -- SRC Y INC + BL_SRC_Y_INC[].CLK = MAIN_CLK; + BL_SRC_Y_INC[] = FB_AD[31..16]; + BL_SRC_Y_INC_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C511"; -- $F8A22.w + BL_SRC_Y_INC[15..8].ENA = BL_SRC_Y_INC_CS & !nFB_WR & FB_16B0; + BL_SRC_Y_INC[7..0].ENA = BL_SRC_Y_INC_CS & !nFB_WR & FB_16B1; + SRC_YINC_NODE[] = (H"FFFF0000" & BL_SRC_Y_INC15) # (H"0000",BL_SRC_Y_INC[]); -- ERWEITERN AUF 32 BIT + -- SRC ADR HIGH + BL_SRC_ADR[].CLK = MAIN_CLK; + BL_SRC_ADR[31..16] = FB_AD[31..16]; + BL_SRC_ADRH_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C512"; -- $F8A24.w + BL_SRC_ADR[31..24].ENA = BL_SRC_ADRH_CS & !nFB_WR & FB_16B0; + BL_SRC_ADR[23..16].ENA = BL_SRC_ADRH_CS & !nFB_WR & FB_16B1; + -- SRC ADR LOW + BL_SRC_ADR[].CLK = MAIN_CLK; + BL_SRC_ADR[15..0] = FB_AD[31..16]; + BL_SRC_ADRL_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C513"; -- $F8A26.w + BL_SRC_ADR[15..8].ENA = BL_SRC_ADRL_CS & !nFB_WR & FB_16B0; + BL_SRC_ADR[7..0].ENA = BL_SRC_ADRL_CS & !nFB_WR & FB_16B1; + SRC_IADR[].CLK = DDRCLK0; + SRC_IADRH_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C520"; -- $F8A40.w + SRC_IADRL_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C521"; -- $F8A42.w + SRC_IADR_CLR = (BL_SRC_ADRL_CS # BL_SRC_ADRH_CS) & !nFB_WR; -- LÖSCHEN BEI WRITE + SRC_IADR[] = (SRC_IADR[] + (((8 * SRC_XINC_NODE[]) & SIINC) + (SRC_YINC_NODE[] & ZYINC) + ((((0,BL_X_CNT[]) - (0,X_INDEX[]) - 8) * SRC_XINC_NODE[]) & ZIINC)) & SRC_READ) & !SRC_IADR_CLR; + SRC_ADR_NODE[] = BL_SRC_ADR[] + SRC_IADR[]; -- ZUGRIFFSADRESSE THEORETISCH + -- ENDMASK 1 + BL_ENDMASK1[].CLK = MAIN_CLK; + BL_ENDMASK1[] = FB_AD[31..16]; + BL_ENDMASK1_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C514"; -- $F8A28.w + BL_ENDMASK1[15..8].ENA = BL_ENDMASK1_CS & !nFB_WR & FB_16B0; + BL_ENDMASK1[7..0].ENA = BL_ENDMASK1_CS & !nFB_WR & FB_16B1; + -- ENDMASK 2 + BL_ENDMASK2[].CLK = MAIN_CLK; + BL_ENDMASK2[] = FB_AD[31..16]; + BL_ENDMASK2_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C515"; -- $F8A2A.w + BL_ENDMASK2[15..8].ENA = BL_ENDMASK2_CS & !nFB_WR & FB_16B0; + BL_ENDMASK2[7..0].ENA = BL_ENDMASK2_CS & !nFB_WR & FB_16B1; + -- ENDMASK 3 + BL_ENDMASK3[].CLK = MAIN_CLK; + BL_ENDMASK3[] = FB_AD[31..16]; + BL_ENDMASK3_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C516"; -- $F8A2C.w + BL_ENDMASK3[15..8].ENA = BL_ENDMASK3_CS & !nFB_WR & FB_16B0; + BL_ENDMASK3[7..0].ENA = BL_ENDMASK3_CS & !nFB_WR & FB_16B1; + -- DST X INC + BL_DST_X_INC[].CLK = MAIN_CLK; + BL_DST_X_INC[] = FB_AD[31..16]; + BL_DST_X_INC_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C517"; -- $F8A2E.w + BL_DST_X_INC[15..8].ENA = BL_DST_X_INC_CS & !nFB_WR & FB_16B0; + BL_DST_X_INC[7..0].ENA = BL_DST_X_INC_CS & !nFB_WR & FB_16B1; + DST_XINC_NODE[] = (H"FFFF0000" & BL_DST_X_INC15) # (H"0000",BL_DST_X_INC[]); -- ERWEITERN AUF 32 BIT + -- DST Y INC + BL_DST_Y_INC[].CLK = MAIN_CLK; + BL_DST_Y_INC[] = FB_AD[31..16]; + BL_DST_Y_INC_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C518"; -- $F8A30.w + BL_DST_Y_INC[15..8].ENA = BL_DST_Y_INC_CS & !nFB_WR & FB_16B0; + BL_DST_Y_INC[7..0].ENA = BL_DST_Y_INC_CS & !nFB_WR & FB_16B1; + DST_YINC_NODE[] = (H"FFFF0000" & BL_DST_Y_INC15) # (H"0000",BL_DST_Y_INC[]); -- ERWEITERN AUF 32 BIT + -- DST ADR HIGH + BL_DST_ADR[].CLK = MAIN_CLK; + BL_DST_ADR[31..16] = FB_AD[31..16]; + BL_DST_ADRH_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C519"; -- $F8A32.w + BL_DST_ADR[31..24].ENA = BL_DST_ADRH_CS & !nFB_WR & FB_16B0; + BL_DST_ADR[23..16].ENA = BL_DST_ADRH_CS & !nFB_WR & FB_16B1; + -- DST ADR LOW + BL_DST_ADR[].CLK = MAIN_CLK; + BL_DST_ADR[15..0] = FB_AD[31..16]; + BL_DST_ADRL_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C51A"; -- $F8A34.w + BL_DST_ADR[15..8].ENA = BL_DST_ADRL_CS & !nFB_WR & FB_16B0; + BL_DST_ADR[7..0].ENA = BL_DST_ADRL_CS & !nFB_WR & FB_16B1; + DST_IADR[].CLK = DDRCLK0; + DST_IADRH_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C522"; -- $F8A44.w + DST_IADRL_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C523"; -- $F8A46.w + DST_IADR_CLR = (BL_DST_ADRL_CS # BL_DST_ADRH_CS) & !nFB_WR; -- LÖSCHEN BEI WRITE + DST_IADR[] = (DST_IADR[] + ((8 * DST_XINC_NODE[]) & DIINC) + (DST_YINC_NODE[] & ZYINC) + ((((0,BL_X_CNT[]) - (0,X_INDEX[])) * DST_XINC_NODE[]) & ZIINC)) & !DST_IADR_CLR; + DST_ADR_NODE[] = BL_DST_ADR[] + DST_IADR[]; -- ZUGRIFFSADRESSE THEORETISCH + -- X COUNT + BL_X_CNT[].CLK = MAIN_CLK; + BL_X_CNT[] = FB_AD[31..16]; + BL_X_CNT_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C51B"; -- $F8A36.w + BL_X_CNT[15..8].ENA = BL_X_CNT_CS & !nFB_WR & FB_16B0; + BL_X_CNT[7..0].ENA = BL_X_CNT_CS & !nFB_WR & FB_16B1; + X_INDEX[].CLK = DDRCLK0; + X_INDEX_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C524"; -- $F8A48.w + X_INDEX_CLR = BL_X_CNT_CS & !nFB_WR; -- LÖSCHEN BEI WRITE + X_INDEX[] = (X_INDEX[] + (8 & XIINC) + ((BL_X_CNT[] - X_INDEX[]) & ZIINC)) & !X_INDEX_CLR; + X_CNT_NODE[] = X_INDEX[] - ((0,DST_ADR_NODE[3..1]) & (X_INDEX[]!=0));-- EFFEKTIV GELESENE + -- Y COUNT + BL_Y_CNT[].CLK = MAIN_CLK; + BL_Y_CNT[] = FB_AD[31..16]; + BL_Y_CNT_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C51C"; -- $F8A38.w + BL_Y_CNT[15..8].ENA = BL_Y_CNT_CS & !nFB_WR & FB_16B0; + BL_Y_CNT[7..0].ENA = BL_Y_CNT_CS & !nFB_WR & FB_16B1; + Y_INDEX[].CLK = DDRCLK0; + Y_INDEX_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C525"; -- $F8A4A.w + Y_INDEX_CLR = BL_Y_CNT_CS & !nFB_WR; -- LÖSCHEN BEI WRITE + Y_INDEX[] = (Y_INDEX[] + (1 & YIINC)) & !Y_INDEX_CLR; + -- HOP LOGIC + BL_HOP[].CLK = MAIN_CLK; + BL_HOP[] = FB_AD[31..24]; + BL_HOP_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C51D"; -- $F8A3A.w + BL_HOP[7..0].ENA = BL_HOP_CS & !nFB_WR & FB_16B0; -- $F8A3A + -- OP LOGIC + BL_OP[].CLK = MAIN_CLK; + BL_OP[] = FB_AD[23..16]; + BL_OP[7..0].ENA = BL_HOP_CS & !nFB_WR & FB_16B1; -- $F8A3B + -- LINE NUMBER BYT + BL_LN[].CLK = MAIN_CLK; + BL_LN[6..0] = FB_AD[30..24]; + BL_LN7 = FB_AD31 & !LN7CLR; -- BUSY HOG UND SMUDGE + BL_LN_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C51E"; -- $F8A3C.w + BL_LN[].ENA = BL_LN_CS & !nFB_WR & FB_16B0; -- $F8A3C + BL_LN7.ENA = LN7CLR; + -- SKEW BYT + BL_SKEW[].CLK = MAIN_CLK; + BL_SKEW[] = FB_AD[23..16]; + BL_SKEW[].ENA = BL_LN_CS & !nFB_WR & FB_16B1; -- $F8A3D +--- REGISTER OUT + FB_AD[31..16] = lpm_bustri_WORD( + BL_HRAM_CS & BL_DPRAM_OUT[] + # BL_SRC_X_INC_CS & BL_SRC_X_INC[] + # BL_SRC_Y_INC_CS & BL_SRC_Y_INC[] + # BL_SRC_ADRH_CS & SRC_ADR_NODE[31..16] + # BL_SRC_ADRL_CS & SRC_ADR_NODE[15..0] + # BL_ENDMASK1_CS & BL_ENDMASK1[] + # BL_ENDMASK2_CS & BL_ENDMASK2[] + # BL_ENDMASK3_CS & BL_ENDMASK3[] + # BL_DST_X_INC_CS & BL_DST_X_INC[] + # BL_DST_Y_INC_CS & BL_DST_Y_INC[] + # BL_DST_ADRH_CS & DST_ADR_NODE[31..16] + # BL_DST_ADRL_CS & DST_ADR_NODE[15..0] + # BL_X_CNT_CS & (BL_X_CNT[]-X_INDEX[]) + # BL_Y_CNT_CS & (BL_Y_CNT[]-Y_INDEX[]) + # BL_HOP_CS & (BL_HOP[],BL_OP[]) + # BL_LN_CS & (BL_LN[7..4],Y_INDEX[3..0],BL_SKEW[]) + # SRC_IADRH_CS & SRC_IADR[31..16] + # SRC_IADRL_CS & SRC_IADR[15..0] + # DST_IADRH_CS & DST_IADR[31..16] + # DST_IADRL_CS & DST_IADR[15..0] + # X_INDEX_CS & X_INDEX[] + # Y_INDEX_CS & Y_INDEX[] + ,BLITTER_CS & !nFB_OE); -- FFFF8A00-7F +----------------------------------------- +-- SRC BUFFER LADEN + BL_SRC_BUF1[].CLK = DDRCLK0; + BL_SRC_BUF1[127..64].ENA = BLITTER_DACK1 & BL_READ_SRC; + BL_SRC_BUF1[63..0].ENA = BLITTER_DACK0 & BL_READ_SRC; + BL_SRC_BUF1[] = (VDP_IN[],VDP_IN[]); + BL_SRC_BUF2[].CLK = DDRCLK0; + BL_SRC_BUF2[127..64].ENA = BLITTER_DACK1 & BL_READ_SRC; + BL_SRC_BUF2[63..0].ENA = BLITTER_DACK0 & BL_READ_SRC; + BL_SRC_BUF2[] = BL_SRC_BUF1[]; + BL_SRC_BUF3[].CLK = DDRCLK0; + BL_SRC_BUF3[127..64].ENA = BLITTER_DACK1 & BL_READ_SRC; + BL_SRC_BUF3[63..0].ENA = BLITTER_DACK0 & BL_READ_SRC; + BL_SRC_BUF3[] = BL_SRC_BUF2[]; + -- ZUORDNUNG --------- + IF BL_SRC_X_INC15 THEN -- WENN NEGATIV -> REIHENFOLGE KEHREN + CASE BL_HOP[7..4] IS -- SPIEGELN? + WHEN H"0" => -- LINE WEISE + BL_BSIN[127..0] = BL_SRC_BUF3[]; + BL_BSIN[255..128] = BL_SRC_BUF2[]; + BL_BSIN[383..256] = BL_SRC_BUF1[]; + WHEN H"1" => --- BIT WEISE + BL_BSIN[0..127] = BL_SRC_BUF3[]; + BL_BSIN[128..255] = BL_SRC_BUF2[]; + BL_BSIN[256..383] = BL_SRC_BUF1[]; + WHEN H"2" => -- BYT WEISE + BL_BSIN[127..0] = (BL_SRC_BUF3[7..0],BL_SRC_BUF3[15..8],BL_SRC_BUF3[23..16],BL_SRC_BUF3[31..24],BL_SRC_BUF3[39..32],BL_SRC_BUF3[47..40],BL_SRC_BUF3[55..48],BL_SRC_BUF3[63..56],BL_SRC_BUF3[71..64],BL_SRC_BUF3[79..72],BL_SRC_BUF3[87..80],BL_SRC_BUF3[95..88],BL_SRC_BUF3[103..96],BL_SRC_BUF3[111..104],BL_SRC_BUF3[119..112],BL_SRC_BUF3[127..120]); + BL_BSIN[255..128] = (BL_SRC_BUF2[7..0],BL_SRC_BUF2[15..8],BL_SRC_BUF2[23..16],BL_SRC_BUF2[31..24],BL_SRC_BUF2[39..32],BL_SRC_BUF2[47..40],BL_SRC_BUF2[55..48],BL_SRC_BUF2[63..56],BL_SRC_BUF2[71..64],BL_SRC_BUF2[79..72],BL_SRC_BUF2[87..80],BL_SRC_BUF2[95..88],BL_SRC_BUF2[103..96],BL_SRC_BUF2[111..104],BL_SRC_BUF2[119..112],BL_SRC_BUF2[127..120]); + BL_BSIN[383..256] = (BL_SRC_BUF1[7..0],BL_SRC_BUF1[15..8],BL_SRC_BUF1[23..16],BL_SRC_BUF1[31..24],BL_SRC_BUF1[39..32],BL_SRC_BUF1[47..40],BL_SRC_BUF1[55..48],BL_SRC_BUF1[63..56],BL_SRC_BUF1[71..64],BL_SRC_BUF1[79..72],BL_SRC_BUF1[87..80],BL_SRC_BUF1[95..88],BL_SRC_BUF1[103..96],BL_SRC_BUF1[111..104],BL_SRC_BUF1[119..112],BL_SRC_BUF1[127..120]); + WHEN H"3" => -- WORD WEISE + BL_BSIN[127..0] = (BL_SRC_BUF3[15..0],BL_SRC_BUF3[31..16],BL_SRC_BUF3[47..32],BL_SRC_BUF3[63..48],BL_SRC_BUF3[79..64],BL_SRC_BUF3[95..80],BL_SRC_BUF3[111..96],BL_SRC_BUF3[127..112]); + BL_BSIN[255..128] = (BL_SRC_BUF2[15..0],BL_SRC_BUF2[31..16],BL_SRC_BUF2[47..32],BL_SRC_BUF2[63..48],BL_SRC_BUF2[79..64],BL_SRC_BUF2[95..80],BL_SRC_BUF2[111..96],BL_SRC_BUF2[127..112]); + BL_BSIN[383..256] = (BL_SRC_BUF1[15..0],BL_SRC_BUF1[31..16],BL_SRC_BUF1[47..32],BL_SRC_BUF1[63..48],BL_SRC_BUF1[79..64],BL_SRC_BUF1[95..80],BL_SRC_BUF1[111..96],BL_SRC_BUF1[127..112]); + WHEN H"4" => -- LONG WEISE + BL_BSIN[127..0] = (BL_SRC_BUF3[31..0],BL_SRC_BUF3[63..32],BL_SRC_BUF3[95..64],BL_SRC_BUF3[127..96]); + BL_BSIN[255..128] = (BL_SRC_BUF2[31..0],BL_SRC_BUF2[63..32],BL_SRC_BUF2[95..64],BL_SRC_BUF2[127..96]); + BL_BSIN[383..256] = (BL_SRC_BUF1[31..0],BL_SRC_BUF1[63..32],BL_SRC_BUF1[95..64],BL_SRC_BUF1[127..96]); + WHEN OTHERS => -- LINE WEISE + BL_BSIN[127..0] = BL_SRC_BUF3[]; + BL_BSIN[255..128] = BL_SRC_BUF2[]; + BL_BSIN[383..256] = BL_SRC_BUF1[]; + END CASE; + ELSE -- SONST NORMAL BEI VORWÄRTS + BL_BSIN[127..0] = BL_SRC_BUF1[]; + BL_BSIN[255..128] = BL_SRC_BUF2[]; + BL_BSIN[383..256] = BL_SRC_BUF3[]; + END IF; +-- DST BUFFER READ + BL_DST_BUFRD[].CLK = DDRCLK0; + BL_DST_BUFRD[127..64].ENA = BLITTER_DACK1 & BL_READ_DST; + BL_DST_BUFRD[63..0].ENA = BLITTER_DACK0 & BL_READ_DST; + BL_DST_BUFRD[] = (VDP_IN[],VDP_IN[]); +-- barell shift ***************************************************************************** +-- SOURCE SHIFT RIGHT = LPM_CSHIFT RIGTH ;SKEW SHIFT: IF FXRS==0 THEN RIGHT ELSE LEFT + DIST_RIGHT[] = (16 * ((0,DST_ADR_NODE[3..1]) - (0,SRC_ADR_NODE[3..1]))) + (!BL_SKEW7 & (0,BL_SKEW[3..0])) - (BL_SKEW7 & (0,BL_SKEW[3..0])); + IF DIST_RIGHT8 == 0 THEN + BL_BS_SKEW[] = DIST_RIGHT[7..0]; -- LPM SHIFT RIGHT + SHIFT_DIR = VCC; -- DIR = RIGHT + else + BL_BS_SKEW[] = !DIST_RIGHT[3..0] + 1; -- LPM SHIFT LEFT + SHIFT_DIR = GND; -- DIR = LEFT + end if; +-- barell shifter: direction 0=links 1=rechts IN BEZUG AUF ausgabewert! + BL_BSOUT[] = lpm_clshift384(BL_BSIN[], SHIFT_DIR , BL_BS_SKEW[]); -- wir brauchen 128bit +-- HOP *************************************************************************************** + CASE BL_HOP[1..0] IS + WHEN H"0" => + -- 12345678901234567890123456789012 + HOP_OUT[] = H"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF"; + WHEN H"1" => + HOP_OUT[] = (BL_HRAM_OUT[],BL_HRAM_OUT[],BL_HRAM_OUT[],BL_HRAM_OUT[],BL_HRAM_OUT[],BL_HRAM_OUT[],BL_HRAM_OUT[],BL_HRAM_OUT[]); + WHEN H"2" => + HOP_OUT[] = BL_BSOUT[255..128]; + WHEN OTHERS => + HOP_OUT[] = (BL_BSOUT[255..128] & (BL_HRAM_OUT[],BL_HRAM_OUT[],BL_HRAM_OUT[],BL_HRAM_OUT[],BL_HRAM_OUT[],BL_HRAM_OUT[],BL_HRAM_OUT[],BL_HRAM_OUT[])); + END CASE; +-- OP ***************************************************************************************** + CASE BL_OP[3..0] IS + WHEN H"0" => + OP_OUT[] = H"0"; + SRC_READ = B"0"; + WHEN H"1" => + OP_OUT[] = HOP_OUT[] & BL_DST_BUFRD[]; + SRC_READ = BL_HOP1 # BL_HOP0; + WHEN H"2" => + OP_OUT[] = HOP_OUT[] & !BL_DST_BUFRD[]; + SRC_READ = BL_HOP1 # BL_HOP0; + WHEN H"3" => + OP_OUT[] = HOP_OUT[]; + SRC_READ = BL_HOP1 # BL_HOP0; + WHEN H"4" => + OP_OUT[] = !HOP_OUT[] & BL_DST_BUFRD[]; + SRC_READ = BL_HOP1 # BL_HOP0; + WHEN H"5" => + OP_OUT[] = BL_DST_BUFRD[]; + SRC_READ = B"0"; + WHEN H"6" => + OP_OUT[] = HOP_OUT[] $ BL_DST_BUFRD[]; + SRC_READ = BL_HOP1 # BL_HOP0; + WHEN H"7" => + OP_OUT[] = HOP_OUT[] # BL_DST_BUFRD[]; + SRC_READ = BL_HOP1 # BL_HOP0; + WHEN H"8" => + OP_OUT[] = !HOP_OUT[] & !BL_DST_BUFRD[]; + SRC_READ = BL_HOP1 # BL_HOP0; + WHEN H"9" => + OP_OUT[] = !HOP_OUT[] $ BL_DST_BUFRD[]; + SRC_READ = BL_HOP1 # BL_HOP0; + WHEN H"A" => + OP_OUT[] = !BL_DST_BUFRD[]; + SRC_READ = B"0"; + WHEN H"B" => + OP_OUT[] = HOP_OUT[] # !BL_DST_BUFRD[]; + SRC_READ = BL_HOP1 # BL_HOP0; + WHEN H"C" => + OP_OUT[] = !HOP_OUT[]; + SRC_READ = BL_HOP1 # BL_HOP0; + WHEN H"D" => + OP_OUT[] = !HOP_OUT[] # BL_DST_BUFRD[]; + SRC_READ = BL_HOP1 # BL_HOP0; + WHEN H"E" => + OP_OUT[] = !HOP_OUT[] # !BL_DST_BUFRD[]; + SRC_READ = BL_HOP1 # BL_HOP0; + WHEN OTHERS => + -- 12345678901234567890123456789012 + OP_OUT[] = H"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF"; + SRC_READ = B"0"; + END CASE; +------------ ENDMASKEN SETZEN ****************************************************************************** + ENDMASK1_SHIFT[3..0] = 0; + ENDMASK2_SHIFT[3..0] = 0; + IF BL_DST_X_INC15 THEN ---------------------------- RÜCKWÄRTS X_INC NEGATIV + IF X_INDEX[]==0 THEN -- ENDE? + ENDMASK2_SHIFT[7..4] = 1 + (0,(DST_ADR_NODE[3..1])); -- JA ENDMASK 3 SETZEN + ELSE + ENDMASK2_SHIFT[7..4] = 0; -- NEIN -> ENDMASK 3 AUF ENDMASK2 SETZEN + END IF; + IF BL_X_CNT[]<=(X_CNT_NODE[] + 8) THEN -- SCHON ZEILENANFANG? + ENDMASK1_SHIFT[7..4] = 1 + (0,(DST_ADR_NODE[3..1])); -- JA ENDMASK 3 SETZEN + ELSE + ENDMASK1_SHIFT[7..4] = 0; -- NEIN -> ENDMASK 3 AUF ENDMASK2 SETZEN + END IF; + ELSE ------------------------------------------- VORWÄRTS X_INC POSITIV + IF X_INDEX[]==0 THEN -- ANFANG? + ENDMASK1_SHIFT[7..4] = 1 + (0,(DST_ADR_NODE[3..1])); -- JA -> ENDMASK 1 SETZEN + ELSE + ENDMASK1_SHIFT[7..4] = 0; -- NEIN->ENDMASK1 AUF ENDMASK2 SETZEN + END IF; + IF BL_X_CNT[]<=(X_CNT_NODE[] + 8) THEN -- SCHON ZEILENENDE? + ENDMASK2_SHIFT[7..4] = 1 + (0,(DST_ADR_NODE[3..1])); -- JA ENDMASK 3 SETZEN + ELSE + ENDMASK2_SHIFT[7..4] = 0; -- NOCH NICHT AKTIV->ENDMASK 3 AUF ENDMASK2 SETZEN + END IF; + END IF; +-- ENDMASKEN -- barell shifter 144 bit, direction 0 = links 1 = rechts +-- 1234567890123456789012345678 + ENDMASK12_IN[] = (BL_ENDMASK1[],BL_ENDMASK2[],BL_ENDMASK2[],BL_ENDMASK2[],BL_ENDMASK2[],BL_ENDMASK2[],BL_ENDMASK2[],BL_ENDMASK2[],BL_ENDMASK2[]); + ENDMASK12_OUT[] = lpm_clshift144(ENDMASK12_IN[],1,ENDMASK1_SHIFT[]); -- IMMER rechts SCHIEBEN + ENDMASK23_IN[] = (BL_ENDMASK2[],BL_ENDMASK2[],BL_ENDMASK2[],BL_ENDMASK2[],BL_ENDMASK2[],BL_ENDMASK2[],BL_ENDMASK2[],BL_ENDMASK2[],BL_ENDMASK3[]); + ENDMASK23_OUT[] = lpm_clshift144(ENDMASK23_IN[],0,ENDMASK2_SHIFT[]); -- IMMER LINKS SCHIEBEN + ENDMASK123[] = ENDMASK12_OUT[127..0] & ENDMASK23_OUT[143..16]; + BLITTER_DOUT[] = ((ENDMASK123[] & OP_OUT[]) # (!ENDMASK123[] & BL_DST_BUFRD[])); + NOT_DST_READ = BL_OP[3..0]==(H"0" # H"3" # H"C" # H"F") & (ENDMASK123[]==-1); +-- STATE MACHINE **************************************************************************************************** + BLITTER_RUN = BLITTER_ON; -- BLITTER IST DA! + DDR_RAM_FREE = BLITTER_DACK[]==H"0"; -- 0 WENN FREI + BLITTER_ADR[3..0] = H"0"; -- IMMER LINE + SRC_DDR_ADR[] = (SRC_ADR_NODE[] - (0,(16 & BL_SRC_X_INC15))); -- WENN RÜCKWÄRTS NEXT ADRESS SRC + DST_DDR_ADR[] = (DST_ADR_NODE[] - (0,(16 & BL_DST_X_INC15))); -- WENN RÜCKWÄRTS NEXT ADRESS DST +-- BLITTER MAIN STATE MACHINE ----------------------------------------------- + BL_SM.CLK = DDRCLK0; + CASE BL_SM IS + WHEN START => ------------------------- START + IF BLITTER_ON & BL_LN7 & ((BL_X_CNT[] - X_CNT_NODE[])>0) & ((BL_Y_CNT[] - Y_INDEX[]) > 0) THEN + BL_SM = NEW_LINE; + ELSE + BL_SM = START; + END IF; + WHEN NEW_LINE => ----------------------- NEU LINIE + X_INDEX_CLR = VCC; -- LÖSCHEN + BL_SM = RDSRC1; + WHEN RDSRC1 => ------------------------ READ SRC1 + IF SRC_READ THEN + BLITTER_ADR[31..4] = SRC_DDR_ADR[31..4]; + BLITTER_SIG = DDR_RAM_FREE; + BL_READ_SRC = VCC; -- LATCH UND SB1->SB2 + IF BLITTER_DACK0 THEN + SIINC = VCC; -- INC SRC ADR + BL_SM = RDSRC2; + ELSE + BL_SM = RDSRC1; + END IF; + ELSE + BL_SM = RDDST; + END IF; + WHEN RDSRC2 => ------------------------ READ SRC2 + IF SRC_READ THEN + BLITTER_ADR[31..4] = SRC_DDR_ADR[31..4]; + BLITTER_SIG = DDR_RAM_FREE; + BL_READ_SRC = VCC; -- LATCH UND SB1->SB2 + IF BLITTER_DACK0 THEN + SIINC = VCC; -- INC SRC ADR + BL_SM = RDDST; + ELSE + BL_SM = RDSRC2; + END IF; + ELSE + BL_SM = RDDST; + END IF; + WHEN RDDST => ----------------------- READ DEST + IF NOT_DST_READ THEN + BL_SM = WRDST; + ELSE + BLITTER_ADR[31..4] = DST_DDR_ADR[31..4]; + BLITTER_SIG = DDR_RAM_FREE; + BL_READ_DST = VCC; + IF BLITTER_DACK0 THEN + BL_SM = WRDST; + ELSE + BL_SM = RDDST; + END IF; + END IF; + WHEN WRDST => ------------------- WRITE DEST + BLITTER_ADR[31..4] = DST_DDR_ADR[31..4]; + BLITTER_WR = DDR_RAM_FREE; + BLITTER_SIG = DDR_RAM_FREE; + IF BLITTER_DACK0 THEN + XIINC = VCC; -- INC X_INDEX + DIINC = VCC; -- INC DEST ADR + BL_SM = TESTZEILENENDE; + ELSE + BL_SM = WRDST; + END IF; + WHEN TESTZEILENENDE => ----------------- ZEILENDE? + IF BL_X_CNT[]<=(X_CNT_NODE[]) THEN -- SCHON ZEILENENDE? + YIINC = VCC; -- JA -> INC Y-INDEX UND ZEILE SRC UND DEST + BL_SM = TESTFERTIG; -- -> + ELSE + BL_SM = RDSRC2; -- NEIN NEXT + END IF; + WHEN TESTFERTIG => --------------------- TEST AUF FERTIG + ZIINC = VCC; -- INC ADRESSEN ZEILENUMBRUCH + IF Y_INDEX[]>=BL_Y_CNT[] THEN -- LETZTE ZEILE? + BL_SM = FERTIG; -- JA --> + ELSE + ZYINC = VCC; -- YINC ADDIEREN ZEILENENDE + BL_SM = NEW_LINE; -- NEIN NEXT -> + END IF; + WHEN FERTIG => -------------------------- FERTIG + BLITTER_INT = VCC; -- BLITTER INTERRUPT + LN7CLR = VCC; -- BUSY BIT LÖSCHEN + IF BL_LN7==0 THEN -- WARTEN BIS GELÖSCHT (GEHT NUR MIT 33MHz) + BL_SM = START; + ELSE + BL_SM = FERTIG; + END IF; + WHEN OTHERS => + BL_SM = FERTIG; + END CASE; +END; + diff --git a/FPGA_by_Fredi/blitter.tdf.bak b/FPGA_by_Fredi/blitter.tdf.bak new file mode 100644 index 0000000..f06d03b --- /dev/null +++ b/FPGA_by_Fredi/blitter.tdf.bak @@ -0,0 +1,604 @@ +-- WARNING: Do NOT edit the input and output ports in this file in a text +-- editor if you plan to continue editing the block that represents it in +-- the Block Editor! File corruption is VERY likely to occur. + +-- Copyright (C) 1991-2010 Altera Corporation +-- Your use of Altera Corporation's design tools, logic functions +-- and other software and tools, and its AMPP partner logic +-- functions, and any output files from any of the foregoing +-- (including device programming or simulation files), and any +-- associated documentation or information are expressly subject +-- to the terms and conditions of the Altera Program License +-- Subscription Agreement, Altera MegaCore Function License +-- Agreement, or other applicable license agreement, including, +-- without limitation, that your use is for the sole purpose of +-- programming logic devices manufactured by Altera and sold by +-- Altera or its authorized distributors. Please refer to the +-- applicable agreement for further details. + + +-- Generated by Quartus II Version 9.1 (Build Build 350 03/24/2010) +-- Created on Sat Jan 15 11:06:17 2011 +INCLUDE "lpm_bustri_WORD.inc"; +INCLUDE "VIDEO/BLITTER/lpm_clshift384.INC"; +INCLUDE "VIDEO/BLITTER/altsyncram0.INC"; +INCLUDE "VIDEO/BLITTER/lpm_clshift144.inc"; + +--CONSTANT BL_SKEW_LF = 255; + +-- Title Statement (optional) +TITLE "Blitter"; + + +-- Parameters Statement (optional) + +-- {{ALTERA_PARAMETERS_BEGIN}} DO NOT REMOVE THIS LINE! +-- {{ALTERA_PARAMETERS_END}} DO NOT REMOVE THIS LINE! + + +-- Subdesign Section + +SUBDESIGN BLITTER +( + -- {{ALTERA_IO_BEGIN}} DO NOT REMOVE THIS LINE! + nRSTO : INPUT; + MAIN_CLK : INPUT; + FB_ALE : INPUT; + nFB_WR : INPUT; + nFB_OE : INPUT; + FB_SIZE0 : INPUT; + FB_SIZE1 : INPUT; + VIDEO_RAM_CTR[15..0] : INPUT; + BLITTER_ON : INPUT; + FB_ADR[31..0] : INPUT; + nFB_CS1 : INPUT; + nFB_CS2 : INPUT; + nFB_CS3 : INPUT; + DDRCLK0 : INPUT; + VDP_IN[63..0] : INPUT; + BLITTER_DACK[4..0] : INPUT; + SR_BLITTER_DACK : INPUT; + BLITTER_RUN : OUTPUT; + BLITTER_INT : OUTPUT; + BLITTER_DOUT[127..0] : OUTPUT; + BLITTER_ADR[31..0] : OUTPUT; + BLITTER_SIG : OUTPUT; + BLITTER_WR : OUTPUT; + BLITTER_TA : OUTPUT; + FB_AD[31..0] : BIDIR; + -- {{ALTERA_IO_END}} DO NOT REMOVE THIS LINE! +) + +VARIABLE + FB_B[3..0] :NODE; + FB_16B[1..0] :NODE; + BLITTER_CS :NODE; + BL_HRAM_CS :NODE; + BL_HRAM_BE[1..0] :NODE; + BL_HRAM_OUT[15..0] :NODE; + BL_DPRAM_OUT[15..0] :NODE; + BL_SRC_X_INC_CS :NODE; + BL_SRC_X_INC[15..0] :DFFE; + SRC_XINC_NODE[31..0] :NODE; + BL_SRC_Y_INC_CS :NODE; + BL_SRC_Y_INC[15..0] :DFFE; + SRC_YINC_NODE[31..0] :NODE; + BL_ENDMASK1_CS :NODE; + BL_ENDMASK1[15..0] :DFFE; + BL_ENDMASK2_CS :NODE; + BL_ENDMASK2[15..0] :DFFE; + BL_ENDMASK3_CS :NODE; + BL_ENDMASK3[15..0] :DFFE; + BL_SRC_ADRH_CS :NODE; + BL_SRC_ADRL_CS :NODE; + BL_SRC_ADR[31..0] :DFFE; + SRC_IADRH_CS :NODE; + SRC_IADRL_CS :NODE; + SRC_IADR[31..0] :DFF; + SRC_IADR_CLR :NODE; + SIINC :NODE; + SRC_ADR_NODE[31..0] :NODE; + BL_DST_X_INC_CS :NODE; + BL_DST_X_INC[15..0] :DFFE; + DST_XINC_NODE[31..0] :NODE; + BL_DST_Y_INC_CS :NODE; + BL_DST_Y_INC[15..0] :DFFE; + DST_YINC_NODE[31..0] :NODE; + BL_DST_ADRH_CS :NODE; + BL_DST_ADRL_CS :NODE; + BL_DST_ADR[31..0] :DFFE; + DST_IADRH_CS :NODE; + DST_IADRL_CS :NODE; + DST_IADR[31..0] :DFF; + DST_IADR_CLR :NODE; + DST_ADR_NODE[31..0] :NODE; + DIINC :NODE; + BL_X_CNT_CS :NODE; + BL_X_CNT[15..0] :DFFE; + X_CNT_NODE[15..0] :NODE; + BL_Y_CNT_CS :NODE; + BL_Y_CNT[15..0] :DFFE; + BL_HOP_CS :NODE; + BL_HOP[7..0] :DFFE; + BL_OP[7..0] :DFFE; + BL_LN_CS :NODE; + LN7CLR :NODE; + BL_LN[7..0] :DFFE; + BL_SKEW[7..0] :DFFE; +-- barell shifter + DIST_RIGHT[8..0] :NODE; + BL_BS_SKEW[7..0] :NODE; + BL_BSIN[383..0] :NODE; + BL_BSOUT[383..0] :NODE; + SHIFT_DIR :NODE; + BL_SRC_BUF1[127..0] :DFFE; + BL_SRC_BUF2[127..0] :DFFE; + BL_SRC_BUF3[127..0] :DFFE; + BL_DST_BUFRD[127..0] :DFFE; + BL_READ_DST :NODE; -- LATCH SIGNAL DST BUF RD + BL_READ_SRC :NODE; -- LATCH SIGNAL SRC BUF + SRC_READ :NODE; -- FREIGABE LATCH SIGNAL + NOT_DST_READ :NODE; + WREN_B :NODE; -- WR ENA HALFTONE RAM + X_INDEX_CS :NODE; + X_INDEX[15..0] :DFF; -- LAUFZEIGER X COUNT + X_INDEX_CLR :NODE; + Y_INDEX_CS :NODE; + Y_INDEX[15..0] :DFF; -- LAUFZEIGER Y COUNT + Y_INDEX_CLR :NODE; + LINE_NR[3..0] :NODE; + XIINC :NODE; -- INC INDEX SPALTE + YIINC :NODE; -- INC INDEX ZEILE + ZIINC :NODE; -- INC ADRESSEN ZEILENUMBRUCH + ZYINC :NODE; -- KORREKTUR ADRESSEN WENN FERTIG + HOP_OUT[127..0] :NODE; + OP_OUT[127..0] :NODE; + ENDMASK1_SHIFT[7..0] :NODE; + ENDMASK2_SHIFT[7..0] :NODE; + ENDMASK12_IN[143..0] :NODE; + ENDMASK12_OUT[143..0] :NODE; + ENDMASK23_IN[143..0] :NODE; + ENDMASK23_OUT[143..0] :NODE; + ENDMASK123[127..0] :NODE; + DDR_RAM_FREE :NODE; + SRC_DDR_ADR[31..0] :NODE; + DST_DDR_ADR[31..0] :NODE; + +-- MAIN STATE MACHINE + BL_SM :MACHINE WITH STATES(START,NEW_LINE,NEW_LINEW,RDSRC1,RDSRC2,RDDST,WRDST,TESTZEILENENDE,TESTFERTIG,FERTIG); + +BEGIN +-- BYT SELECT 32 BIT + FB_B0 = FB_ADR[1..0]==0; -- ADR==0 + FB_B1 = FB_ADR[1..0]==1 -- ADR==1 + # FB_SIZE1 & !FB_SIZE0 & !FB_ADR1 -- HIGH WORD + # FB_SIZE1 & FB_SIZE0 # !FB_SIZE1 & !FB_SIZE0; -- LONG UND LINE + FB_B2 = FB_ADR[1..0]==2 -- ADR==2 + # FB_SIZE1 & FB_SIZE0 # !FB_SIZE1 & !FB_SIZE0; -- LONG UND LINE + FB_B3 = FB_ADR[1..0]==3 -- ADR==3 + # FB_SIZE1 & !FB_SIZE0 & FB_ADR1 -- LOW WORD + # FB_SIZE1 & FB_SIZE0 # !FB_SIZE1 & !FB_SIZE0; -- LONG UND LINE +-- BYT SELECT 16 BIT + FB_16B0 = FB_ADR[0]==0; -- ADR==0 + FB_16B1 = FB_ADR[0]==1 -- wenn ADR==1 + # !(!FB_SIZE1 & FB_SIZE0); -- or NOT BYT +-- BLITTER CS + BLITTER_CS = !nFB_CS1 & FB_ADR[19..7]==H"1F14"; -- FFFF8A00-7F + BLITTER_TA = BLITTER_CS; +-- REGISTER + -- HALFTON RAM + BL_HRAM_CS = !nFB_CS1 & FB_ADR[19..5]==H"7C50"; -- $F8A00-1F.w + BL_HRAM_BE1 = BL_HRAM_CS & FB_16B0; + BL_HRAM_BE0 = BL_HRAM_CS & FB_16B1; + WREN_B = B"0"; + LINE_NR[] = ((Y_INDEX[3..0] & !BL_DST_Y_INC15) # (!Y_INDEX[3..0] & BL_DST_Y_INC15)); + (BL_DPRAM_OUT[],BL_HRAM_OUT[]) = altsyncram0(FB_ADR[4..1],LINE_NR[],BL_HRAM_BE[],MAIN_CLK,DDRCLK0,FB_AD[31..16],FB_AD[31..16],BL_HRAM_CS & !nFB_WR,WREN_B); + -- SRC X INC + BL_SRC_X_INC[].CLK = MAIN_CLK; + BL_SRC_X_INC[] = FB_AD[31..16]; + BL_SRC_X_INC_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C510"; -- $F8A20.w + BL_SRC_X_INC[15..8].ENA = BL_SRC_X_INC_CS & !nFB_WR & FB_16B0; + BL_SRC_X_INC[7..0].ENA = BL_SRC_X_INC_CS & !nFB_WR & FB_16B1; + SRC_XINC_NODE[] = (H"FFFF0000" & BL_SRC_X_INC15) # (H"0000",BL_SRC_X_INC[]); -- ERWEITERN AUF 32 BIT + -- SRC Y INC + BL_SRC_Y_INC[].CLK = MAIN_CLK; + BL_SRC_Y_INC[] = FB_AD[31..16]; + BL_SRC_Y_INC_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C511"; -- $F8A22.w + BL_SRC_Y_INC[15..8].ENA = BL_SRC_Y_INC_CS & !nFB_WR & FB_16B0; + BL_SRC_Y_INC[7..0].ENA = BL_SRC_Y_INC_CS & !nFB_WR & FB_16B1; + SRC_YINC_NODE[] = (H"FFFF0000" & BL_SRC_Y_INC15) # (H"0000",BL_SRC_Y_INC[]); -- ERWEITERN AUF 32 BIT + -- SRC ADR HIGH + BL_SRC_ADR[].CLK = MAIN_CLK; + BL_SRC_ADR[31..16] = FB_AD[31..16]; + BL_SRC_ADRH_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C512"; -- $F8A24.w + BL_SRC_ADR[31..24].ENA = BL_SRC_ADRH_CS & !nFB_WR & FB_16B0; + BL_SRC_ADR[23..16].ENA = BL_SRC_ADRH_CS & !nFB_WR & FB_16B1; + -- SRC ADR LOW + BL_SRC_ADR[].CLK = MAIN_CLK; + BL_SRC_ADR[15..0] = FB_AD[31..16]; + BL_SRC_ADRL_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C513"; -- $F8A26.w + BL_SRC_ADR[15..8].ENA = BL_SRC_ADRL_CS & !nFB_WR & FB_16B0; + BL_SRC_ADR[7..0].ENA = BL_SRC_ADRL_CS & !nFB_WR & FB_16B1; + SRC_IADR[].CLK = DDRCLK0; + SRC_IADRH_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C520"; -- $F8A40.w + SRC_IADRL_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C521"; -- $F8A42.w + SRC_IADR_CLR = (BL_SRC_ADRL_CS # BL_SRC_ADRH_CS) & !nFB_WR; -- LÖSCHEN BEI WRITE + SRC_IADR[] = (SRC_IADR[] + (((8 * SRC_XINC_NODE[]) & SIINC) + (SRC_YINC_NODE[] & ZYINC) + ((((0,BL_X_CNT[]) - (0,X_INDEX[]) - 8) * SRC_XINC_NODE[]) & ZIINC)) & SRC_READ) & !SRC_IADR_CLR; + SRC_ADR_NODE[] = BL_SRC_ADR[] + SRC_IADR[]; -- ZUGRIFFSADRESSE THEORETISCH + -- ENDMASK 1 + BL_ENDMASK1[].CLK = MAIN_CLK; + BL_ENDMASK1[] = FB_AD[31..16]; + BL_ENDMASK1_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C514"; -- $F8A28.w + BL_ENDMASK1[15..8].ENA = BL_ENDMASK1_CS & !nFB_WR & FB_16B0; + BL_ENDMASK1[7..0].ENA = BL_ENDMASK1_CS & !nFB_WR & FB_16B1; + -- ENDMASK 2 + BL_ENDMASK2[].CLK = MAIN_CLK; + BL_ENDMASK2[] = FB_AD[31..16]; + BL_ENDMASK2_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C515"; -- $F8A2A.w + BL_ENDMASK2[15..8].ENA = BL_ENDMASK2_CS & !nFB_WR & FB_16B0; + BL_ENDMASK2[7..0].ENA = BL_ENDMASK2_CS & !nFB_WR & FB_16B1; + -- ENDMASK 3 + BL_ENDMASK3[].CLK = MAIN_CLK; + BL_ENDMASK3[] = FB_AD[31..16]; + BL_ENDMASK3_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C516"; -- $F8A2C.w + BL_ENDMASK3[15..8].ENA = BL_ENDMASK3_CS & !nFB_WR & FB_16B0; + BL_ENDMASK3[7..0].ENA = BL_ENDMASK3_CS & !nFB_WR & FB_16B1; + -- DST X INC + BL_DST_X_INC[].CLK = MAIN_CLK; + BL_DST_X_INC[] = FB_AD[31..16]; + BL_DST_X_INC_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C517"; -- $F8A2E.w + BL_DST_X_INC[15..8].ENA = BL_DST_X_INC_CS & !nFB_WR & FB_16B0; + BL_DST_X_INC[7..0].ENA = BL_DST_X_INC_CS & !nFB_WR & FB_16B1; + DST_XINC_NODE[] = (H"FFFF0000" & BL_DST_X_INC15) # (H"0000",BL_DST_X_INC[]); -- ERWEITERN AUF 32 BIT + -- DST Y INC + BL_DST_Y_INC[].CLK = MAIN_CLK; + BL_DST_Y_INC[] = FB_AD[31..16]; + BL_DST_Y_INC_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C518"; -- $F8A30.w + BL_DST_Y_INC[15..8].ENA = BL_DST_Y_INC_CS & !nFB_WR & FB_16B0; + BL_DST_Y_INC[7..0].ENA = BL_DST_Y_INC_CS & !nFB_WR & FB_16B1; + DST_YINC_NODE[] = (H"FFFF0000" & BL_DST_Y_INC15) # (H"0000",BL_DST_Y_INC[]); -- ERWEITERN AUF 32 BIT + -- DST ADR HIGH + BL_DST_ADR[].CLK = MAIN_CLK; + BL_DST_ADR[31..16] = FB_AD[31..16]; + BL_DST_ADRH_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C519"; -- $F8A32.w + BL_DST_ADR[31..24].ENA = BL_DST_ADRH_CS & !nFB_WR & FB_16B0; + BL_DST_ADR[23..16].ENA = BL_DST_ADRH_CS & !nFB_WR & FB_16B1; + -- DST ADR LOW + BL_DST_ADR[].CLK = MAIN_CLK; + BL_DST_ADR[15..0] = FB_AD[31..16]; + BL_DST_ADRL_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C51A"; -- $F8A34.w + BL_DST_ADR[15..8].ENA = BL_DST_ADRL_CS & !nFB_WR & FB_16B0; + BL_DST_ADR[7..0].ENA = BL_DST_ADRL_CS & !nFB_WR & FB_16B1; + DST_IADR[].CLK = DDRCLK0; + DST_IADRH_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C522"; -- $F8A44.w + DST_IADRL_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C523"; -- $F8A46.w + DST_IADR_CLR = (BL_DST_ADRL_CS # BL_DST_ADRH_CS) & !nFB_WR; -- LÖSCHEN BEI WRITE + DST_IADR[] = (DST_IADR[] + ((8 * DST_XINC_NODE[]) & DIINC) + (DST_YINC_NODE[] & ZYINC) + ((((0,BL_X_CNT[]) - (0,X_INDEX[])) * DST_XINC_NODE[]) & ZIINC)) & !DST_IADR_CLR; + DST_ADR_NODE[] = BL_DST_ADR[] + DST_IADR[]; -- ZUGRIFFSADRESSE THEORETISCH + -- X COUNT + BL_X_CNT[].CLK = MAIN_CLK; + BL_X_CNT[] = FB_AD[31..16]; + BL_X_CNT_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C51B"; -- $F8A36.w + BL_X_CNT[15..8].ENA = BL_X_CNT_CS & !nFB_WR & FB_16B0; + BL_X_CNT[7..0].ENA = BL_X_CNT_CS & !nFB_WR & FB_16B1; + X_INDEX[].CLK = DDRCLK0; + X_INDEX_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C524"; -- $F8A48.w + X_INDEX_CLR = BL_X_CNT_CS & !nFB_WR; -- LÖSCHEN BEI WRITE + X_INDEX[] = (X_INDEX[] + (8 & XIINC) + ((BL_X_CNT[] - X_INDEX[]) & ZIINC)) & !X_INDEX_CLR; + X_CNT_NODE[] = X_INDEX[] - ((0,DST_ADR_NODE[3..1]) & (X_INDEX[]!=0));-- EFFEKTIV GELESENE + -- Y COUNT + BL_Y_CNT[].CLK = MAIN_CLK; + BL_Y_CNT[] = FB_AD[31..16]; + BL_Y_CNT_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C51C"; -- $F8A38.w + BL_Y_CNT[15..8].ENA = BL_Y_CNT_CS & !nFB_WR & FB_16B0; + BL_Y_CNT[7..0].ENA = BL_Y_CNT_CS & !nFB_WR & FB_16B1; + Y_INDEX[].CLK = DDRCLK0; + Y_INDEX_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C525"; -- $F8A4A.w + Y_INDEX_CLR = BL_Y_CNT_CS & !nFB_WR; -- LÖSCHEN BEI WRITE + Y_INDEX[] = (Y_INDEX[] + (1 & YIINC)) & !Y_INDEX_CLR; + -- HOP LOGIC + BL_HOP[].CLK = MAIN_CLK; + BL_HOP[] = FB_AD[31..24]; + BL_HOP_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C51D"; -- $F8A3A.w + BL_HOP[7..0].ENA = BL_HOP_CS & !nFB_WR & FB_16B0; -- $F8A3A + -- OP LOGIC + BL_OP[].CLK = MAIN_CLK; + BL_OP[] = FB_AD[23..16]; + BL_OP[7..0].ENA = BL_HOP_CS & !nFB_WR & FB_16B1; -- $F8A3B + -- LINE NUMBER BYT + BL_LN[].CLK = MAIN_CLK; + BL_LN[6..0] = FB_AD[30..24]; + BL_LN7 = FB_AD31 & !LN7CLR; -- BUSY HOG UND SMUDGE + BL_LN_CS = !nFB_CS1 & FB_ADR[19..1]==H"7C51E"; -- $F8A3C.w + BL_LN[].ENA = BL_LN_CS & !nFB_WR & FB_16B0; -- $F8A3C + BL_LN7.ENA = LN7CLR; + -- SKEW BYT + BL_SKEW[].CLK = MAIN_CLK; + BL_SKEW[] = FB_AD[23..16]; + BL_SKEW[].ENA = BL_LN_CS & !nFB_WR & FB_16B1; -- $F8A3D +--- REGISTER OUT + FB_AD[31..16] = lpm_bustri_WORD( + BL_HRAM_CS & BL_DPRAM_OUT[] + # BL_SRC_X_INC_CS & BL_SRC_X_INC[] + # BL_SRC_Y_INC_CS & BL_SRC_Y_INC[] + # BL_SRC_ADRH_CS & SRC_ADR_NODE[31..16] + # BL_SRC_ADRL_CS & SRC_ADR_NODE[15..0] + # BL_ENDMASK1_CS & BL_ENDMASK1[] + # BL_ENDMASK2_CS & BL_ENDMASK2[] + # BL_ENDMASK3_CS & BL_ENDMASK3[] + # BL_DST_X_INC_CS & BL_DST_X_INC[] + # BL_DST_Y_INC_CS & BL_DST_Y_INC[] + # BL_DST_ADRH_CS & DST_ADR_NODE[31..16] + # BL_DST_ADRL_CS & DST_ADR_NODE[15..0] + # BL_X_CNT_CS & (BL_X_CNT[]-X_INDEX[]) + # BL_Y_CNT_CS & (BL_Y_CNT[]-Y_INDEX[]) + # BL_HOP_CS & (BL_HOP[],BL_OP[]) + # BL_LN_CS & (BL_LN[7..4],Y_INDEX[3..0],BL_SKEW[]) + # SRC_IADRH_CS & SRC_IADR[31..16] + # SRC_IADRL_CS & SRC_IADR[15..0] + # DST_IADRH_CS & DST_IADR[31..16] + # DST_IADRL_CS & DST_IADR[15..0] + # X_INDEX_CS & X_INDEX[] + # Y_INDEX_CS & Y_INDEX[] + ,BLITTER_CS & !nFB_OE); -- FFFF8A00-7F +----------------------------------------- +-- SRC BUFFER LADEN + BL_SRC_BUF1[].CLK = DDRCLK0; + BL_SRC_BUF1[127..64].ENA = BLITTER_DACK1 & BL_READ_SRC; + BL_SRC_BUF1[63..0].ENA = BLITTER_DACK0 & BL_READ_SRC; + BL_SRC_BUF1[] = (VDP_IN[],VDP_IN[]); + BL_SRC_BUF2[].CLK = DDRCLK0; + BL_SRC_BUF2[127..64].ENA = BLITTER_DACK1 & BL_READ_SRC; + BL_SRC_BUF2[63..0].ENA = BLITTER_DACK0 & BL_READ_SRC; + BL_SRC_BUF2[] = BL_SRC_BUF1[]; + BL_SRC_BUF3[].CLK = DDRCLK0; + BL_SRC_BUF3[127..64].ENA = BLITTER_DACK1 & BL_READ_SRC; + BL_SRC_BUF3[63..0].ENA = BLITTER_DACK0 & BL_READ_SRC; + BL_SRC_BUF3[] = BL_SRC_BUF2[]; + -- ZUORDNUNG --------- + IF BL_SRC_X_INC15 THEN -- WENN NEGATIV -> REIHENFOLGE KEHREN + CASE BL_HOP[7..4] IS -- SPIEGELN? + WHEN H"0" => -- LINE WEISE + BL_BSIN[127..0] = BL_SRC_BUF3[]; + BL_BSIN[255..128] = BL_SRC_BUF2[]; + BL_BSIN[383..256] = BL_SRC_BUF1[]; + WHEN H"1" => --- BIT WEISE + BL_BSIN[0..127] = BL_SRC_BUF3[]; + BL_BSIN[128..255] = BL_SRC_BUF2[]; + BL_BSIN[256..383] = BL_SRC_BUF1[]; + WHEN H"2" => -- BYT WEISE + BL_BSIN[127..0] = (BL_SRC_BUF3[7..0],BL_SRC_BUF3[15..8],BL_SRC_BUF3[23..16],BL_SRC_BUF3[31..24],BL_SRC_BUF3[39..32],BL_SRC_BUF3[47..40],BL_SRC_BUF3[55..48],BL_SRC_BUF3[63..56],BL_SRC_BUF3[71..64],BL_SRC_BUF3[79..72],BL_SRC_BUF3[87..80],BL_SRC_BUF3[95..88],BL_SRC_BUF3[103..96],BL_SRC_BUF3[111..104],BL_SRC_BUF3[119..112],BL_SRC_BUF3[127..120]); + BL_BSIN[255..128] = (BL_SRC_BUF2[7..0],BL_SRC_BUF2[15..8],BL_SRC_BUF2[23..16],BL_SRC_BUF2[31..24],BL_SRC_BUF2[39..32],BL_SRC_BUF2[47..40],BL_SRC_BUF2[55..48],BL_SRC_BUF2[63..56],BL_SRC_BUF2[71..64],BL_SRC_BUF2[79..72],BL_SRC_BUF2[87..80],BL_SRC_BUF2[95..88],BL_SRC_BUF2[103..96],BL_SRC_BUF2[111..104],BL_SRC_BUF2[119..112],BL_SRC_BUF2[127..120]); + BL_BSIN[383..256] = (BL_SRC_BUF1[7..0],BL_SRC_BUF1[15..8],BL_SRC_BUF1[23..16],BL_SRC_BUF1[31..24],BL_SRC_BUF1[39..32],BL_SRC_BUF1[47..40],BL_SRC_BUF1[55..48],BL_SRC_BUF1[63..56],BL_SRC_BUF1[71..64],BL_SRC_BUF1[79..72],BL_SRC_BUF1[87..80],BL_SRC_BUF1[95..88],BL_SRC_BUF1[103..96],BL_SRC_BUF1[111..104],BL_SRC_BUF1[119..112],BL_SRC_BUF1[127..120]); + WHEN H"3" => -- WORD WEISE + BL_BSIN[127..0] = (BL_SRC_BUF3[15..0],BL_SRC_BUF3[31..16],BL_SRC_BUF3[47..32],BL_SRC_BUF3[63..48],BL_SRC_BUF3[79..64],BL_SRC_BUF3[95..80],BL_SRC_BUF3[111..96],BL_SRC_BUF3[127..112]); + BL_BSIN[255..128] = (BL_SRC_BUF2[15..0],BL_SRC_BUF2[31..16],BL_SRC_BUF2[47..32],BL_SRC_BUF2[63..48],BL_SRC_BUF2[79..64],BL_SRC_BUF2[95..80],BL_SRC_BUF2[111..96],BL_SRC_BUF2[127..112]); + BL_BSIN[383..256] = (BL_SRC_BUF1[15..0],BL_SRC_BUF1[31..16],BL_SRC_BUF1[47..32],BL_SRC_BUF1[63..48],BL_SRC_BUF1[79..64],BL_SRC_BUF1[95..80],BL_SRC_BUF1[111..96],BL_SRC_BUF1[127..112]); + WHEN H"4" => -- LONG WEISE + BL_BSIN[127..0] = (BL_SRC_BUF3[31..0],BL_SRC_BUF3[63..32],BL_SRC_BUF3[95..64],BL_SRC_BUF3[127..96]); + BL_BSIN[255..128] = (BL_SRC_BUF2[31..0],BL_SRC_BUF2[63..32],BL_SRC_BUF2[95..64],BL_SRC_BUF2[127..96]); + BL_BSIN[383..256] = (BL_SRC_BUF1[31..0],BL_SRC_BUF1[63..32],BL_SRC_BUF1[95..64],BL_SRC_BUF1[127..96]); + WHEN OTHERS => -- LINE WEISE + BL_BSIN[127..0] = BL_SRC_BUF3[]; + BL_BSIN[255..128] = BL_SRC_BUF2[]; + BL_BSIN[383..256] = BL_SRC_BUF1[]; + END CASE; + ELSE -- SONST NORMAL BEI VORWÄRTS + BL_BSIN[127..0] = BL_SRC_BUF1[]; + BL_BSIN[255..128] = BL_SRC_BUF2[]; + BL_BSIN[383..256] = BL_SRC_BUF3[]; + END IF; +-- DST BUFFER READ + BL_DST_BUFRD[].CLK = DDRCLK0; + BL_DST_BUFRD[127..64].ENA = BLITTER_DACK1 & BL_READ_DST; + BL_DST_BUFRD[63..0].ENA = BLITTER_DACK0 & BL_READ_DST; + BL_DST_BUFRD[] = (VDP_IN[],VDP_IN[]); +-- barell shift ***************************************************************************** +-- SOURCE SHIFT RIGHT = LPM_CSHIFT RIGTH ;SKEW SHIFT: IF FXRS==0 THEN RIGHT ELSE LEFT + DIST_RIGHT[] = (16 * ((0,DST_ADR_NODE[3..1]) - (0,SRC_ADR_NODE[3..1]))) + (!BL_SKEW7 & (0,BL_SKEW[3..0])) - (BL_SKEW7 & (0,BL_SKEW[3..0])); + IF DIST_RIGHT8 == 0 THEN + BL_BS_SKEW[] = DIST_RIGHT[7..0]; -- LPM SHIFT RIGHT + SHIFT_DIR = VCC; -- DIR = RIGHT + else + BL_BS_SKEW[] = !DIST_RIGHT[3..0] + 1; -- LPM SHIFT LEFT + SHIFT_DIR = GND; -- DIR = LEFT + end if; +-- barell shifter: direction 0=links 1=rechts IN BEZUG AUF ausgabewert! + BL_BSOUT[] = lpm_clshift384(BL_BSIN[], SHIFT_DIR , BL_BS_SKEW[]); -- wir brauchen 128bit +-- HOP *************************************************************************************** + CASE BL_HOP[1..0] IS + WHEN H"0" => + -- 12345678901234567890123456789012 + HOP_OUT[] = H"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF"; + WHEN H"1" => + HOP_OUT[] = (BL_HRAM_OUT[],BL_HRAM_OUT[],BL_HRAM_OUT[],BL_HRAM_OUT[],BL_HRAM_OUT[],BL_HRAM_OUT[],BL_HRAM_OUT[],BL_HRAM_OUT[]); + WHEN H"2" => + HOP_OUT[] = BL_BSOUT[255..128]; + WHEN OTHERS => + HOP_OUT[] = (BL_BSOUT[255..128] & (BL_HRAM_OUT[],BL_HRAM_OUT[],BL_HRAM_OUT[],BL_HRAM_OUT[],BL_HRAM_OUT[],BL_HRAM_OUT[],BL_HRAM_OUT[],BL_HRAM_OUT[])); + END CASE; +-- OP ***************************************************************************************** + CASE BL_OP[3..0] IS + WHEN H"0" => + OP_OUT[] = H"0"; + SRC_READ = B"0"; + WHEN H"1" => + OP_OUT[] = HOP_OUT[] & BL_DST_BUFRD[]; + SRC_READ = BL_HOP1 # BL_HOP0; + WHEN H"2" => + OP_OUT[] = HOP_OUT[] & !BL_DST_BUFRD[]; + SRC_READ = BL_HOP1 # BL_HOP0; + WHEN H"3" => + OP_OUT[] = HOP_OUT[]; + SRC_READ = BL_HOP1 # BL_HOP0; + WHEN H"4" => + OP_OUT[] = !HOP_OUT[] & BL_DST_BUFRD[]; + SRC_READ = BL_HOP1 # BL_HOP0; + WHEN H"5" => + OP_OUT[] = BL_DST_BUFRD[]; + SRC_READ = B"0"; + WHEN H"6" => + OP_OUT[] = HOP_OUT[] $ BL_DST_BUFRD[]; + SRC_READ = BL_HOP1 # BL_HOP0; + WHEN H"7" => + OP_OUT[] = HOP_OUT[] # BL_DST_BUFRD[]; + SRC_READ = BL_HOP1 # BL_HOP0; + WHEN H"8" => + OP_OUT[] = !HOP_OUT[] & !BL_DST_BUFRD[]; + SRC_READ = BL_HOP1 # BL_HOP0; + WHEN H"9" => + OP_OUT[] = !HOP_OUT[] $ BL_DST_BUFRD[]; + SRC_READ = BL_HOP1 # BL_HOP0; + WHEN H"A" => + OP_OUT[] = !BL_DST_BUFRD[]; + SRC_READ = B"0"; + WHEN H"B" => + OP_OUT[] = HOP_OUT[] # !BL_DST_BUFRD[]; + SRC_READ = BL_HOP1 # BL_HOP0; + WHEN H"C" => + OP_OUT[] = !HOP_OUT[]; + SRC_READ = BL_HOP1 # BL_HOP0; + WHEN H"D" => + OP_OUT[] = !HOP_OUT[] # BL_DST_BUFRD[]; + SRC_READ = BL_HOP1 # BL_HOP0; + WHEN H"E" => + OP_OUT[] = !HOP_OUT[] # !BL_DST_BUFRD[]; + SRC_READ = BL_HOP1 # BL_HOP0; + WHEN OTHERS => + -- 12345678901234567890123456789012 + OP_OUT[] = H"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF"; + SRC_READ = B"0"; + END CASE; +------------ ENDMASKEN SETZEN ****************************************************************************** + ENDMASK1_SHIFT[3..0] = 0; + ENDMASK2_SHIFT[3..0] = 0; + IF BL_DST_X_INC15 THEN ---------------------------- RÜCKWÄRTS X_INC NEGATIV + IF X_INDEX[]==0 THEN -- ENDE? + ENDMASK2_SHIFT[7..4] = 1 + (0,(DST_ADR_NODE[3..1])); -- JA ENDMASK 3 SETZEN + ELSE + ENDMASK2_SHIFT[7..4] = 0; -- NEIN -> ENDMASK 3 AUF ENDMASK2 SETZEN + END IF; + IF BL_X_CNT[]<=(X_CNT_NODE[] + 8) THEN -- SCHON ZEILENANFANG? + ENDMASK1_SHIFT[7..4] = 1 + (0,(DST_ADR_NODE[3..1])); -- JA ENDMASK 3 SETZEN + ELSE + ENDMASK1_SHIFT[7..4] = 0; -- NEIN -> ENDMASK 3 AUF ENDMASK2 SETZEN + END IF; + ELSE ------------------------------------------- VORWÄRTS X_INC POSITIV + IF X_INDEX[]==0 THEN -- ANFANG? + ENDMASK1_SHIFT[7..4] = 1 + (0,(DST_ADR_NODE[3..1])); -- JA -> ENDMASK 1 SETZEN + ELSE + ENDMASK1_SHIFT[7..4] = 0; -- NEIN->ENDMASK1 AUF ENDMASK2 SETZEN + END IF; + IF BL_X_CNT[]<=(X_CNT_NODE[] + 8) THEN -- SCHON ZEILENENDE? + ENDMASK2_SHIFT[7..4] = 1 + (0,(DST_ADR_NODE[3..1])); -- JA ENDMASK 3 SETZEN + ELSE + ENDMASK2_SHIFT[7..4] = 0; -- NOCH NICHT AKTIV->ENDMASK 3 AUF ENDMASK2 SETZEN + END IF; + END IF; +-- ENDMASKEN -- barell shifter 144 bit, direction 0 = links 1 = rechts +-- 1234567890123456789012345678 + ENDMASK12_IN[] = (BL_ENDMASK1[],BL_ENDMASK2[],BL_ENDMASK2[],BL_ENDMASK2[],BL_ENDMASK2[],BL_ENDMASK2[],BL_ENDMASK2[],BL_ENDMASK2[],BL_ENDMASK2[]); + ENDMASK12_OUT[] = lpm_clshift144(ENDMASK12_IN[],1,ENDMASK1_SHIFT[]); -- IMMER rechts SCHIEBEN + ENDMASK23_IN[] = (BL_ENDMASK2[],BL_ENDMASK2[],BL_ENDMASK2[],BL_ENDMASK2[],BL_ENDMASK2[],BL_ENDMASK2[],BL_ENDMASK2[],BL_ENDMASK2[],BL_ENDMASK3[]); + ENDMASK23_OUT[] = lpm_clshift144(ENDMASK23_IN[],0,ENDMASK2_SHIFT[]); -- IMMER LINKS SCHIEBEN + ENDMASK123[] = ENDMASK12_OUT[127..0] & ENDMASK23_OUT[143..16]; + BLITTER_DOUT[] = ((ENDMASK123[] & OP_OUT[]) # (!ENDMASK123[] & BL_DST_BUFRD[])); + NOT_DST_READ = BL_OP[3..0]==(H"0" # H"3" # H"C" # H"F") & (ENDMASK123[]==-1); +-- STATE MACHINE **************************************************************************************************** + BLITTER_RUN = BLITTER_ON; -- BLITTER IST DA! + DDR_RAM_FREE = BLITTER_DACK[]==H"0"; -- 0 WENN FREI + BLITTER_ADR[3..0] = H"0"; -- IMMER LINE + SRC_DDR_ADR[] = (SRC_ADR_NODE[] - (0,(16 & BL_SRC_X_INC15))); -- WENN RÜCKWÄRTS NEXT ADRESS SRC + DST_DDR_ADR[] = (DST_ADR_NODE[] - (0,(16 & BL_DST_X_INC15))); -- WENN RÜCKWÄRTS NEXT ADRESS DST +-- BLITTER MAIN STATE MACHINE ----------------------------------------------- + BL_SM.CLK = DDRCLK0; + CASE BL_SM IS + WHEN START => ------------------------- START + IF BLITTER_ON & BL_LN7 & ((BL_X_CNT[] - X_CNT_NODE[])>0) & ((BL_Y_CNT[] - Y_INDEX[]) > 0) THEN + BL_SM = NEW_LINE; + ELSE + BL_SM = START; + END IF; + WHEN NEW_LINE => ----------------------- NEU LINIE + X_INDEX_CLR = VCC; -- LÖSCHEN + BL_SM = RDSRC1; + WHEN RDSRC1 => ------------------------ READ SRC1 + IF SRC_READ THEN + BLITTER_ADR[31..4] = SRC_DDR_ADR[31..4]; + BLITTER_SIG = DDR_RAM_FREE; + BL_READ_SRC = VCC; -- LATCH UND SB1->SB2 + IF BLITTER_DACK0 THEN + SIINC = VCC; -- INC SRC ADR + BL_SM = RDSRC2; + ELSE + BL_SM = RDSRC1; + END IF; + ELSE + BL_SM = RDDST; + END IF; + WHEN RDSRC2 => ------------------------ READ SRC2 + IF SRC_READ THEN + BLITTER_ADR[31..4] = SRC_DDR_ADR[31..4]; + BLITTER_SIG = DDR_RAM_FREE; + BL_READ_SRC = VCC; -- LATCH UND SB1->SB2 + IF BLITTER_DACK0 THEN + SIINC = VCC; -- INC SRC ADR + BL_SM = RDDST; + ELSE + BL_SM = RDSRC2; + END IF; + ELSE + BL_SM = RDDST; + END IF; + WHEN RDDST => ----------------------- READ DEST + IF NOT_READ_DST THEN + BL_SM = WRDST; + ELSE + BLITTER_ADR[31..4] = DST_DDR_ADR[31..4]; + BLITTER_SIG = DDR_RAM_FREE; + BL_READ_DST = VCC; + IF BLITTER_DACK0 THEN + BL_SM = WRDST; + ELSE + BL_SM = RDDST; + END IF; + END IF; + WHEN WRDST => ------------------- WRITE DEST + BLITTER_ADR[31..4] = DST_DDR_ADR[31..4]; + BLITTER_WR = DDR_RAM_FREE; + BLITTER_SIG = DDR_RAM_FREE; + IF BLITTER_DACK0 THEN + XIINC = VCC; -- INC X_INDEX + DIINC = VCC; -- INC DEST ADR + BL_SM = TESTZEILENENDE; + ELSE + BL_SM = WRDST; + END IF; + WHEN TESTZEILENENDE => ----------------- ZEILENDE? + IF BL_X_CNT[]<=(X_CNT_NODE[]) THEN -- SCHON ZEILENENDE? + YIINC = VCC; -- JA -> INC Y-INDEX UND ZEILE SRC UND DEST + BL_SM = TESTFERTIG; -- -> + ELSE + BL_SM = RDSRC2; -- NEIN NEXT + END IF; + WHEN TESTFERTIG => --------------------- TEST AUF FERTIG + ZIINC = VCC; -- INC ADRESSEN ZEILENUMBRUCH + IF Y_INDEX[]>=BL_Y_CNT[] THEN -- LETZTE ZEILE? + BL_SM = FERTIG; -- JA --> + ELSE + ZYINC = VCC; -- YINC ADDIEREN ZEILENENDE + BL_SM = NEW_LINE; -- NEIN NEXT -> + END IF; + WHEN FERTIG => -------------------------- FERTIG + BLITTER_INT = VCC; -- BLITTER INTERRUPT + LN7CLR = VCC; -- BUSY BIT LÖSCHEN + IF BL_LN7==0 THEN -- WARTEN BIS GELÖSCHT (GEHT NUR MIT 33MHz) + BL_SM = START; + ELSE + BL_SM = FERTIG; + END IF; + WHEN OTHERS => + BL_SM = FERTIG; + END CASE; +END; + diff --git a/FPGA_by_Fredi/blitter.tdf.pdf b/FPGA_by_Fredi/blitter.tdf.pdf new file mode 100644 index 0000000000000000000000000000000000000000..eb1e459adaab8cfb4205ae37e5c0f28f3276762a GIT binary patch literal 78291 zcmd42WmsIzwl0dhdvGT}pmBG1x8T;nX@XmD*We!9-3byLg1dVH1PvNoPLq6Vt?#V0 z_qqGr=l;0ePfu#rtQup~7^B{*XVwdiii8vsD>DZY&EQdN9uhkTISV<+)EY@Z0H9!H z4>WPK@&uZbvjP;zxp~-lSphP7+*}3#WpZ{dfaISVfD$=3K!co{o0E%I zNC*jNZ~h{O{Qvrc1z`TTQi ztgNi;T>oCj&c*TX_Wg;&Kl>s%JDOP80v%sMrw)!9IR`I5*8UxcoE_{Gay5V&2;@x8 z4t55(EGwZWAS%Tw$pM$QiQJXpoQ67#qGzZvygCb+%1m5CV0om>y>ZE_xP zTv=IpS-~3BK+Y!4KynsvGe@93*pYvktOj%fxj32uoxomKaRiyE1D*8%;5kJO&;YtS zgF8yPJ4>to?#uBqx4@&60)hK;{0_v6Jpgg=Jy;~z4d9IV-)(%U_{VGn+FLkVlC$%? zv;jz2**XIq$pKQfV5=m6X5c~p4uB)j#16?lbH~6SVYMmg!$NiH4bY;j0u2&_d~;Sv zr#7C)^^IOSPe!ylv&S3yCK~y;ZR+*P$CD9S#BU$3b9l!nX4NFCh(I?H!=l1T*~>p91l;LAI6WKUDy z)^2>ZrPH*C7E+gU88Z}W`;~GL%WSXB56Y?^yX{48ywG&`b$b|Tjn7c-&Ew1Q&gUcB zgxYy;q^CuD*~4vQ?e<9I$}dAYlJU;A8h%ZilZosY`jW~k7Y(35!TL#`i`|$?o&15S zVI1FBaO2PFl+Mn%tIroz_Jf|ccKq!izASGQ@$1IgK)L%_meCv=1tG#il<$-CpH3{N zK!bU)0O#*3XoT2Pr_}cM#N=e1rD(sHXTLkIpZM0T^Fy263`&-}t)&u5U04f8?mrjN zKfhN<%32(9UhioC)z37Sx1c@u_P%4~6{>}2_PhmvY|YbK(Y%}i`j|^uGnb?n!p`Nr zUNc!4l2`kAL3vsDyRt{f*s@m^3HFWkvH`cKvntVS3m=cH?5ZzEh)}inWBO^uzhuF= zWuV1s4>U0oAwUs$qiRMZ@&dBgE$ zeBUjNbYR;|_sZ(oz~%TG-8vmuUt8is9G<(3lhKUpb14vmvk#BF?BiMg3n00n?8Uc^1+ftkigUC2Y0D9}3A%0Ju6On9mepmuI0 zC!{bWnJG{VTV?4_W`#_gB!ZGTL+%#G<$y2impFjwRULf*+8Hd=x5x%~_T& z`yL4m%NOG=DGOt{$H_FZbofinpX?%PyMv)yX8go^t#_sZSRmSXl>m96!6nOLB#LVH zF|IB?`W0eG`&Ste9^ZTcSm23D421P(xgj!3WH->LZ)a-r%HtY9@8CB2t`!vhG;^!GV3&?oaskh?UnFc*qnRg(oz;y-@jX!nKlDN=dpi+E#a;w9? zC7c`uy|^Qg2h2rYTqdp$4BS>GVh4+Q%Z!=mHs`8rQbFUQ33*rN1Q}Zr^y%1P1~B5 z@U7L02(GKtrhDbG?GX>q1=$(v`m|!4yTahACgo=fWlCeV2MQYDV%eYVuwyM3SJG0H zt5{ol+20Z9Y@}yf!^HC>Gl^V(_%OkulwpSUkz=BT^APNRG>(s^hX`qxfrJpQLG?rJ z8iKfiIeg%)gfsSi9$4)ic~bCAg+~{Cx2Pik!|UAT&_%w<)LtMb#NS89&Tsm@51gzv z?plbj0!4xj%|EbpuX3*4{86vmP?2gx3*CCZ;Bt8k#&zeUsbWvQ#1fUWL5l6G92adM z$2Uplt`2sH%mhfC2NB}cF$5-oTtRV87OE#vqP9HX?YBXS>mWS8;PY3ChTng*`w_hE zYDS+Va+sNsfMdMo8B`^tfL3vHD6}<4Ijaklf8A+isr%8x&a*geErv>0RE6Iruw_@Z zawk$$KA*1LIH7Mm3;VtPUKco%b|Q!NVwZ&5^JIN=&PeS1?@?PsPmk5`MPw%|+a6>t zcTaU;ge>Cv`>&SHwSFx3f`dp$4#bFgMK{P=TrgrH??$eW-&BE#lUJ4Z%l9m^Og6H45n1i!!s42SNxs( zRNkul5$G?@MN@~&H8;aCg}XU^MCb|o9=|S2yOd1ea2eTm>c{+JLj|IDdSU`&tNo0C z8&3GxUChgiLwOwE{;3zH#L>xf#;jL((puTFF;JR~-E^~AJVsddqlWuURUeUQAT5uk zA8N(MoK#)+a)!F9e4u^8_zVWkh2U2n=3v{8Nwy{@JfuF6^Us;6E%}aTrhA;q zQfNnr5KaKgcq5M1Jf_pOIO4L%V?%tw`nJp*G@}!;scp`d_!>wH#V-@Bd1mnG-|#X{ zubtZ>uGQH(yDi(1VN>(%U1?=@b-czHtYuTpJ|gUb$2Hnby}||`;}gfb`6kZj15C+z z8_q-7l=|||`oi^=k?$XA9J{!5JUm`Ctv<8|UEtt+Wy(Pjgjbfj8m-;q;C;t`5fKw@ zn6!y{3Z2)V@j8+n>Y9qwD3W*C7dExGC5z%|tWg_3KRJjOF5EbVhk1xtp^nLEa}Pfa znhUk&5?bgp4HSZ}RKg%}^lABqvZa~!1>UYa;RDO_`@ZQ3$Wep%2l^p1-DD69&)#-@ zLfROlEBf{8sSb$?=~<+$%f!PXnptu6CIGT*%si;J50#WT}wsx73Lc4Vy+N0rqE z--jn?Ff1kWI$RDOX~lFBdR(Ff5fMf{DcWy6L_bMEVu;{BJ0WD(ld(2eKw?&>ctQtV zWDw~a?U_oa1-1chclRmmQUEvdbX2ic(2;l;zSxdmC3N$UiR4=NG&^Sc=00Ch?v~IH z5=sS{KeO-$J^~gN&Ak99w4W0dqsnDk-LFV7~pTseO@-2(%FBg5lJiD89Q3d zaxMr7O3@R4K)Gp?z<*$S?W;OxR>rW!QZcH89lEug5v@9MdsxP5)LxYrZ{Ovj*)_2h zxK97=hmMyQqcuGo@x_i`S^-gwDr}R*{dF0Gy~?JB%%MmjwWbL#7y<+SKa zEq`j`dn70vLhyok3Of(1LLUeg|}vLLSC zX%}AJKxAoSrjB^bPp?e_BA!genMW6*jI$xKKeV0!q(ZN5obb(VQ8QYiZ~2};RbJmt zvw0Z}YP32xpeMtib(eFw*5W+3{S2Y9q98lK&M!FOE0q^yx{%_Ka1ph{RV#nm@+XL^ zXD@MsEd16lDSW|MShj7(*%zFRFgISh5R9GCP|=U{k2fcU)Ipza!m4^A2Qy9(sn;-= z?q&RE5Cxv+`gg9`6SZ9Y?P9ARtJts#%THucbmbD!p!}yPj5znaRB$Uza6qNkntfGM zs%a^<;cA(E%_M~-j5(Jhi4Q#abE!c$zMTp~{LW#Mi!_SoB`n4S0isBQfesSqoRaiz zjHd+do0$H`(2J#?2#ZAsYd+k(q1j4b*ex(26ukcw#Gzjz-+~rpLzGlddlexutM*X} zXH=GImyy3YKo&L7Kmj~_Q8Ed`IvT4@>MzFy{;glI3m~hcd?*n!AuQ6pyN$eu;qb1l zc)RE8C;EB1SFqL_A4wD37v>Fp!gS@72w(!RL%gkIJ`!e8bf0s&GNB+cEX89xU~RZ6 zi5P4kD)FRIK(?Kx(<-;#hlNPoeTw2B)b6nH&!rY3CrE`;>D@3g+d$=mb?&H0WW6@X11%Yb!{ zZ#+6)hJ=kclhL4vAKZU!z2I(wyOjApulNQ4m;RF23T;7J6E~>q=koD!9VoFi6Wd_yS>p z*gw*ZZX+XRGi2PJGh6AMeR`SS=cTJ=o5Y~*W%1>bLY;ckFZ%VV;MuDMJ33Z$#lP?s zvRW`OQa1u}(3{tob|0?78L_;NgWqD?Z-LZ8f=M$l^1ZaaTwn1FsWn09uA^4nF=ceV z@VmID%&PLp6J}D9Zi!`Nowca^Lgvth1!B#(65Yd;dkQM0;pjuz zHU@*KZ#25@O?)VJ`<`?ATp^ zApx=3_~p1{XBFesMKFaJ&6=M{1;~;V}gxb5WlYNIUd$^A%VhI&Nd8yY{t(r>fsA9)kk2 z_KiX?%Rb~}Qi|=KYt|_4L(|p(^bOxFCv*wix~}{8%cW#ArJcfW+^y3ri;5S@8;?RR z;n)4+H$uW@4DItC50kXs+~qPN!}86h>GdCaQi05N15+;6+u#gT{H($3L_KPSb` z4T{e-X&gq5zMY$nEY104yOy-H2*`34?E7Hf;ND*fH`J*#%dh=Nb9& zLw7ZrVZM0Xf!^tS<})W&&#w1oW2&H!9L!YTb837_MrX9PQ!oKtDwcA8rTz4Z#SNbg z`j#{kEFHmlNP8N>yF==s>$G#yVVhc|VI;xkkKVHl1E)kDLsBXOCpGIz{$d6eToofgKxL3n#_Vm@XnPT?yT1Ilbi?z*%Bl0Ct2A+QO%+FrJGC> z=xOKvDGLb{VTBnQEbW0l`=sZGNE@XVG(_Dv!h5Xa53%nSaU!XGU$^EDF*LF;$9p;v zqlYlW+!T}-*zY2-5|?ACzOn_X>qB*&Ei)43CqoSeb|JJgU{>aCB-K4A+`@U`xhLT| z_w(<$6^i>rr@Xz0kKPR3*dp+yOD#vI6=7^d&{Er&vEv=ne+8FWCLt5XH%spT0by}0 z83V?FOi^-w^&t%Os^M*mVeZ9xh?t5T#&uEjSc+_jP}wHP@ooN9VxCqG2im(&ggiMY zuu9?NSdHBDVK6zsU&qlUg)mAqfc@*PI1@Vkq@jsKP>ls5v8t$cdmtp~2O(&*I9nLv z2Q+T@7V%6 z7YS&jtVU3wa$HboKJbf=qugRpOf&KUH9Pbue~Dt*yulK+vo2QC!3Rs?CjonhCs5>u zAx{J%BC7d9vj%DX{NW=#nY)MJtDaTuZU%yN(I0VPx6|69G(;2_!h0z={@F8yHcyB6 zUy!)pPOg(0^_%yB0;{2LOnL0(Bo0dLk|=*c#4N0Hy=uhaA%&?*@2!ig{lKRapL_?D z(b^NZ<`7mlv>3KIB7o&m?|#SUpt8Q1(a>&AMf@F}$%O-=0K!mSCs{aGLB$CB2{>^9 zSFrSgW9RHU(23G31~%&|otN?6&^tE4j8z_ z+f58c9^MDELYBct&Xj)EP&2O;mK0~RRCXG%q`4&d+~JmlO`cInCfHp#|5Z=ISF07h z^B;w~Kh`w_%(v%~>QQf)4`=8PGS8?aSe0~#5bM^0*O|?J^gFnyS!e=2=kmno@Qef_ zmaNhB4wSo%n{|!bH2Wx0=kP8c+C`jYv^|q!rgT+#QS?9&<{@2}heNBtsOF8#VWh|1 z#2t&_Lj@1i9lA?ncGS-b;%G$HA4%)t?e1&KnZBEp8&b z+6p_NS1Wq&G6L;UoHWBNbMA|gLvC{B4PS$|3#sL%4imQ@Lgb_#k7{JjKNPixo=+#I z;5O`{{?Z{nw+Xg+2M)*XDL5RfM2I1*0+*>Mdl-|g+Zj;lzu4ChCY@hn&d>5>^`t|! z&KrslHfBOIA;C<>8zXTfpRn%;CgO`wy$Ex0h`7bF)TqOp1dD=Nz65>1gCj)SvxC;1 zj)tm~(9ko}MA|?S(epB~npJ~REZgXxiV7GCF4PaERqxhL1LFyJy1Y5ju`x91${yub zF-*?~3o|_nBzE?JVs;ya$Cut_I~p|pRIz|gPntd;Z@wE@vb>mr>> zK^}nkEIYS20f%SsC!zyS_3OWbt=!-Ni2Ilyy~8 z6v2|P3C_olIVChz5?+J!BicHKFl)u}b^$+obFO`PRar6_F?}1I8W?$JYycl5nEDk4 zz0a0W0?!e1*J(%WQX{$cIzN1=5We-3ZP!L~D#Nzz74+2qVYj$8<7``ebLNw^{01 z3%vf{^Cy|vk&bU)JAdWC$!YJ-x$zj{n!nv%sI|=6c*{0aZ5CQ?{fv*v-P{{WH96$h z5)D~2v^F+jWGy%o@M@z-LPYNPaE(9_!|%%#x$CSN#0QM9Vj)!)-veo?V#>;{LK zO1eK_T$DCj>I6T%g`G$`J{vvH73gLM&n=4w%s1a&Rp2!Tom083bT?*vYNZc$B;MUu z`P5+idVxml-NA<%2GfITK_E#Z&g%tMksWVbQW!^ETE%^RvOi*Jb;ndj7~Dq~gA~Le zhp}hbhNMdRV|9ni1wXVIy5kN&W}#)vB8MnzQW9IV3Cf?r6Af1nZm9!%-b?xS6~}M! zwDl)%-z%W=Ei}&aie_Q+RH>uuX>~gRy%y`dUn?7rP!o0Ib#jI>ZJxNvqqX*@X6~3o zLi3T>Cg7noX@-ZTEtlaeVi>pGc{dMBj7C8ru0%+W7BIoeGw$gx{55hVLEE>m*;Dl9 zggvYni(m_D`auRrO&7uk?&@BxQ$^PJ^=e&%^t>de33xC!42cN4pV8Si!@X>eJuXUi zh;LNM?U`Z%mRd&#Rt$`2aa#{ZSdGT~C!7!blwqsB8SrG3ZnEjgQ+-IPYY;5` zhS7*Wj9~c{9|4H#@R7{njU+seYH@yG0c<|B5hZQOJVn1N44;lyfS@(~o-sz)ppq&} zCuh&VO0S{T-cP4m?=00)3us!0G}6p5jnlL$@uFOa9Yi9QAt_tGY|l7Ucke80Y-o>r za~nHXpNkdiI0A=pC215{WGNKI@YLegEI(G)!tAMYLQ_jNR^@z=pv|y=&m9xG(|mL# zPEgus%r9bJWr-D05JN-qCD_6^KR8E<{4f#pQ5<=~AKN)e_CTIl`%wRk9W{^$1sLz$ z@5*S%*<`|WYg|((x=H&qeH6Dj)@Kk6^|_3jEyfz1oOj(vGX?ifGi&=WN)CE4ybI{* z0A9Q-TG7%%pb{;N>EffiVzTcVjD6j-Tr$~n{5B@dSxsehSxg;hz73acs(GuBhcq^4 zfVYyYC{SmE^?AlVuQH>X=^);U9x5ydLbCXmyUUNiV=#A~qnJ_gI}d_LH79~f^`1_; z5qbw33T3=C@hrBWdcBA)&0TWcEqSOW)nk6A^p4sOFACWKM$4@IRyqh;2VBt5l0tA)6`wgFlFBCxhy+^+h#Oh$Z}%HZJ%juFS#cj5 zjP-NmC64TvCUF#TTa1s_+EwMdVFPnYcT>q=5~%L<)&I-~5pt1_JG;gt~jK;$cc1Kn8P2S%(mEo5PU;`@{Fi*AT7+}p{zgBgma9h)p zm$O0oN_VfWg9l&z<111}hw%2uA+JO+={JQr! zCqWA~)#Ccy1iUD;GOXILq*Aq0&78ow=3iwBZ@#_$$aq8kk&(wr?l3cF9FZ6Sru{7} z$RqfV8$n<(F{7wFaKJb*kiA zr>ZP^-AnFmxS1MzTBoT1Er%j?`1cGBxFmjaZQrZs05BplD1+f0MGq5ddgmt+~p?aE7bvB@hXx(uNaNfX@!Pet~ zvs|xRHrO6E_7*Id&jq9S?=uuU@oD->&56R4zx+ZZe;TjYObF*&LPFDpAn|^G_zVdr z5IzZ}qBuGKJ{kXul=Ax!{pDPpo0pUAA50Z?Fel_6hwU#cg@2vggNX?$isY<9LVtCC zVPgEFrI?8m@Z~@spsuVUBdW_N1GIGoI$N2U{66NF06LjDS~)m_9LYKUurPj0e(3<_ z0a!UYIg4AGID*Lp00oo3%B-wB0BtLCXG-=P!N0a{j3o%wPFO`9;@D8LSm7{pI(k^v@Q* zEoK2zGT6yE!TtW&1}?p@CG5de1~8)o>;ijHdnc=Z-mANqI==+v#ed*P{3B?;z4~_+ z$BS$K<@Mh|P%^Ot{@39V12aAJm{@tpnb^P=E^c0OZgw_<7gB}A3n>K&AnIiHLiPaH zf@vBi4l+P13rp}V8!r;zMaj#vm###ylN~JPCH`Q}$ZLQoKmwowPy?6%OaW#9GmtIF9(=X4GXa;WJEnB)R*05||0tw81gM}QN+3Fr#62RK=|1DqU8%zyxA zfU~6|5d7B-1aJj-0v$p0znuamkO0}qIewFD{^rh?SpDVBe`dCpri8SN8lyN^w-wOw zKl1uFvF3MNen0x}@|um6PHcwplG2Mhk({T&^C*Zd6- z!~o)8P>=*j0i*#k09k+>Kpvm~Py{FelmW`-;JFBNvT_20hAJ2~)Bzd*O@J0a8=wPt z3(y6_h>0B-7Mx7%%>m%01q={QHeh5ibp(TgE%2T5pOWJXp8YP`0Gw-@BOD0)XyjwkCG}hENvZj z17nvxm|Y66cd;`C8);?nH!OSM*Znrd;idG)kiP)V!PdnI@ZJUJ^g3@R_cx&Y z8&6h^8|nAnLG5pkU)X(rC8Qb;((eren1RX4g7m@^CI5TN7X<#Ro~fDap@E}~H&DL!vy3pX#95BRSR0)HjS>lp8PW=NNelw= zZ9o7*UDz}#BuqDuqv})yC4wymi4u;JAuKN@z>l!mPee?iIjA2Fg%C?{YKUpPH^GA= zft~KTqwMm~t zq65>qk%&Kw92}7_XJ4SJ=c&?(pqURkNNmXCBg@PiF zA`A0({R5TJ$}%W&NV106rrZlApYjtf9$<%qnQ?%!OvM2u#s}iou()!;s+fuJjZxM%LY#cV_&VsE+3(!FBL57u}^JbUJj3_UPO$Bvny zl14E7xoWgQy!;^eQSjaQ1&JxIuxJqPT|81Q(HB7uzVjAD zCm!!#>fjIQ`_Uh&jsjU;)E^qg+y9lP#dsvT2|Y5qZ%w~Hk8wEDC;HSbcC~Cppx3a% zN;n7mZQ#{2ZgD&l#LNJH*U#^FI-kY2=Cfe=M|)LPLp1F(1@>r4N57m6uTJ*<@C@Ih zOFzBsy!DQzqeRPibJhG?S58^`~Rv2_aAGS))UaEL%8m^ZFf^h~ZYE zT1^V~q>?vfS{+`y&Dv7zpb z>B?9CibOgTxjcf`7F9?ETE zDwxKeIdPjQI-?{CKQEaR0 z#;RJz!)W7syl^W)c=;NBSF#2eB9@<4&_$Vhqn9yq=aO5Nze;CqmMq+|#z5OhD>vV^ zykb2u7u-(1^TJ#@!N6k8w&z0A*en<;JlCFbpw$i%khc~6Taa?A^#q$!Wu@GWC7W*$} zE3)Xe?{nwi&E)20M76pr_!|$M*ByiiKxKyBx%b1jp0gwVrF!=|A2v6=_kJ~sEh$81@_OZnV8bW< z?xVW1bZp<|*IwJc-PN$QdzEh2U^=~cH}X!L_kaVNFW6GFbr_5W=1aW<0kQ5$Q+6F# zHB{|P-o>Pmu4o;(zd-Kg_q=b;*ON(-25D+4JsP=H$>0Z$vhT5UM8|bHOa_V#i}S0| z#Kv-9%A_c7W2YPyzYXvCDewo4@wAsCX9SQex?W}*#v59)t#yC7q zE0RpTkFrzH*afwl6>Qh$^7l`s?OHJNs1!rM{hJQI_5QS;5y*PwvSI= z?cMY$DEiyBP`vXuvut@hD#_?P#tXX*Nu(xSQ8}KewNE9e`GqX#&C*h3()~(wec^8B z_6{Trft{jc8&jFwvbA)N^Qh20m!}@8oIGd9??Uvxm8d*LVz=ZwH}WffwJW%7r;wxW zD|%Fe0tkbgZ?$D)8lY_52%86;2XhVyu9V<`VgV%!auU*4ncDE4B#VbuQ%N|~(HthT zVTfc|4EJ%L)qJ-WN%}h4e^}g_sC3zCYS?MxVSb=)p>BMrA2Hb|bjF<0s$TbFdi~=m ziivW;UVRWzvqlY$d$1e=-8pvHhmOeyF-7K55T;gtGr7SG=`%%j-=eZE+&`I zmxl3YwXeN6ru)W14@fYnTp)yz4zU?ZtRN_d&Wj71OALeP=VEl}C>NoP1swAqEvpkd z`F>459I<@Lk={z{4CZ~vlN%&4VvT_ifi|JbY4In zC6Z}3vz7*qM4V(qLom<;z2>Nn!wQOl!89B@X)$jAOHV-qD_Sa_-Y0wmpK~W`Rs5&Z z#7|0oAMVfBPwze4-51l?E!NMyHa1QKZKQb{@{f*=7U`$TQo~LOE}jk5xcN)H`1cL& zH3YQdpT4SZ^DR-(d2Mf>`dqIIx5=olLz>YMtfbX7*I#6Gn#x@!2c?GnA~F@c4;?qy z<23FPSxMRw4~@Rm&pO?WDfYc-3j38IcpvZkt%oYVSt1w|gBla2Te-aZ3a5j2*~$LK zQ**nFV2LIo5AW8_kb~FrERjk6p?E-Hg-ei2gp-Qd;l3>GtlWa80#Qz%h*-$W;OiYP z(XQ0!3XiY4<#s?xFmwt!VY}(q$pVw!1nDSSQqE;3h1gobjYH0=YxnFgkF*L$!b2lo z`w$TavSUT-`JSp2vpi+ooS}ruzjE)?E=qi6y~~7gOux5xfzRmwX|nS}Ys&>~FE} z+aH6ja7jGfc=mXvRGlA`iI@hyFqO6#=w8%9kc>>w8o!#A4rr*e*Z3AP=_65sck7$ggh z!XcaJy!S39l_5RjdP_M}CJPp2^)_x4Rw-Lo-5Yg-*77pWxuQ~}-hXlSmOA`0OcZ^y zwTSqJdXIt~hx?wA>WG#UtOB4LDExMFn+>_(o z&Q?1cH9}=j)_930uSVxgUdoW4Ki>Z3e@PlzAJPX?rSgKRDQ&OPIkk9Tuxip95rZSupan0lG2sp+L-LtnSW zEtuE&aBEw{JGCcOZ!#f^Pkf;6L7lo76+fI3qF&L2F|ntI!JJF=Ra(|Gl zOI5`cwpesyYaBbpHm%7|n;G&MdA0mLp#xs=^mt*T_;&CI`WJT!WKU^KA)I5HXhs;e zq_m=Kb44kESg%bzPdHLJM%xZ0b9>JpKJDA%B?7TT7o}9QtT_}&G=sOsvKqSCJQPXcCO`7V zEf`O@=(zBQo<6A4${naBkm)cq3iwT#kWNW9`7GKo({#4Xt{(ta_>rt!<@h z8dtugrPbvoD~Q+H!Ud=GqpACMU^{F696GmNOoidP=gJ7I?++U48O?d5FnkselYgpp zI387*tfg|#R)YQT+espVDvsVnYs5aCmGSbUSyX~dqbEkR5gLvaP)+)AN( zKC`9qBb&EV8iPE4&WA+>7tnge-VnATyS;M${B(zSwilOxi$B%8H*Woyw+fRHzQHbM z!S3vu8kj!%Is-j)tU7bb4lW&=sLA?lT1I$#;*Qn*2K2%BsPQl$#sF8rZOXYen9O49}(Ti79 zS+Zfh!%R6Ff1WA&(Jw&^NKzSEna`A>(N-x)(JRukWsW@PK^$X4@z5USVeaPET!PS4 z!LNn5X|=+N6R%5Q$_yoce2lBz>8M_n^sbd_WuE>!6*b-HB%8&E<<8L3MPNQuE0eC% zQ4|C|+;WtV^3OZ(hEs~_%TE8QpB)aOQU$2gF>ZwnXfX2c!fX4I_?x6;x+;4DP$u2X z5is67Xe;WLka!;=eW#`ier*Q-R`@ZI*8EBVQ`rpUUerS2wE&@KEJ5h4n~9_KX)??; zwo=fMhV_K*ZI1Ft#Vh~k96A`dXuSsSh0sWM2cYd^U*uoJu!Lik=iUMHoVhAh3^I!+#^x^253UH&sIGpd zMa#qZzO%m_2-qpe)0Ya3!bv;`U%(T5ewh9#pZzgjXrJZ7e2<+v20v59*=i&IpfCSY zfht)Xrh$WhQfx67|L)Wm7T>kECuSJX@~b1j{XG%Tge5HQlnVU& zPf+LSc9H>f!_*@yS@wB$UK?C4B^)3MSt2p^X&ZaiTZ+t6CUa7}CvUo0^Yp z3rtW*LoBwekQ$FZkTzW_7Ml>bcViqCB0Sg>7TK4VYbT>DmG}zfKBWZRB}LlKay)y> z<5E1+*lGKCOEC&(dLF3tb=YrSext$E%7XvWH6C!@LYCfaRV$4AlWk4{}u6QZ-dtF9ZJ1t9|*WW&(JmjN?OEEKRsOmqg*RB?q->k%iIm z*v)sR0cGS+Lsiglrf#b{Wa3@N^U(+57QuH1Svw`OZ>FZ%>YdowZi3|ln{VTiT(L8J zgI&kQjGwpbHIXmH48Q47v&;^wAGog*G8#0#o#soh6!b$R9y$a?^x!3BkIDj;!Y1bf z#%d0vzjxozkir3QAMFlh+oIj?_w(kTcIZlxtfs|$)E779bbp#mJ8@+I2M-~+a-ASA zQG{Z%8Rz9m3|W#06OtTvw;oaSgMLIbZ@DN2eb_x0X4-$V8Q=Jg!}Bc512sX$n7HG9 zOR41|(r0tg`}5g_dI3Mmb6jm4WVG2P?)BXlWq_#1Bua%3j3$6gz`MIS_n;A>IlPg# zN=-BWSoVm}8Cx^cEP-81}g5)VJNj+4uV+nm5!|mqX zppZp-_`d#gcMFv()#}5~V0mDhGBW&#TXI^xvb$D7<&62c4JF+m9EC#eS#yFfP%?Cw z?5Dn`|5NzSkVJG~49hywE=H%;7s6VQeUBo{PnZ)4oWbI`^c93tqO#8ecIewND?TynoqF>@V| z{GyT)dOkK?Q&S*grZ9p}83h@_8Ac-H)NM}T9uzH@y=7roXZeAaF~zF#gMAgkEofKR zX+iPZS7OA==Ic+wz9%uoe(d|t83IAn@L%b^uLlxc6e(x0xfPs(pppIOnzal)$j1VT z^%pB)@97epC&l4R@aiYv1H@F;J0gYn9L{NFvGTKViE&b;e|}9QR1}^UdSbZ8EI(rU zB|P!ktEoTqvJ2?`4ew{Mm$~)X1qsL3SOuo*FE`z$uv9-W3acgXfxe*Cd9JwjIJAwnq$%?1lgY#pYKbvGozvz;u5ug)h((~qPA=xaF~Wd6O>-R=nuipojA3; zG9yZ-+A-Md64d2POgsy=-ovtQZy@U z?e09iFpj<%yL%SKTU-O4QjQW!o2~hX)QIhl68{d5 zoy&!5VZ@9q(5s7$)2}vcCk?;MZKhaMs5p4V<;iBGvU%_H z)+&`tkw&L#f<* zk#||m#~s&J#LIbV<}*AxYV=E=q1=vVp)>1XGh7|R=Rc&?BH)q~26 z(7llnrHQw=pFd0y8oF4kAItSIh->AJA!5qE%@`c7TCg-OSl^tS)_b4z6m-KZyqkFY zge}+%L~crGWel)9nwfkq!U4zi-3RJoo%7yjOxf3E#}~*WKK&A;+ajzByJqr6dU8VnB<32z zuy2~V7(5Mbp7ySTDeoR1e@Vwm%vCo|4)a?aQ4giu&zPK%q3xm;wg~e%-u37UPS;EB z7hQ5xa`7e%8a?vLXNW4ydlulAMn)iA0;Sm75ood~2N>Q|YRUx|@ephw?u3 z<6>fVB2|iECY6b+Dk5g*9qw-HOvutOg`ypo*}N$kXUEB5YmzW%F?$-`p%Az-n{2hy zTlhhJz{ZXUq#@$OZSCcC(VOB+UPb2p^3bD{$2rV~{O#ff;lnEF>{locDTT^4jTsd^ zwLpnO9ea5AF%qeG9%2qUdPe!cX#pAPh;yV11h0U5_=se+H!MxiLHu>^jI+K3y4|tmG512mrw;BS*%Pa!90Z^P8M|`5f7XL_s{HG}W4Sc+mPo_bo!75iesjGf~=j z#n2+t)Rz`TW#Pwg8|;puk(RDF@l;bTSV|O@yqWo!+9m{AA$9IMde}))yl^XFS4)U~ ztI6waHeY2I#5C%!Z-BLuvy78bCRV=0?co{+)#pBvwh4I?EpH3EBuqA*%3?A-n(OpK zo5YaT9MAHCBTR8brMNh;h*SY%T#~ymQ}hkXv-{=w3{abv17Vns`!F{1%j&QF0=-AP zH?k4BBZD-wbm^fE>Qe7bd<ITr_&kk^ z#!;u_h_Emz(ThuT=@Eiuxav~3O<+o9g!I37`|7ATw`I?6+}+*X-6dE8K|-(~!JVMN zt#N{T(BMvRclY4#?oM!AVob#)wx`;SV0?{PNOSb`m|zuJ6B2>{B*R5FG9HseXpQlk8_m$ ziziA~$I+wa>-(>F@oPn5$>g5x>(R_o0^zxWc}Y_}&GvHa40LpKLF38|58(;du~w!R zL2FQx3mdJp>x0aaFmaQW!z_>W%r*Pxv#DkEwVHXl|7LvrEn+4D1O;<$7o!=vG-3|+6_V_l2M;O?d5fMkGvtq z@zC4eJ#^hZ96-0k9oaj0n%liP>_h#0Hi)~ov)~X*IR8zlW4VoE)w}m7AeQEri1X!j zeB>$o;OuR1SFGhF%^l1L2`|f;>}AX0_g0QJ++ZluypNjH7X^}e8;{H3dbXmw z_v-4*3D!RY%^VPPG6eD6;39Wq(a&hV6DkVm3M5BkHM{m;=%yRTDhbIBE3)>}^$-4{ z(B@?nmPn(aQ|u#^6|mdvJ;{r_b)Q8Q7H_X)GiKs5>;uM18x|>ECX%`spX}~EvY(?D z^N)VficYAfw41!=wmU=I|8?%v}9ps zIY;CwJVVdTZxo{Jp|M=-TwKaXWh18HpG>gv53@X&y^|^J0i35iaXdbu8{YceUvKwL z6Yb%k-Z^9lI~DK*r4B!xqS*@h`{jHLdzVh^o0m}&Pk8-hHMW}d$?f20+(pSkTqCjZ zj=r&)(wtcvh_bKBMMpJ(9KV9z zGAALW3z~enOgS!1g(cuO$6EOir4tn!DTLIYG0MBO-L$*! za5=zbGlf%t$kuM)Oy>O_`X0jQx0submqIJcs*Yv2!(*24>Foljkar12wkL*;u*QWXr5CF!OqTB1nB4*b+RLiG!s@RZpKA zwGkXFoUvB^B6jqcyIZCsME8TWVol)K24T{}h6U)8rUI?lz>{naUopT*4|S7JSQ%BP zl2o12U15xM)IT^nI3!JCBsOb;>Avhx={QgICh49j76!oT)VCEWAeR!rA*|$7%EKzi zyQot}KSuDb`qBZ}EtKv4tf&R+?R$Bdq~vZh?qxh3uW(^&{f7lxWv*amo}`eg z#%7`xHsn-FZ>hHIRn$i}!qj&=7r`@(YAF*;A*GrGDo-I%Z`99u$L#}`k%Il4Sx5yV zPAW#9$_y+%sO;<{HER}+C=40oFQPGWkRH$L&Ka5H8guTj=zLpbMK7uQ)xO2M7NIS9 zbX`!ea2($Gxv`?w?d5sy08TFTvTVT*)s8G)={pZEts>)6?+C0Lh2j?eyaR#L$oPSM zR08*R@96RRI^Qg$e7a7s(C`O_ejc;%PWLr{jwl@~9b1M0 zYL@F{6{jQrC!uSNLO{pG7x2GHeX3Kf)KcBVJ_&e_a^WovVe@eGW&Ft4hK7S~lT57l z99W)eEg0T2WDAH*ELEtnNC7ZC%*^r^Y7?l!oaDMYPZ$JYM%2Kx+~tpb9UIseYZVb~ zV`^Jd3jZh*a?dIe!g%!GTu^##{r#&k=&!Dyzeee?vw_>vKK^^I9xo@j@93|KQGh=m z5O)CJpI=Y_0LU}|Isky!0RaCF{ww&?GsdH!)_baffom=U0H9GI@}1l{N|JF}QVR%u zOGun#fS$qj=iPrjAc23re*bI9zkdE#eqY<0|6=z~kMrw9w*R-|)Lt8@{+g!t-wadx z(`WS`^WOfWv-K}={;P5IUl;5C$4P3(I+kTrb#_m!Z5sqYU!8VAa~x86nlsp+)iJ4W zE2|motm07@_RNOPNk)->>Rh$I{QG^p%!aUH7Udr#ayjAFLw;+ zINxhwwmolFdAm%mK3$KFHa4w_Je-=|ttons;N^Kg^);#A^p)I|63I3;y<8^=e(e)I z4{L1te3I&5T65#=aUHr#+Tt=#Z2zAAder-_lh|He+2vW3wNYX}YiRq9_j}L_pKx<6{*Z>WI%%W`KD+5Wb6S@b9Y&Y zo&TaB|D66^Wic>~d-w3c^yPk-xTP*~dAXu3l3DX)D=wkwwAJN)}#uRZyI9dASki!-Ep-3 zXhbZD4?d3~R4+LFg4c`Dp$cDx4x7|J&U)}rxBZCGRT$v?cp;H|<41poj{Oi9;>pLz zObUNF)|B0){W-Sd@pPr|V#QeXj&%h3hI;UlRDK{bZ|iV!QFFkFYkRo_uCjdNPPC=| z!G#31zcD^drQGv1S8cMtpW1X@)xVqP0bNb`f7nWvf!JBwkdT1_-64l=9F z8sceHmX#uo$<7(%C10IL1ComLjEJT4+gap{>|Wpj>(_HnLTJ47VS;{by54wBEjwa$f8@KH-eut5HfSV z{LW3PxWsY9BG+EQpcT;$V(F;Ms&Ej7Ol|#j!vI9}lVK?PEr=c^6*5sf(?F~3k+DTx zWt)I4T+X(yBBc3Wk7wdKDv5>940Lt4DPf4H1S?fc*m@yulrA^u2SXBb>K;6xQ{0ksKx{&fAQ6e8HIK|%pnmp~@% z$1NTvR<_ehnX%I<85;}@h4_}3l|o8_jF1Og0)57ZPe`KH?)DSw=O=FXRIIu6A3IO` zHRm^voL-L5CC5w7Gbl|B>>3@9w|p#L*7_O*C2ulF%a%)2k!QSwAnqkZAr2&TxeHNS zaPUNaZ@q57YxMuvzzx!T|7peS&6WFAZqRo$ua>13IZ?~1WiKrlMm(9X^k5I9M6m1+49UC?vpL^;%MDkEUe-jB0i1(pzbGdIE@fy2d|TdW}YfKa#k6>b7|cfY+;f z$^*n=hfzj&6WIDI!oHl@uPz{enb!M-PMMJhz}8RzvLs{SngP+X_k0lXq6A9mr6k(R zRsX10Cp6N0Fk-p0dgHzBB^DsXrY*C}2s%3;POF4OR_HcJ9d*_^w;0A!6A$QUT>^2i zg!hr!0N4S60T_Hq5{uBz_`}fiD?_ABc9RwDn0yEP#gASqf}c8?c@uCB-B^gW)*V}F zn%0Xt7TjgT+)v@mPO1Mga$>Hmn17qyZg>33=o8}|%nkz$l)JI$9=5loE1^6DB~M?Ws?#Gt_sfkI%I+G~?PpEgt}KD? z{Iod9@Tqgm;Eb~O4Col5((Ab;cP{Tdndd7HU!vz9b&oS-xNMhqadt7cKx{OvCl6P+QXi>%j$eM; zNJ~sBF2G{D;-{QmEKE0?*Kv*?6-EKJNB?#P9)VU{w{yp*&*z`{yFM$ze!0mQ1|#{r z;}yw?ge9FC0MCB082qOGjLpKxNK-AnBj^d!*>KqOPJ zj_faN1*igMooofP5Y!X921!(}D<~$qB~@m8>DD~D>G)BS@jTD15g?f0yf?s*I<^)5Y~IbP$Iaik z1(o66Yi4#piQ6wdZZQ9cOAKD8-=-8%4Hem}cbwup7p5MhD?RDcb@(_At2=&6 zP7Xt_z>fk0zbn+Td~hbnFVaAd(2(oeb~66F7MD`lYSIP6vLaFWq=#ggGvP z2b+NHzi1tt@tCtuAO{-Q7P)%!yusJDsMLNbYPWaiosJV^i4q^T;tVnVm(OfI>KIBA z+o>UJP8AM%>>dIG>igz{?ex(4a6foh-|WYWV)=xAfpke+QckW$gVU=7pWw8=S|a#k@#_-YPh@-vzv5W+_wrw6}eA)>*P#q=Gy{8me;Y zsT=Va(}?DUcgHSItqiOP!Knc00lzJBd0-}r@mMhgQj|d6(Xbh%*mRaG-hId@4uV}( zs!+_^%11;#y&vD}aSp;D^yD&;uU!0!UZO`DKDV*)FeT`A-lF@pn*b%ax90|Xj0o+j z=f)I8FIhGR!T_83kFTvWUjxuYA*Y07!X1k7fyfE%08S6ncI%9TNRcA8R>QzKst3Dv zBj`=g*oMJFoPb+}uxP6%AuQCU!GoOqR1W)I=rJb3Fki+dL;xek5Y?X}bQy=_W%IF` zGkVoue?q)Ubl$bY@)*Jd({H4W1Z0!>52s$KHC+C>yxSQ%?$DmTs0`Qm0H`TyD5hZ}m)D(i>-sg{R z;S&V=7N;X!TfM~kE}6$oOGF3{;&u5$;;|~tquuma>?u(#ZO`n3Y z^XCqMxMB_YrT2HWtQ5Ab$DzWwT{+{H;VGv{d05CkR#-Z(85U>R7pKdol3ANOVOX3a z7a<0vYs`b22(PZP=id64FS=Dci^sU+<-T_QmUg6G_TN^((K4oj9Gwh3@q_{eJ~$*o~02|e|z6I;VtN?%pf z0f-Kcdqo_8&={=u!_X>Zgi^Rl{twfheR5~SY9o-?bNxJ2?1$I#T~<2O-*^#QEbk0P zqw1@67Q42Fk6x&2j6JMLEqD zx{{V{fg}&0Vi4`sYG~9O82w3?Gv0D?{6mX^{L%uddPa&!BBftCEX-z>x!B!CVublr zD-pkybXh@-D_g5%Pqi_?(Vti2N$w)(;{~SduXeXGdS-|Uh~>@<+_~SAmQ)^u*(t+> zT9U@!Xv-)?g8`SN%g8#&GsAKg_9Aq;K*tJb)p%sFlFnBb2EZw$OZt<|eh{|7Km2>w zI2dl9!}ZU!sWFr*zgbBR+%9-JhTGk>@Rn)n7PE8Oi{7V|m`S&3j2HiYz|LJ2wE8B8 zp?U0Qyh81IVaLow0(g23zM$K^;H?fG{gQ>uOtCMSZcar^woubFT-=dtCJ|2TXNdyf z>&cgl*D(Hb1YA`X9r^G7j^7Vg6X`3_v-Mvt;P8dEmN*W2qM8W|H$6n3_Zgtgd%QF< zpXO{TeV;NmwYuxSO-$nPt`%AG`lb*G&Y8|?41~@VlVBKLQLqB{}H45M1fsiFNz^EICzMyz>(m|k~~_G!LwvO zvA24_M4b83rax;0P3Y8sqyNnS*Ia|*Y^vtrrnqClTnD+kDwRAO^n)B-)gb;)tT!=S z6fn#2cl4~-oIphH*Zk|V?yk_hJr)uEnu`Bb*b3q;Ir1pDZ zQOek3oH-FP!3N3Q3~yc|m7ItKVT?+2@(}nxB{-v!o~Up-^rjp1Ud>2;iDa-{(8tvf z57cR`hC{@5%hb9@YK0 zoz=wbcHzuUjEX9O!K(GI{;Rzc+xgFd0Hu-zztQ{=o-l!yZTiQp8a%!lf_S_1*l$+d zX}2>^M=cu)VDcxaC1$;88sj%%g5C<3&r$ZfkpA;^aIQ{YX$UTm)I?`a2FhS8J4-+m z!{}rF11iRlXvMaFfE~ctY4qwUAwszHG33Gf)#`QQnpyYstJqYN3c?`ijpM0AH{7ti zxx5KsAFq7EbEwS1H#CsqH6BJa``D7nbW1J&CXkgULnRLhXHO(O#@1*J`In#|x}LJ5 z1v)hA?VId1plaE2w=VLGN?I753-*}Gum6pHk;z~5RWbifpE}2AT}>(y1Ep|5k|)c; z<9JOV_6Af0reEL&rmt!!Ri=E^HkRNb5nEO`C!8ztoDIhW^pL!46`c2WWM;*ENDT2Y zR{Ay1`iACbAI>_mpAo?dnwjTuw2wu3-j$g@Zwbi2QTgh!Z2x_Rt<+z+2?nbhnfq(R z6ea*SBmR;n5gopVqYGPKPr#D-avt}XY*Ozg_jJrV8LivAC|#5Y4s*6dK6QA#z%v_= zz|2l228qF0qSC1Nag#?Sr=nV_iJ?RPN#>PuYM0qk{#0l;dQrmKp^yw;3r91WoDdQ` z22kL{LX^O)`5c|0;Z4w z{cj3m5E8YS0iRB-Wf3oC#SmXTJWe};G-9b#vs^l4xHG(Vxl@@Jx5i{AT)|-pWBF3d zXpzX6@1L@nnGf(AE_g{yaGnLOS(c+DIP*>@h>_JpNKa&Uwzv4!Kq=ecJ_rl4V>(d1B1P=Ze} zn-~Z9%?bD+BU8+xlLN84=6==iG472OL4n_EDxn06*&itXDpdPq0kBXV_3A`BQw@v9 z+$IrS^#i8{=y{A8P1*w&BJsflIDa#D+PKkkJ(^@aITLdJmcIRku(f^di_lFCj4BF^ z)F#7|LiJLB0#_6&KfD3gRJc?h_$7EQ9FDrRHr8~uq52VF2k%HGW>vyq60T~%URO78I1NQ+*Y$Yr(0)r69cjWN^Xa_O2mVJd>LtSfH6AbSTr zC64i*Mqb-<(lUtYbdv&NSE;rHiZvS1Maf_11UXhH*`N6^lw3&+AVC2=DvvNH>ymRu z^eYG+D;woQ{X29*LmD(!cy|1;tbCd17li^#e49l`3njwi@t>Y|!0ms?2yl4LQ8Ci2RU{XEHY44w6pP;J{>(j5leL6ZEyrC6xfG!vYl`y`;6+5)`Pwz z)_T1xl*ba@opZ3}V)o>vE5#%Q*y!dSt$uzV`7%JVAR8)XYL75>b~Z7&uv{^%CtQt< zZEu;#JG1L1JrL!PU5_LFEdo=6AdYT)>q|HX0aMY1g4D4c2^0m1N^LZAFGDEu72>NRk^e}IL0n@Z-Ng0O!nvU+DBxyI2T|- z)f2*S1g2B31Esg`wZV5*HMw8f!N8+fH1GME+jC+1TekbNUvk_b!O8_~(B((l%DQ_y zeUE!TDf|Ahbv=aLGc9e_Xu;`(1GjG}tRA;Od8eHk1eUsBP6}nSRP>CN8p1?yA?}(X z!;G~Uju`T|VpsYZ>W=#u-3Ozg5b`)2lqdYtJVW?G2rry`0HPA;QmoUW0aC56L%2~} z2O`c^=QEO&pTZrp8&NKF)!pMPI4Fi)YcrO1!C3V;j_fqHN@d{KCBazu6oTVW4RDd) z5$Uz63paEp1D$Y*dcA~ymlmyC;v1Vuhacib>20$$8s&X$8LeMfM=-4weh>?71PM2{ zP=6ivZe$s;u7~>2Uw;8`AQfRb4OJTM`{6 zckb7#pyhk0p~gZC1I9*;l_f!?Iz)Ayd+9>8Ici6h#Zw669j<%{iiLPg#S+!=^9W79 zTA1IIyq%pyV|+Sd)*NV&4#q|RUnl~golKv)(B@ z&$?tiI%?p(a4%k4QHn#BnlG?#@9mWj=@`S>Nse-pH=;b~;k|##TKRcb8^N=F-QAWF zgjxoddK?E6q3V`fWw zt6j2u0k&l6p+-DcscN_iA9THzC1l``PV*&{d|L8G1$&5V)rtdW33-u(%Qo!PZ+Ji%eUT?+C!Wp6y;{#jL)=$SeQ<8y5S^YV&m)RiC)> zxm}B|ny1}FK8f*w*eqx89qh6pa55>OKN@9=v$Zyz&XV1J@Je>bS5?4Wc_H00A+E9S zzr+6Zz|z+FE|g55kDnAd3(}*}Db$@?J3(;X z%Gee$?*O7Y7ZZ2X?n?jZPhWS54?I}L*@HkrEmm$bdHvFN%-rCzOu{Ri4CdRIxtn+8 zkY8n)3o}d69fKGVsBvI^Q9BwC+RL62LKj1terU*pHUB&DR|)ybsv(cZ%k_dGk4~tq z@Q5>)Hfpm>ape40>G~hwqMLP{sX$9bl#nNXzoO+aaD?MDa8x1_=ccq^+ zj3>qjI1gx^Ui!?`{%V)pi_bs-hf>Ts=lc6awI!dY4Em)*HE%0*iEV99J*zqSCrzE- zwxY^dn;_rb%2*HbgTk%keM-m1B*lCzBbQYCu%SO&ROYlJFwsYe@Lt?#w8ubvYfplh zcYwXahUNf9LM;0pY8bw)1X%%AEYw+X^srBUcDAUxw0EA1gpOV2Aq!W>$?>6cMu*p< ztR6V;HrB%0S?@He?OK`qbc$blwvqMXq<)E|(IOcg*o6~Gxit80ZbgHKS}OblY>}Ja z`T*LGURA=CvWodH@~Rw{=Ug0vS}cz@hZ%lz8~(wowt(v zK1Z(({#WRa7lO&a1T{VR-5)!i+|=HIuFMffqw8U{JN*;s6sz??eD=re$riSnD0d9y zL>JS$z_d|m9-XM9nl5HUjz@-V_hkRv@4PCkO#L4GmQ&uI*Dt}gr;-0i>Fd)PGEk`v22y^*S8$?`|vh*LiY(?xp$9y(|CB$NG z@K?Ll|J98vk3A1#sO#)@UR*W#BFF3Dal^A_>lCzoG-1*|@wPp^6` zuRgA`mNlk`Hs6n`ca1E+Bv~t8RnV1 zzO&3)wAFq2I1tTn&&J!q)JcL@+WSG*dD;5N7r%FLm6+kBR%In_Bgoln7iJQX*WvpC#Nb_-1l70L}a9+Ph`p zrhzbPHS+3M^fc&35mT|tZ0Do}kyGv_*EKQwO5<_a$AlyZdEH6o!^<7${y}gN^&yGp z=Kb9G@h+7K&9hfB1)+g8+wJ-FD(%WIH0zhE6UQZ9ye|i?MGwcVD1!Hk%FXmWtE(+M zCZaf#qkao7JtDI1FJI}NBH|D#8q)io*p8mqJ}=rWU5TtTuZ-Fy)_xRDT_p;936dxr zCSg0!*lz3EBJKsAbtNy1pGtctj+9L%5C`t*A-(KckJg>01&u#$d*KYdB+$Q1W>z0} zt4-bwEULep4IU}ltUB^OT&;}mxon;cUGI#xxnDhSD%S4DWeeXDPKH0Q56dhrwbVWY z9Q2+$(Mf5A@XE3~>GYJ%+Z;l6;o$M$0DwSGXwZH8AuP1F(wR)VGoU;HQ=?C?T%qG; z4j4AfKv~7dfd~kSHAT~NnH^!!Iqe&O_nlBuN%)0~&`wzf2@!X7UN1r;=?t^w)8xV0 za2l^(Gbzf@bQ7xl*o?J0u|b=A>q!5s6k;QLp3*|M|5zHibA4(*zVe(y_E?A|%G}fa zNfbt~P7r%FZi6@1%)Y5kR5(tAS#M=3DEHQmUZLxJxqLRItw^B1rvl5ldhR=pmyX4Y z^@sOx>C433Qgtaa<}a(FqGs{SWa@Xq0x^o_KUsTjPPRYI41XI4Iu^5Og0`t6U21un zU2;nH4MO(zUg>3q{5LtfBrQ!<96Up;18_jcMx8-v^NiIiOkpTL{1Ohkw?yLPHm$|uuBm)>Y zl2Nute`9S&LemB1P@Be==Cg`Pqs0YgRa#jq0X}P)*>Hnq0duaXpo|j9_V_tO7iXB9 z>3C=q~OCWkZkmBrcZE?D)ReT|qVi&+K=z z%%X(IU#!$aUesT#!j@^AlyRM&J_wq(Y05b*DI^2MExIBWB*09Gs@=W`h4=l9{`SDn zmlV*ZT$lZZ?E-~pB)Tg!6UCf*OaE6L#>E(m2S*~J$OmyEZ)s6!@weWtfp6-y5kW?g zSapKW>x9EJfh32{=X?2M<=5RKNJE%{kXzq=fwsRHUm-ketg|YXP`#y1n1Y2C1+)rP z`0H&E^QBVS8{p?me+%IDUcy{#(W0Fp60KOVHYi%K33HiJ;Vu=f;Ox5Sj)_hL0Q46r zu0C``B6y+RN)vg$VPhZ;1Dy(cZ0|*>!6GLdXt_nKS$X89QMwrN=Bh4J+g-^D-m}P#S}Wy^Ze}1uAOV!f-A9|w#1FG(8w>Ic z&F#rCNy=>^u^>$u!UpNGwxPz)@gq^{Vg)~~%1*>*|BkHtjni&}OZt6dwXDeuM11!> z_m;$>iyBA7mX>6Mod*^){VbH@eS5JnWAg*?m z-j4|cg3Zn28*`mgc@ojMjosW^ReCS&ko33>=iCb2cun;XLCqtC`7$|X+v^X7Pre*? zpXj}GLiC{TV`4n3@dEVHsxGOIeHF2OUk65j-@bga|LFC;&nbtUz3_AuecK}K44R5s z0J3}qDDLPU4iNj4UYb@C8ttqvn2UHA$1;(>mzldwOSS)If5DMx)e)ifgNEa0^M2TX z3{(#==o?9j&3nppurHLRoF{P z<}bRgf+Yi3wWR75YP1UbFm-oDGc}KL5bhHW(rz4~&@(QuKfV`bx=)MW)G2%xt7k*e zW$m@mvm_0A;!Veo^lTM7Hcn>+L+jEQW-6T?fR?`R(9-u-m3V?HI5RmT_5%`HIz-(l zFV>BqCSnJRu0A5y+e}14JcksxLbaCU9@8-w`t@s)IRWdKZyB!zXv zeRafZo7^sq(;N`6P}Z&&c*T?A2F&Yo+TDA+*b{qu>j$4#$0?oGLfiU#OkF0mvb|sr zg6e&soqs=#gv&&3E|f11mkUL3ib2_%fcSJuK6mgUv;rRo_wlfNGrpo>KL!)4q~|n~ ztN;3dZ3GLYs`6u3M{zaL$|{yV5gkbu^|Kc5A2amXzh4IYN3#P?;@pF2=N ztBBmR@Tr@`d;#S7Y+F&}`mV-MgE@_0s|#$iPhp_E9PAg07&bs_Krzo*vlZ2ycU&Ih zq#o{${Y_LLI~Tt&$oGvv)Ts7Zf+#_z z@5w*{2^6<8?btn5NOp6b<(6F|#JoqPPlX>$G(z_A$$EYxp&2As$F*9Rh*9SuL-@1% zd~jKDj+x960kp4k!FY0H$#8h0te3ekBoBu8+M6_ZRvR8qe{F zfrvpS21VwN>Gft?m@`|Q-I{Pt+bWLpH%WW}ZRj#|&yIA`Q`)<*&3yITrFH@6w(uUh z@D%08VyT~D&P$!oIL8$&wmbI<3#Y>RB5noaUx=V=(B_;u;?7f)x7NKtucG$}wh2kz z1x8##C~l+nmsGRiN;9IKzjIip{VLs>3&(a{m#=vnat%}4r<*|Gt%N|K#VmFEcKto~ zr<1mJp87A2)~1mUi?h!{?40kdwbC|h(t#H^2?xeem;u$u_MPw+1Y5s_f3{Hd7p_{a zUTYcP-C+E#A9X%HUFGPqmm3B&P@90@>q4?Q-Kbsf8df;zK zl7F!dou=U7hWAjkT%9J%rBr=OG>V!;LZelj zS&Z1sS6m&ADVM_lt13tTxAJ5Z40HHCY2Q@5obmCl>6X^)n-ag&>ljzR&AC(2rs46; zdY&;sPNv9Bq$qI(PO(%LwX!ur=v6=~l05~K_&yoKExI6@xI#?3ssmLs0;d=Ze{@1K zN39X~RlfY z&x!W!dh#%yy>ce+-*?x1uv*?DgyMJfg6uk33)0z*2-%+&%}K^jFmQu;%PtJ*pGd=; zTb7JZ=9kJLhKGq4ujN!t_f{*E8m-zD7o9r4cew!{o;3rnUgAhM^&Gg~J2a$$AaI56Pr2mpO64oJ~Y z51CL)r};W1bhms4=v$I-d1U!rSj>K@cs>(VT|cCvFv-uRiAzgPutKXKj3 z4aKk8`~`dK>p<1lS*$G;`4PD&%%$4E@_@Fd6+!!@)z)iga46}zm<;Uf_zv7q=AIq; zs)9Aa)nAyLkteP&Br^?#Kk5K2@Ms#`o|R$HCMCVmal7oYMBjWZV*rjpP2H#|?O=k{ z8}ZwnR6m9?3Kae^?+geGbjVVI(3&KONqmEe4P_W__m zI05?vqLCl(BgM(X6{t@FX$9j^-A%vNZo$tERKe$l=!bHZJ()Ro*_CxI*j|90&mLz+ z*|0hQjhF3{jbKFjh-e`%NH;*)HGqtc7z$d{0CtEr3?CR#f|3j2JLt9TG8-G~8_ta1 z4A+st6!{Ox=NjO0DVAW4-UKRtcuUxzP8`t}1xvV9Z+-)lWL77XkZM8XYN6U zC}@NRV_oSL>wtLHo+^lftW^qLr#4)+C%e_rh1FT3z}$%6{IJ&-97j_2M_=)2Boqg2 z!4otdf#tu4V_EXr8Pra(Jy37sCwtEVQ@4@jBRtf~*bY)Ta9J3T>0=MvpuGW)gX~}k zGE!dhaafMAm%Sk<QG;sD=;cd(#^clqU9Z%|RLzM+_ZWw7HFnlBW(gjL!mJdOgM;k)lN zGTYnT@SH$Y2y`NqMev=*87<+HovNe_PY55I{s9;YFBo8~!Vdm_<7)#66M`t|_pl>J zgX4XFJTvtu5x&-aA~lp>rO@B;4IgPdUw|VRMF50j}ryD)Mn<*5& zC3Hq&+YB5Yhjwh9MZq;+Y|e^!NE9!SV*(^g7zd|4q^jAQcN)X0>kGDP;A}SlpNypu zT1odSDl@aAKFrkhXDFu4p|`lP#ECPnM{4QLYGYOjS>;x|#uz4GR9$<+09IFW<4R%$ zr!S}5P@nOW`l3Ind=|)w*6ezEWGX$7a8xa;?s6n_b;TWR??C*?mjzS(G>X8S0lA{DL&@YkenTus$MckxyvlZTeSbNH;-WnuMX}v0S ze*spPKN%R!RmZlqxp)cxB8tvFOcvhFX1zy?*|jSorDVjqAe4SV?@JnR1`TyC2Gl-A z&8l0a2=^q?832t)oi3ysf^}){*m%SR6R78ZWDG*5OPHZle${kI#~<3{`tUyL0&w6o z|8f5Zf;pvikyNu}a=XnT$)vb)nuS+; z!5x71dk%8N8OC_Ixi(|P0#F8|80nkHlC4Lc3#cwoeoIO2AC%pKCffu11O3bem%dQ&H&#y5n zA7l`e*c!`14R5 z?$khEsX@9RquGi0^TdHIny;AzjCl_7xvf<$GU?VkkMY11a|!K-_yya^x-ru)8gonU z2{+z@Uu5+}6u$L>R5S=%9zj>6cu`$7Ua&RMu;{`k1Cv5qh?SylIr1=G2p3%HVBIjFJG>cTX)lIE^K23Zd8#u7h>m=xb)s!9l) zbJR`?*ZU|Qkhp-(+T_ql$o$n|MH!`Bve=9pH%5u7~5 z+X$bz=T3CG@J(=(ohAW+#LMyPfV%VDeD_OEndXqag#n-5OQeLkF~_|e2P&YGb&pPT zT-p^6s_DW*qJh6tqjBPBG1>Z!es>&X&^MuG__P%Qob9Rp!M@1IW*4S@z&dX3?fJ%F zCyDF`B)>qOBwX)-+=|!EyTetbomC4flG_GGkJcR~XXh;w0~vH3HYvp6D>F8361XD1 z05li55BuZ{w=KS_jIV;CyUq3$g%Gh2356{0v|`q(lpR&upEnrYnC0*%`_%BR<>OhJ zFW8-xy_afC*y_RMv7V1n=et74U!niFo;_1lsnh)WWk!Pgvyq!l0&Wn^mt$T$>1Yl6 z5pZjE7ZS)DXU&*HG7BRNU|7q%ffs!8rECP>k*Ip)LCV|P`2Yim&yZkFpAldp4KH^NeNU1s|3rBx%1^(T4RzOk7xMaU4iA%l)+g)ZXPBzo@Cq%xG? z!)|qd6^))3)YQ+h3+h>1F#sjJ#)D{S6V38d4w>%6<*u7^7PJ@goqIZa`v6vZd=AJQq$J=*sB!5Ha8*N@Tz*xfh-Pn#P|lWoled<2|J?WEox?(D zW*PmlO_!ESRZ}@T_lj7*xk5mBXmi2j(;@cJtMdwYYVongLS2I&58J~hY)M_d5({hX><(hctf4wdVd zu_kk*cXy8tE@{HMTf+pS(oanMZs_ji;ZMf@k2no55)`aJ^FH8z@%9!#b!@@9FuEZ) z!QI^@!9BPWG!Wbg?iy_4uE8O=1&82nK?A|v-Cgq!Ip@54uGFnp^;f;BsY&*xm|3%? zSNHdQ-So1YCFe~M#h0e%hgKvljuQXe+T!D+_b^*QqQ+;qbs*V>+2HX6Kf6e8+L#(z zt}6r;SYw#veZs{`9JGAgw9yB6+2>ItSv79xO=nJMY>=Ortmw`N#M%54Bm_y3I6yMYX}1W4b?~)C(Es6bqG1 z;D^;s3!ReHqbz|c?c?i}apufUZ9-IOq4ks8u6e}G*&~#}jz(eKhYn#=O@vK6QdkEN zBP_ecpdrpWPZP8-E)*_!!UL2nxjb-_avG<9sWnDfGhewVi?|^M!&21W;qziN$ZTFcps#$3I zxmJLKaqAQbjkpR))1$|s^)f_R>V3548xh5A^qOB3+un;^PA5TeLYbZtGtX@t9E^ZC z6;t94f{(_9&Wp}p{H5{+aP}lxuZh_t&|YjRnV1d1A}`7gokW93t0XEjUUGO)?gBX~ z@kkcR&8KYo`5PlZLZ^^o`Yl0H(V7LC#9ZoQXV$%O-{CO;Dmy_Tp($S&TpMUaLCglS z0NV09S8TQjSVezH)LJD-7r~J2GVuY;^r4vsZQ-RuPEeSNr(Qed8MhvB`>43(0XB3Q zg8m{lVFF?kFi5hFSn23lq!?nhIhFH}*sAtnJZ* zn-`nc#c>J~x8F-q-{I{Mje8uDNX%BWG)$pByEse{1i&y!B+Idj5WJMoRzLbfQEB~A z0E8*c4p*vzIfQj3Bc+Zqk)Rr>axP4LFGB!2s|V28r^H{Luq)7Qwe2!cX6|Bx&ocX1 z5=89uM-_iw9`(YSvFt1dkL6GNS9OE&iS9l`jibHKkn=*Jhdj38t5^ zs*JJdIK$ug>)k*2D|8shWjNI3REUtbcN15cF$6>2I7DmCSg$^x1~6D`HxlYT4S`b( z$b&J2J$g)iPeVqodMNrxfdYfa@Hx00K%GNQlMl6`n&ubfMZBT0Q`kQr>9>{GO8rkY!iK9C5)@G@4;NA z-Wf0v&=&A=t5P9>Iumx0CrTw$%%P3GeV(>*%@4YPcz)1OYv@L01(RYQx~in3alxFt zw%=cTO=gYc@D=-mM*s(IQygSUR%f047k~+X%z$D4<7b5E_*DQN0Srw48Z=;h}kA4HqniY(gd0Ri<>zOL4QH(Yv{Q#5^)G)9T-w2IMK6EH-o@DL}~7! z2iPu@^BZ<-s}omQQrDk{bW*%GbMMhfDE>)MB+8W(v95#l4H*o8kH36On9f1G$m)39 zxckd2p+MNTCw!E<*7%DBc0J3$zmP!SGe81klnp+cz_d=pu+O2;XwT{a09Rt$-gx3! zhAi?I{_&30ci-Q%{Dx63XGn}ysAnOKr15!NXag>^jlFlCa#qkkIl5Iy#~|op0tT8g zVg5LkE(#Y2crl1vyOtBmSS^9o7uNyYkf(H&50(Tk@pTH?rHt5*Pkiatbg@)_-R>PZ zhZIF<1i?K%Tgq*t=Cw#vs~?T4Q`OrTLBOj7zCZ6a6Fx#m zB#cq5jCY@rU%gWAZ1C0d>`4d$lt$vyP;jQmAESxi-~CJUjz?{2&q>{r+tEzASKKG8 z(cVmVG0NsCTnj9Z92)_0MW%`AlX#?=&7%448;Y+li{UdrPDq!_>K@uB6A8=q>=8g#ktgqK;C1n?<|PC!t& z8}t`NOF;SRPf``|L$Qy*VTv%6MU`Uml=?HS#UO)`Y*tdBzLLl3e3N@AWDBThTl#j8 z@;ziTsSi7#$kv`B@t2P`{W7^;XImIHGTmI(++%}YMCLcO?*vS!=Ea1*h@5}9;5S{u zE_OKitT3jsZK`Me*sl7soVQ7=$L>-%5}5 zJ7xMYLnGh)c}*B>tYa50h*0(^WB4iJcr+J~1 z3{&eky<3cZ;XiRhB)x@}S#w{BPdB}SJO!sy!;GGxQ1guZrKoLkQv9Q+i2`!b8$J^D1(lrkl`MZ9&S%`U;c&t$-Fn^321@`H+2Rr?_yq0#5gF_ zDf0qfhHm&AA4=cC;p-KU`8fsT0ft8~4HzA;-2ne+if@KgP__f&=0(-qbOL<&X2Aj* zF6TdVZY`%`^p&Q0%n3;K--9I4iB9-E^6Y312wu7K<1m=qQeo!>@eq|JH2yC-bP@|; zBIjV^eF5fgOJzO^+h!cE+WzaVlmj3OdJ}lJn!3-UB*Gn&&Cj~EFsdGi7p-7a{eBg5wQMEfY->1O5oUD!Wnkk*H*uyGa!#g*Xx{b zPS+)gV3s=QP*K-lSNafT>I+_&o?mG;3EHx@Qey8g_5BV;{s7WMu)rTul~58QWG>tfewa+<2(JyJgrGTn`&lO;*lz9QV!}%LWIQA99Z|CO%~b$A2UQ( zeT942+0-XR$boE;@yn%nJ-#>1xL#&DGR7loZ-SjaDqeJT+3OIDW#A?C10tek0TNna zI3V=CtS1)3lox*-^2Z-n$p!u;LHlijuUZZ^sY#7wscC?qE#PLo)G`I33$1!l8(~5& z2YV>m$F3|zkpnhcwE!n|c!!#JtZPy)5-=F01gR`CCKXpUYB6GrzMIsfX2tP^-7nLm zK4=&~v+9@P=#=2s}g7Tu%(P-oML9m};y!I%P|5hE#r&)77oa7fC8QO#f8%L(<)PbG*16{AGz=>(*G|JdgzY`-DQq7WZW=7 zZFO>SfUbccwNuv~H-sd+#}94HIEfTfC8wU3Qa1}`<%#vkeNp|@Z)Ft`$aWKhK(Hi| zj7BMxB4H*!-cuT;!2i*qO&H@T0UbJ2llt%M4SAJZ^#x{40$mdDpSIYTe#a`6p!S6- zxhW)p4b1{eLV{lQa#fo#>Llp}#2K_9)w6mv#>t!7>wBY=l0o-S6aR&zIdbLZB@&#Z zB7yOBlLn?-O3N{by?ze%2cG&(fu=k)DZqR!{Zsqcy`=`*3chD46Fqah%kETI!qytN zS?T3O=IW1MhUJ6J&jH8{UX+K`7ygNRGyJ&p8%-aFWV>AKJV&s6eIJKCaHV*)v6W;hBOc2Ae9_s979AkR$=^$7+Hnq&*ne3qcQjX^Q}HBBpfCf)|0Q

C1YdW~;`jz-lG4(_Bx{$BKwnkk!u@N{0oDa*<0y7zdJ$*$c@@=&ucdzK* zLD8c?9gM5S(bCrRYtGy*1#v9TlW3R(g$j>a6oZA6?n>ehW5lvx9VDysNQDuMfA%uQ znEbgvh9Bb#02+bPng;aB!eQVCRDSHm-!x9)I%uG@(A-Rni6#4B*!?(P4}8zck|Vu% zw8cqP)`dBLkGQ!ZqC{;I%d{L3YZ?yc*K)n%3<#AmX1<)*;<5e$c;VX~CugCMSQEdP zUR3DDEkK2i7;gB5W7dUzH#UN1{_HqQYxUUyNPZGfY4&=vLI<)$L4MMBQ>V0-w@iBr zIt8;ty`Wh5+X);=GV5Pzbs;Qm-yOZ|eZt>u7u;~h^1K)p(u<(D>4<%>WpGa3>f8kK zOgUa~N&g>2XdFO<#`$j|bPMI0MTW%*9^dLBc4Nv-79J-TNBVJ{-xh#pn=*#2(vMXY zwoNmyYs!G6y<%|MFM^I_6z&&D@?uud_Cc>8qvUv3)8&{m1RYFd2O%ZYWIANVkFp+$2vB6SKV+}P`=Z+bO5|L9~#BfoB@uovM~|*8$g>0?bA`aZXB#W80HNT!pc4;{vtU8#K#BFn31XY zSc`#x(^VuUVdYr?6sF;;ybzz6Yh8uaKY&DhD_`vIoJNVDs>YQJpH4$;vg;XAM2oNN zVJl6GjcIuLtmzokP$-eb89Uvlg!EZF()`L;o+_Hojt}^dO44g6kX^nVw1g*op#~}^ zka}ST(lLM%4cu1kG*+1|=oOGU_=_vE{lk?Fzlh+68Z3@0QU{HB+h-5x*18LBg+mJ= ztRcd$6G45SCwXVvAb#w=!JFzyym_>*_t8n__zp>!1RM&%DtgV{0gu_`LHQC1E_Q%%75h~9ibiQ8AbfcELZi3>aZfY4YN@xI!A;lA=q zY(Z>rclC8LVv2#iCcAJ;ass3*4n-*m)?@63_T~T+3q?f71xi6~*FLmM={8Tdm8wgE>z;Q563b89(6>SczV6w;vIs zEBvT7|L9kwa@iAA{d?K)BkMvN&C14#1lpns9|&Qj~xl5XZmNl9i&)cB%j$2vf#`@JMUPEG#C1fQpW{ z#xMfcmA`^M{aYDVA$V;A?Lt;Z2Iiaya^tR_2tqxlY%}j3sU`dNBx4N^Nu6fV-L-8x zk7j1bU=7dmHZvdjfo{v&%9{K7X6`t0JV;+a>tQH%xbn}v3_y%^WdBSS{L$#-MmU~+ z(tMb*Tm@YmGqo6d`HKh*DAD#|`;yr1V)1?{Q`#oZ)W{N$+_g{Z{v|3PBD-;1`%jZ5MvGBQN-=$C2Ik=tYEPO@qZYTL^s^a%!Ui1A`ypW(YpX)7$ z;g{!L+luA(rTBtU1FoLZ1w8?_W}DwM5k-yrM~Q5vW!KjYifygWtJ@Y0vSfYZdcPkc z=kjerp6^+>mTY$YrMs_KqEmjWuvf^Ow-U5F4m$1Kqe}h|TH?Qg1G=z3rKMGJEEhOE z>GfkKybWeAaD1h55>rikCbvlgi5A-w4YAk5tHM8pGciSKV&W&QCppPdTQu!BX>7O7oWZ55|^Px1cn|3oR+JId`a4ii5_iE z`;WbNkmnM<2E2V78_~5{;X^IIyU4)-YNVNeFR|5G_p2}6JzfvC3$S?}9W@_Y{%*Yy z;MHjqo7a8XOIGx8mK=GT@pXPsFU4lI!9d;G^WxXBkSZ6_!#93vd1+m`!CB|T7E__J zXee#8SxpFjD0GMbVN~Ic$Bv75(Jah+k=OxVaqAK$`&6~Q?U~Z#7oEdz{_-(vlx9DBkhGei;8E#Y6>Q=g=kHW zhvHh822W(qH{!f1D0706gY#Bc+=32lWshAScnU9?1yc`5w(?qm{!Hbf@IO&IM@1tB zqh-%q;~ujq&B!Kvz?as80tog`iv7PByFwKAiZyvv9yi!vk=hugnb#TUkIbmcO@wc}2bHxcP~7*Zc1-fpU6 z+|iBsx7ki&)0s=Ql1fyFEWnI4`&UaUcR6$W6dk82rSbDsGH*>>uJsTzW*{n6O= zDh(}PSKY*OY($(~g^&57=WS9YAD%{ejIPyOu0 zy6kD~yky(kHNOV&4hnBFs=~a>wr^#(Pi^0(b@vzlN_$fExEvgJmf4F&-D7k&>`E_) z<=0J$??;t6C*t+Y%&7Of@~jO?-l1;8L7bOfCs~HC5SAJIw1yR8(eV2wPy@n&u>hOLN>zsoEJ}#%MPb zi|l_V%uBWL!%iYFsC~f@q`OXFYBp>bG>AZKe%>^Y(=6vA5{ejv_?Z?((q}BZA3v;! z;0<;E<4WHR(kk0G{+?1iV@hl~(Ax3U^gG@OnVi)F!3a@ws=G?G7DX+_WE?~JHJOQo z%_wR=(xe~9_4Kd$taA*fPu2%bq;*OSYdTbVO-bP+2Rl@ZG}}alXfL71bHyC_rzv5n zT`2}{5LzWcMQ$=fjP*#)J`N2)GQKv zPJoOJ7-z|dEKG8QdFHi?-q-4>7+ool-F}qz(Hw?5+_{Z-HZcjuocS4A!aVoMuZrN< z7#IBJ@h3SC`s4R9p@OIWwf38ZfbTgNB#$Qu7n#>4+45kY2R?)06CUQ)8br1mjJ~7K z+fCYseWIGx+&29v%Un``&lO6b_U%gI2KQ^7uF6N3|)86FF{(ovFdaKDofrh1_vz7Mp3^n z_B*l7nq1oR?0>b-jhE^AvF&T)7%lX%aoLVMn^xQV)s@7}Il9XO%jFg?1l?mY7;9IL zQlIau&3@=dhsSxr4CQq>H7yfCqrSB{9HgIUOX(n$vWiGa>o@ZP>(XzG-$}QLc^JHt z_7gE+wey&VGhoG2R_S2Cat8}Sv~qsU^PON1W}=WtAS;hB#~VY{7ZXwZzIJ$Q z%AIU>?%CZqljvjis6FHkH}t9*&6KBnVbx9_cbGYFEn$yvI(1Y_--6dscKlrwN*7)c zDjYAYl#*zftd?M5Lk9W8W&jy1zZvVDzJoY4F-nmXfupi_58U^Kn)7!M^@l@C4_Yg~ zGp4coZ0(R(^Pc*qrI7uw#2eJbO#SkMhJE>Y1)vaDs0INWR@sHib~S`0LzH8HPpTOA zG*-H!O$@6vQD;-!7IWC`QzDZQoZUFGJ#qd7A48&6@#6UAq~UL0YY10#=a zU)Ma0-q7r{*4d)hbT}-hLAt+9_S<^wJIA^QX0&|N*l+JO{E)_Ot|*CLTj~u+H+J%V zKnwX4AMFh(o$t&S&&WpLWZd@ql+}RlBUWXpM+;E}WMlyw;Q=aZWT(pAR%lwR*W_=I zb=;s~YdKHfiOV!$_nYJ24AS3)P&C>;>%@)8o^yOSc}Tc6l6i2JZp*7}&`dEsdmyv1 z!+aB1dvToCtLHh!kI2aQTty|TCk(hpa~Szz zg16FcfG7!;NB$1ub-J5#-v|CCTWR@?3-;8Cac%H2`O~=zJVOj72->G6uqJ|?5y~Ot zd-#N}L=EcxQqXZ4$4TnRfP3R0(0D+@son9I&5^tY^>cz(p`;p5n&7mD8CltvcJ6SD z5rH=q{uow6gX8^_K|d$E@Z&V7?i8Iox^5beNRSkzpY?GAS8KR-`c@K|NHoInFC~Wp zFHp^o6^qg4`M8{^73~8q#yfBLxYE-HX9RBspoUVsK!IcI&{J}mC9lKrzHFi*JV9Kp z8&YlXk91TQSb(VSL~>9?4A2t8Jo3L1@18X-xe?1Ca94N*+1K>Hb3m|~VIWMX*Z_{x zgH;XO&%5Bz{lw+#*@fM?tE%JU-pp84tjeDPquco7=5HmJntzuM7oZ*oavyBBV7%x5 zJQUHcF_C!aO4snva*0vPK;qk7elJT{<22$&l*7b3z;VzlZ&v6N0KF{xyJ);?%!YAA zXXg^N*J;XfCNnT7eW^|?TqsYP^fr*0TVLV%mB|@;`62AcQ{1*sP~*N%`|p}gos;#^ zSPZW(2Qz{vLr_DFKA=sV&oJ0&r#yOsM|o0{g&Asa8Qu$b$Rn-47e)mOm{1C>XB#Jj zC#_bBO#HU`vmos+n4s6KX<$LLWa>`rK!Eh|S{QtnitUsqtVE9>%(CL5lJ_$VQfPhd zKzC()v3xaDZrcbp~;0Cq5!z$NKebtlX+ z1otq|omEg(FzV&ofDuuEyDA=75w57)j}C9J?V+&_7DqD$SR?3d}pPFh`O3y*{H7mA)17{tT zx3^ZGb{H#bGa9MZC3cWPW->5eJh9wAo*4Yc6T2HYh_5@-h~v*8K87q3J~Fi&A4V>$ zl9GZAW?};%#$)O#bgDa4#7u=VGl~7cH*uRZU_m+{%!kipfgP@_@SAMGKhM) zmg8Yxw!#d2L&|1a8&)TNoT`_43*%Oh{*?vNFB;uM>s(nmX68t%)- zfFOJW3~1CupQpXb3+$tWD_6>)rQZbV0_>`2TrwM{f=?Q<@G7?E1zK1N0|9{T2Hz1l z4d}ETQ!=5>ssYaojW2J+Jx!vI-~9Vvl*=f-1<#{#t_LvNrf8EBjM~8uZH~=O^WN=$ zhG7YBF_=fDEJ00di)<`xjxuWpESKByi zgbMa_KKNQ4x&=h)XfFY5NIM{!8LONZ8CjCBE%?^5CZHUcuw`7SKNC8+P-mu@aNiC0djDPoSBP7xSNcoo7grDIcGQ zadzsbY$tlveXJ{v9s_bsn(rhtEEcit=N{S?t_q3TtVrG(`)$c_K(8b8p=;V?ZF@xv zc@|U16SV;m!ueJ(FnPur-OOvH=VKO8pdHM@&rR@#a43fl%&BMea~57vYfA>yrIKhA zA+dS-7OJqGr@OoOBq(k3p@iH?tyn7e4haYmcZbg3T?|4RT#n|M3z$~^)!fzvMW`;(z1N?=&5_sIo!ozPqIqL}LveA_kF}wP+%!#NpO+*wS|P#r9C&;(Ua?!e$m?78yQx+)NTluvDEJ0xOxMZHEAde zAtZ@V`uoNLnZ?3H7!l{#X3AL>Fl*_BG^MVTbHBQ4Y8`A%q%w+1od#p!Pa$;UVxe0> zU$z-uJSj389ji@PiX16Sh6)#;QyN@3(rZ3R(bd&&MUn z?avK;{{qK_Jrs@L4RgPLtqQDcZM!5}Opn}gE2bKmp|S~fg2cA8gcJyc18Xw?VEgth zS`4@LEn2;tC>#SoHb`Nj_m&ZfNf&1{_iEXZSf#bwh72x?(hYV9VdW8YR})K2Wf=PM zowmpVN+Ff*BpI2q+Kko*j7!WxicT49~ zEd10y=))UE<79fcFWX4P-UAUv;b4+b>YvVH(8c`gVg~k5;%4#GZYg%XXEK>q83hT$0r1QQz@$uSg@8AM1UI zOw7+F(mta7qcgQ%xPK7G^S?E#)b7EJU{0iU@**s2?MQ`9+8}Lshua7MqbSH%G9ltD zLpzt)Cgnzs=V1lnEQ6@CZ_hAb0J!&bN|9OT<4RC!>mocFKw}PVQso_r(3@y-^l^%j zRT&#^)>fDHc`oVzJj|mXyNh z7a{eySBQ5}Zhg2K!Loflud723rsicmwDgNLBtVU+mp=wN4C0?zNex16couN+&Je+` z!%U1dYc1-@VEt8;mHtpY-A7JVy43{i7kN#_mM`A~MVmUBZU8PrhaKjxH}?{X_Tpkk z;Ad^t;|!!I#DexOD~J4sh~ChAbN*e&Ye~Gy?D__#-r<*5HlJk^lYdz+bHW!@uT)L% zEf;KVrj;{e;n_zXz2`nkaeQm}!WEU`dp}$GJ7%oo_W@te`+N1VZ7kS)cnEZ(yufcN ziONap;c2iydgSP5%GK8(OKeR>>Zp!$FB<3}f}*m$4L2j$IF+B-Zt?9yt@v$8j83R#*Mry5)&$_mr9f`TA^0(v9hSpP?ESM^ z8}EmZR0#|sgAYnd_Q7w5xP+sHk`6hindt~$+f^3z@j8qd7;faPa;q}C-@q9WddX%@ z7+zKXB$}2%*Kjkt>r(r|G93yrf2StBjD1w*bEvZr`QQ^?#Fx`R^%YiQrp^@_aFZYL z{>FZ#Yz+e~sTlpzwL5BZ|3IWd6 zO?(-mwKofKJXXR5N^;z)27|_?Bz(l*lCV0tNi(>B9D-@qZ_J`<=NGRIuz|-sTNWpy zPxyD%&ivOZFi42$F%_)_00RmF1EKN7zf|Hoh7ac(q(E9|w!lMj;9q+ufzrVh{uy0o&rZ76i+T&tbJ*ACiOdN$+c!omKU9 z<_B!e4;cSxYNmSzi?~rrUrz^a668seg1}-Gzf|i`Y>oD%C=Prnz7{*XDb&4E4bd}( zj(iTJpN5@Ydjsrftk7eFK1cIKbDhf$OV6{J8Ie&f{XdO4Y|K~Ho)p3 zjL;*$pu}0F7jHtU*Zv*9E>=TA?}m!KXK`2>(|S@Mb3)d@4t?A8S3PXa5^ew^zC)Hm z(0{Xyog$0HMR^ZWr&3J^Mu2ujkW4JLtL-rpV7wmhIP;z(W=Z!2atY!(qKaJ^q5w zvaM!!pk45C4U~W?r2?unBw$h-cxzQZ*DqtpBM)JsvS&_h0Kv2S14tx{td|2KQff)3ZlLw~94N%ri787RJfW$n8&DVW8#e zaSQxyMf0TCj4e8BPjHk3BqU%jHb(!|UL-44Z-;l_h1>eN$Pf)oq}r5sk;gX{OmQHJ ze9mhtETJ6zBfDQO+oyOfZJ2*n&5M2NWSjZ*Yf*fSKy+M} zu-b};It$zXf$P0y%@zx*;`Hzr@AHMY2SDpRlVY!;Oyp?sX;&!c7O}@2GvZAZZ88~_^hFK54xncd)&+8VIQr9%Z|Mfudzu)wM zTWStOqMtw1Ivb7AT1-Qw66Ff*C1|%4KAqV2hp5_9?Im)}Yu(tX+_LE$uu7~1HUgZ{ zd&D|Hr@RK!S!bVeCf?55gemtM|N4(AC(CU`nMUp6J!G~X`1T<}B8|gsZdB`N|F#DW zq2oaQz@?OnNKHs|>~9-yEkyY$w4YbN&z)2qBim__q4}`N6}X#S(UBkQa_c zC0Sc*>+ml=s@eZEWXURaN-9EiDn>3~b?KcbCx$_(sxsllcNr+rIBKmFP~2Th1o$$LzeX|k~>-;NWBVHd-Y!U9C=*!y)XhykrXqUl%GhkIfO^G zus%RXd?|KczqcC{x!*<%yzb&3hss$Xe>b?cM~kQoHCU$+R?{&-&-%ZQkrD?!PgsQ; zbrz=a<&veqCD}B=YKVbwl?X@J@Gi6DGLhj)62*R-^HzO;rh!2F?*;tmZw~6o!6^OV ztfp2MsSiAPui_QQbZ)Hv8?~ocr5%94k8UV6zT07OcXaHcag%7?s)&5M?%Da?x4Uiv z*@+VupAat2%J$IIFEr})zedXQQ~C9=#Ku}vNlAXx4=?D;cal^GT9PL6YM;@FsH7-} zdUG5?qu!n`=#}LX=$vvF=30ac^Bs@>F7&psI_U(sNEG|um%~ocz&C^Fp!n5oVK@j! z3ewHipx?*3&l{)7uBe}*RnBiraK3CE~qv&s+z$t(U{En)a@|Ch9Ql9z+M~pKc;{>y_7Et+3r7sPJ+xj3G4O zG&?*ah)c<>6X71#;(Ytj;P>(J!Mpru82e(W`~><4{FeixeUz%G zTneAb=7FzvsIY=aJ}(_{bvQfj)Q7Yf#jjKi@aZ2HCo8>mhRIANZZG@Wnix0yf0{s}%@+gS;<`Z2j_QZPdQ6UoQ+Ru;UbjmDd0V0TV!iG>iTBLcUNnn|^AsDYd$R?Bf6Y3)rzF6n|C)8% zCF1DW+I*&ULM^^=Zb|+5>E)VXDA~y*W76!wS}Htvb0<$iSwl3{oE zw799juT3G%Bv=;4ET={SAkWSWEct*`Q4_sHN}Y#EQ7Gd0rrgd;?H;UZ>Yv|5vsJ8E z8cqE2Wk!5ei}BE+Kp?pxux{Wc9uYF9UXEmJV6Gol2tok-<-||nSc#G#k~0If5sMOa z`L5`ZpZfPq{#S%P3hA&1kcvz547OVOUuB70=ZXBbe(-U9ED4JE%)yHv)dBpjrW~Se z90}$<#HGU;6C(Ez9`HGd#EnKC(N;x&70K%gZ?h|nEV7m$c*JsA26wJI1m{}mXM?zal}tE1{Z;}@(;2f9is6>!@hQ$tR0Ta@vTO8!YoG_jI48f zaVMA_IBqQR*HM^%))kz^3GPJSvf9Qz+iWv%ami2fUDyV3*AH&^)UV_g=F_SHtTt~d zoLWyxfh8XmM7jwr5>vmx-jd%}9uxM~#`tjgLDqpu5`dfdu?f3^#sl$7cWL zE6IQra`l)!fk-S&?AAt!{Fc`Yw9o~qn?$5jgd{R;Cw35}Qn|^Z7uIqhNH~U;@oj-bhy5Ek{cZzv^t*l0DPCsi8qiNHrLGvQD+zYHFh_p zm`Z_Il|*$X0hFc)$k6-u8E2=$gTUPou!PUl8Bv_6@TVJ@8SuUk+kw$IGGq~a(Je^Q zZOogV+p3ygt~;$qM(ON_*i|amRv>%^@XdqC56eVNI=mumZ4?OAX?tDLJ3yE2h!4`> zq@zL~q$e!Xm}k8!a3o+2sn;kucUBvcvcXJgQ%aLYZD*rh37&FouJ8^~KlKRy;Qvs8 zr}uL)Ukm+>st}f>&-&W(leO4uZ-_LBTZ0kH;HHrZd2R|Jcs){}3!Ha($UvMD5;Iiy zn3eC=CV}O^)2s62{!18+M+@n$=^I$i{$~-IsyDi#E+g+ zt)C}i3?oV&4Hbvh!FGsGi|BD*yL?!kSoRlj^Gpky9>h!!H+Q}QVyFR|M1 z)$f0NCOSz7ZvFKBTxRb}nUC~?vsIOLMQ=CmbZ7mvA;)+&sh^L3cr7;~W9^s!0JH%4 zej%2@`!s@(!oB=%woz}WROPTN8qK;YUbPQh`0uY>^eU8W-4ns`H2c6|1;AdIC9Eb* zL(GH152ANHZZT33t#*w7fx8N1W!|;q)f3- zoRyB1*U`wF z(;i!1`}5l2NTK%hb=iW`+2LLT>Tto_^D6<%(KrE)zRM}Wr?pPAooHs}G z#2Sk<=KBjh=2ZC^m`RtNv1@QeLRXDwoBbNsw>R>h&o=@)ia5zWX7NX(za7xu_AS&4 z{Pt1wtDPJC(pM+ky4bx8$K`OHx3qyAD~&_eGnVzd6Hq=XPw-SZeiU#+v-FhsbFFD84pGKq;-V4j!7tmx|C*I0*38|Bu2R+cP2DHXViP!gX#ytiLK zYPRajKWdUcKRa|-=+7ZhnB4Yiw0(B;tz2(L=B{s+ugzVzU1|j$ z_T~a?D|Y7!ly#b~%nJ7Et#LmteAJmgLY;D2a%aq=+e{JdD@d8Os_*u&t%MDTgzbQV z(4mD$^2F>&743deTVZ@w@Lgezck{C{OQRd?jc|Bro*utnE(L=ZLDRFh@?qPLtt$7| zd5&2Za9q|L9NUjm;f0?wP-^RCzx4^rE^sdpx%qh^>=rc>;5CV58eM;W zgq#zwc9r)UMK|mxf#uz;=Dd${>CF}OQ5h&qxET?BhJ?eWFfbR)NfgLwvCTHQASotM zwYIWEDw(yZ|4odmYO|M(kBt430UF&8+gDoi01B=QGE~}qbxVD%q2mNCBurQplXBJd z)z|DOep}8TIp{v`a7)`6HgRZ>9$6G{Zxv0i?ycG9Gd`=!2(fGyu(rJ6Vt4M$Y|q-b zxwG=zSN8MsQWZ&*61!5ohL+^@+sDcyq2lJhul-6@Ku-! zQaKKckLaM><%3b`f-C6)eVYe?g$xNG?2-_<`?0tSPdzs!fvpwW))2g)AF;Dcd8?DM zl9jLg8+r1+#{}l3T%e-7GG@$UvAMsCaHaLukr86tB%m~HkrwOn1bfLyD?yxXA>#Wk zXG6k&jhfTGNTYD^7MT((Xd))6Il%a&m6`5(#LZ*YXnCj-dAqYw-y002uZa&K8DIiI z2#E(FDGbx06F)#Nq5m2}xt9{6DI3D?fS8<=E_xOo9WuWI4N|MI>m*k1oiN=h!8iR7 z$Hp6D7~_Et=xBXYh}cl>KU#9g&>Z400+w9fxu!AQ8HzV=!-lxP)wIm2Y5|*H#G^1j zLAVFybXc%^Hh@z6fqZJT@*x^~a8;6WzC-&6bIIoGLT8?g z3c~|aOWhr0# zVEFI%dZ-0I_S3G?-0WoF=8i4e1o02ga!Bsgg3vq9VP1bv$cAF5s1o5K{Al!05~GKG zUMMQauz8aZ_``>JGYj!9S=2{+K+hbxT_EK!c>W`mk$Hurwh-zZc;oFRjttk&)#-aT z4e4993Pt-}7Y3s8h>m4-t&-)wdN;e`rjPi3k^uq=uwp_-_~?wTqy|Vq`dC^#ATde8 z$j%riFI6ci7ScjrlezwWde4TK_+)F(xON$L(ca~ptFBL5Wib^$RlXPse?1c+Bir%i zK^i7qW}>8|vc-u@y9f+x73*EbdULpVt!9Zb{7v8GlCEwIp`4Tr7s(T>KGeJxk@OsU z4Uc>MA(T+~EtsESb5gWTd!Qfu7!xKy2h{a=1*=~tOheH7XbdRQcF(0Z{ATl8v;I}k z_ru4}=1FsIcBFHw!N#J9@F)>u5*;3%5+dSD)iIUnfkR%)`&+(ITLSSjRwF7XiQ)nJ z$G5Zy=|CMN{dosTZ;J*3ckUxXw*x8LAYDm@1}6+yi1tx=EgC^63gJ_=YYTa_cbNRO z2=r@>qeS~1;NZ(wghpYF_MMm$**iqzM3$)lGu~yO^%ew&39a%l>nf;#2w5D&bsGclWGq zwk&LREa&#d?~8)dlYO_LrUNs&%$qV2>B}|9Bc(y_dU?-eMlW-$VJa8j$^c6U(+BR+ zCl1)ku1-e0ylaK-k!Ys@d4~l1vfqJb0+W1I1cC&i+lCfID_=PqX#Kg7fpqMl2X}kq zquElN)Su?)eQqE@;%6mx!Z1tGIP+t(h`NE?;oHusYq&5j#RY!jH z__BrunX77y8>}P@<8P|0+B8-lW_gH&V@FdJR`z|;i#?>onlf5_*8_cGb|(BH zi3v0U%lUBkFjpW^n^6%bUoTRWllKZs_tv`Ed}Fdxsrph!@~vjjYXCu0ljTAS+l;Ts z4in$!Ez&+x+l_Q{2w9;x22;PO3-g_9>b6)~dr`;Du{v@c`0rQwmXTHrIQWk}RbqEg zFsx7LxpAYZNo^-q?Hz&~^5>XGEA(4$cP}q@tM8g?@KLOhVl4W2S#%!l=FKJ^lEsfq zQbIy{S2G{K$B-!Le!=OS=Va7XoQ}~#E-ZJqDjhEl$6B6s&RKGEWesE&s7gS_CQAU| zxKNg2pOfU4MK$|SbG=^GlB+?_ zYza9-uR38qqMqIPlP>8sjMz@oV1n&C27TyhZK)r$cU~pkEzkx5cTMQH+OC{XLSNa= zUTt}oo2ptHfn7Z_6bWXCB1&YV4^0A0R89T}mgP{I@{Lmo+JB$^p-U|u3cGe)?KisqKiB(wKKoi(#JCRHN`+NAaHZvn`jJZsWd z&dPXw*6^B*Nnk|6nWCRPE+Z^I^nz#Nc^1~Hz{EG3N96i;7j5NiiH2OfBN>bn|#K$z_1}Hp^Q~69i4ww$6=P$os0Ag-iCq9eOeN1Xb zilW@_7w1P8IXFq*)ukqI>OzPVsm*s4cC0nUt2@QYcO63&7gXNL^Si)yzt8KQL=6CZ zn79a^23f*42E2i{lG6Z zCkrs3rMB4aE~ZWgwsozYEt6c~DLCuNb; zZhG#-jJ+Xj`6w~znAqX~*`}FCz#L+!H5r^j%_HO@&1Nx>Y^%mmjQ4A{+u21gu0YJsSU2Er}MdK z_4mwax|Rrw@MEZ#h2FPQh&AF4V1iOlrgMDPg z`>c-gnX1>9K71H<71lOD{%div+K`B$UIDtk)8%&U#QHfHq`6>?VjEK77>4y!;*6Hl zBE>C2GhD3f>UP5$%(wqES*Td_8d8>#0O7|mX$6j%9rP3@n(E$TU7c+jYq|O*y=0rl z<|-w<{5lA!%gt3M7O-=p;T+ml#K&d^%Z6Y8o$7>j5jX zOlk=@9)TW+o*Oe3K9Oet&?}4##NK+jy;Tbjr03QLJABcpwn z`#0~$b2%z;cnq6RVd=_#N_6((bIyP@!runO;82oEAF+kIM5Kr)Q93D6UV^xjg)BaV z6kf}J4`+Oi4umMV_7^dB)5XBo-Y;mqzrV0lQ`W2)ByFSly^yXN(%hSP5EeY!U)!}} zIt2$PLdOz);^GhPsR-^T;Skny@ka{Tpq+$LLEb98$14*=vqQxdo>h&KY+LD}UK@D+ zLC=O)dtBGb>H3M5xF9Bx4$v01F*|*aZf<9aI?zQ+vLZ3oVc=)@$_=uakqS3__A{HM zHxO1R?+4g3o^{@244O=#X}k)~$x)y$w)&TXm8AaFTO zENl*C{fh9#paapH#B^J>qN5@I;0ek>#&c)(b_ag=;swt~K)jIMVqd7$iqt`byjjkeP z&Kb|?$L)T8*oi_`7v{}8`(q{h`9R*DWL4)R`5yrGnEK3d zv(b8~giMx!UtaxN^%JZEwjg{P)aY9hcEB3Nvq_PIIMF&9INp7TJfi_=K@UELorVgw zci7_|>LYWY{{yo9Alnf;DeHD>dR3v3G^UvcIyIRfZy30AqXWGys|p9{Ti*+ikeb9G z>iJMjB9sFKL5mg)oEC3lTumY&b$)G}_VJfVwTdh)HBQsr8{C=ih1?mlk68Fep_SSA zMAtvEzLjHNe&$}Nlp9wG67?+5gITW&AQG*VnjcH;YoSFmT~J4bdP zGQeR<z=e+6i~-l`tsa6F!3o|5o9j+CKvm&-Sr#q-1D#RFSqb4=hPh-=cS7YILm3 z(zA*fX=$@}L#_Q~Z?3)tD?O2axk03YV@2WmLxy#b=)<4yXvGcElhh;f<4aV`&X*0g z4to4FNqYse(9qr7bMk<8@K>?Xh*o1ED_V0qlb^j~dI$aDV}>|3&eVHeAx6XXfsGExnk_2e82-4MgZdbJxx+0e%0J^534b=3K%x)!2Mj+{3_*(MfK5=z z&i;AfZ0Zbk>MXx+Pg@Ul&{?K|oNiT#1&f9|=3RP3FJwE=_lA#BU>qNi%ILr$l<|ke z9!H{CrmUZPt;k;J&=n-xD^sGqx<6EkKSr2E<*&6BU!X;gKU_M3p2kTy%NqIx$jH6> zfZR1qq_+5Pjr5gvIp^5x7*QjwyAS$tGw~JhE`0(bv#v1GUaRWq$9OXMp6XlG*x8Od zOrXpBiB_hA5E78O@8B$o&qVO-^R7wl*M3S~9s1{>L>nLC0CE+KGk6q@Nx*^hzJ0pB zsJWgfz`|dG8b}=DvXRkf(xk-xdrVi?F>gkFK#aQom9L@c!$%EInxq3_VI@g?^7i?N z+$bIX)@$2INl|>=@L5rOaoZBLe*1SLRyFpz{l6E0`9b}Wa|GG7V zrpp$KP>xBv;+6oP2psswZe9?cyHQF2c_{>7{&Bb27y@1R|JGj=v3AU=*tD%NuS~DZ zWUnWzwPL6;TIVNwz(^zh8R`FBdIlBm(kob_n^E~*^>dkY%+u~5FyG>@kPfK-+8r#H zp50Z~`|Q(Ot_*SiX#uxNpPZLYhcho90Srg0BafJx8~kFgH1l|Muw-hsVVpNvg^mHT zopHKX`ii<(V_)Vyic4`+^IGGf256=1{e*%oV=SH?&pYFD(^hWZDC4phj=IrJ5(R90mFBujU4&v{jSuj|9Jo$POGzBt1hAyoiKDKz#kV8`l z&PwuK0ALxcM&;`#;9esE5E$xAMU<}!?p(l@W*SpxoZ579dpwN}5;CkRk0gI=5M(jh z{7$y6;P zDF7E_uS^coTD)hMA|!9nOX7AJwjzoGk3KE&gM7rF1Z(wlM)U_jUCAcON^M~qqw<#{ zM*w2%`$vqyBpjfVNoux;59@!4u~$FN?2EcEN;+_i5EC>;gREy!%NcnL;Q4uwRFUz` zi9+-q!)-odH4-dVVRzY-bGcUxl@8^KfHf58Kav1Ek_apM-K3KybijmAM*h~)Sjiuh ze}<|sj$7=z4{xT9v~Rjn6#m$OmC0Nr7?&AyiLa3XQZ=ZgdKIS znI0#u85IqtpUe0o`s0%)Du8_6@2!;)gn-Fm%$nW!4@5IL$ds{1h5idkF*yX4E?odf z%5;s&gZ1KQIvnb>Jy1tR!GT0q5V*r%iSsVK0$-H}fKBIl5#uzTpt|ZY$+53pDD9dO} zj%o@b0=ZLUq@dr){;5Ax{jzRuZNkrLD4{s8`4NeJi`o)%1}iP2Zkq zSLOkL^|u&}-^DogA7UIc>JN3j-NtB+;lidVOfr^9eTe4pjNo$rach!c*^E{Hz1d`_ zbaa_K$?9Sd0yZ=E-E{h^0b3v_LG88m{svV$l?vgo-5?M#DFj+ayv#_ds>vy2z%kHL zk=FWpO`ON7oj1PONhy9uv?~J%@ML8L8b;FJpnOCnnFzSU*Hop4(7c!xzhfJ`M_bw% z*tz}U2!Xt(mON~qP5W;$u)$`wm)BVrXH}dp;ah=lzS|wN{rnf$4BIOxW%y`s7fQY* z&Np&8=Z}>dyM-TAnM8WEkB#i6_4s>n(9)pUsXR#A#3Mt^qV@`Z_9?E9I$cxQ0D?fy z!hZ#U1oqRp8XM`r8xns|w09C=CcG|`c1%(j7DSIWd1ksCJT4WdDABz0gX)24IPv~m zCE8lR`j)Lp_S; zd5FqAJwSGQ$5c%D%_nSs1rXv+*c$gft(Em$b0;Qgh}=mqA^MSdx*v;8Ca66D-B3E2N*hi-7t4^QxM5Bw3xczB3Rv2tT|un zz58o58(?XXf5Qo811p)T8DAEerPFtz<2%<|Q`cM8fuEIT+t_X|?0~UZ{+mnKTX=H`{nh{B5{%^yM!2xOE7Jz5 z!@$w5EG%{f7wf28S&O(+jnnG-PWEPe!t8k2+o+!-;B}J(TXe1sY#Aez68~ zrKx>DPTpI1Q^?IO7^S$d7P6qCod7g|J_3gmA1Nn!uU$%^kCglx?uHbM=6Fy-s;(~EZXi27bqi3CMx8c zzGs!0Uh@(tmwC6jVQzaFueDx;`hXP1LFqQbV3HLsV69Si6aaCuplaY1g&v$E{`aeU z;j+t2ryM0zI?Kv2x>hq>XUAqA;p4P7s=@<@RJpi?QUw+TngkvN0tNa6@&)Pwj*WEh zmGh+>e|_B&xID4R!-&12m(19WN06SrchdO|2XqF4j!6pz$P9u(a1oUlk~E&XlUatFNw;*pM0QefdtZWAw4G_WcV2_ zbsEU8+s7Hk`SC*=D44z^1J*h-feGIvkd*6myodr`1y{V?#{#v7rmeX&JN+x`p)pU9 z0k7{Xij0A`ZZ#**Qzx_WODiUBk0W0D8rFX5M_ZPJ^$#1f5$Y%lSUV_|FmL;a01J=* z)F)>CRT+7&RLlX26p=??SjEj|Ivx+W1jnse*U@zMN!L7ML?!;-L>7U{zon`ew7W)vBBy)QvTd$cUO_R$%07y^ z`n(W#h6~$UF@7h4MXqapX3k`{Zn+*Y0rO(Aq*QZ48F3rOBL1*x62a1tydCAHss!%? zC=_D{JXb_pd{u_Afps4-7_ffrd481iGu`_;R`d6RS|IX3(-ktP! zm(~Bu(Z~4zouiNO-#Pji{|Amf#{bIE_x{Fzwox(uA36F=0UUiXFQeL@jw0sQU3yo$ zR4JBX1&Mwp(1cd~j1eAEq9_^qrN%?@>vj5tdr4E#giE3AIOAv8q3J|w$hwaU5Th+= z6$W!p&9vfrt2(hM#rlKI znUT5oJ}Q4a7Uj}b#=h&`Rlt4qh?yF^W~`Jw9~Xn#+ga159VU36_Z+JaQg!6+&Wk;_ zWyH4?q1#+f*yvKoxSyYRcIxM%o+HVZxSyvS=RaD@yWJ;wLfNHh;!QPxWzV_IKdtx0 zdyb!81a6y_3kGMdK5up=?ve?&mmZBIEggEUUd3}T#op0{)0_zCvv3}Lx}V`0Q$fV; z>V}tk+~GNxo2!#rN@V3Ev)HS%L}5a0KThipOooMPbHA_8aE|Nh=GEp6T>{C$rMR=z zw%Cdumk~cN0&8#cG8nF-%g3dzeG$gWYZ<%CE*C8{U~P6kdEwQ=o? zQuU?XpKD)kh?`Fe^N$$j6W0?^!lmRd-dd@~D!>CH-Uv8kK~aEcfmnf%7Hutz-{&LK{XG&f9jU24z z^-c`fw@+a_8D?=ER&*IuS@z&Zu;z9+C&Ks70i_|$35}_JGDFiITE2j(dO`81My%7U zK;)(Ayb~XfUpHnx;UvAcf^O6FWoyo|o^%p>wG6S8uo3iYGk9{KPQY$A-RhpZBdkVz z+2grx4kC4z_bpFYkJh8Ofi4||FQsQjHE`#j;-T+EG^s17;a{#ni@ibi^OSMXuj`bN zI6fKo0J%F>RNNr_Xjetu(hHKLb_@7aXn{J2U#guk`;Icn-U zJ36c8igTEy_&`Z^L{H7aD|c2zZpxylk%|fN8@j)7J#2rB!P8innDvgRC5V2bz0J}GZ;#hp|9EJC^VF& z1pJ}FawfZM%octDaxE|CP!_t)R#H9Xr-<#&L1^MR;8&?Bp1d`XbKrBHmx^`!?!wX3nzvoEU|DK73_-|6`%qAd@jW7>p8nSf&=U~SjcgpT zvETPTd{>^NX0Z{6|1JlLk#Joa+t!PvOeU4*+#;|*9nUStO1tmKVYf-JHs=!d@@VpT%rd9Kb@jz6iFI)#;zKCG7M#ikQE(D5@AKg! zo@N4!WH#{eOg0`H$RZi$x2KrAJy7-umbCl*Dfz{_RVuw%rL<`)|9v%<5UmJ+&*tXm z+~c&l=ON3c7BL?4CjZ+7I3%oR>eK)Qk4=7B%|ZJrf?jvU?8KDndVh*C zd}hoHT#sNYg@W?@{p^d%99{WWhx7&iaa=iyWsLwm0~M+dZ>ai^R}*0-b=#&7_5_!rkp3oF8-oS_^SQuiQFuSS$n=^V$xdSgydm z3{Lemp)=M$#d-Q{q&XU{rI;mWoN`{p7h#UOolT+edAgoCErwilA&y@6H6Rz(pbw8{ zqx>KWqaxH?L^tYV-G#j{EBhp+(z(0bYqhoH_ZV0((-P43i81_Tt~^)YqZU(-&~IAs z<2wvkGV>8zv1}?4TcMWUXZ_g5i*L?_Q$1&DINV8DWwXRkX2^0Rv~1!0{Mt2qk%XBM z*^Wcsrw!3_p99zk4(2oN2QA-NU zg+vn39_Nt=TJa~S=&AZ^tzG&+jrXolo;{(x)P1!R*0n>q0tosK&cx57Nbd_!o}0ja ztG>NoU!!4@f6EMI%3I+7ZPA>0DM^qa(0`#VPtuEjD8Ry-y0cx*Y((eB(gSmTw3LHS zyR`jF017C~Z^33?HWCxda(T_uC6s4?sC zi|Td-p@fq{W$IRptZlUD%Wpi1NGTfCn{UI&mjK#@=A~KhlGTh0i?&VuOThDe-5LRj<=X{b#8|0-BU+?q7G*^$7dcD+m5N{I+)GZy` z6n!i1T#{CXnmybR)x#jUTD7R5M~70lnT%WQjMNlHPe=93;XCn8I`rG^pOiv8m3-HK zwCukY(E59?Td>S(N+&-^+rO~yJsIR(WM0rJsj0@fqKT&) z{1ffC*j`50C@{~71EiACKM-VkkrGG%*6>2y4fLRRWF_~5KaL{g99It-RUpn`M>=4~i7Tv*U7=YW`$E(5wogWaPHn=W4S)T#K zP+VRN&n-o}2}3)QY|kI}8a9ltMyLz@5^79;0PjOvJp|!*eq#nG?wo*cWe<3kQ&;NW^!Ah+ayc3sXs_2fIPcVg zhbvT!nS2mBTKm2OGqE5h;M{Z#Z*r1)LLTTFo@`*cQ6rfC9nwo#l><2^R`maJre1hz|PyXN)jC+hY%b`^5G7pg$lYN@6~c4kchfF zn~WHrM^LbF(&)6|Csz36xtNXMlz=XN0)a0v!d%b zP21Q`H?6PUsIzS&7gapCs=E?-hq1M73IympG8t2dF@jtlEVaP+Uv|fYI|_i0gFAY^ zJY}EFxhPbw-0HZ`YjNM{g>rXm-c-VgCVL4*U8v72uYjm3B>lwNER1d359TUzvm?DC zNw4?d#(RYEH{W|O)PClfqsH=Zs9U#s;DlxQG4#DWk@WM*c=L8vQ&qDSxV_C1YuarE zyUS?+2H;HhDq|q!ZLe>Ro3eectjjpst}Vi(f=d(^;*Velw)ExwPIbGf+O|gzvIA+uy;vrx zWox)5)~|UaB{gMg$-u**U~^wHcjS~I(ka3jTRoFC20%fIb-FxNo}$bC=}+ZM$?rQq zoI`B3AKZ+Vwx3V*lY5q(He7t5gbJ*O^cNBI6obn4zVgH2C<(|`KPK4gT~cyYa`w+f zzU_r59eQ#4Ybpn}#MrItw>&k|u!xt`3LElxZDCqEAR{rHsVsPm7Mjf=Jxbt=7N?)O zhb!WB|ExkIVjA(4oCb19n1GJx;L`VVkaAb4xn@w}EGSV@P#(yyE8=M^g?r>ou#<|M zIY^7bAt2LeiQ!|f7W!p^9k7+x6e+9CxRbWZftF8qK;}_C?%Akr1$-Gmh9k$NA;*me zMz5ee&!Hrcn1TLkXyBjwbwB)KdRmHB2MdiWitc@h8)MHtHhm9Hi`+O(77B_M9gR6pXi^i!IuV<<&R9lNwwV|DL zyv~Y6m={K!^r)B3scX>emJg@5{@grXr7ddzEtHA6ebk6yU|wDCpR^yO%eDsjEbta` z&!i<5&$VoWO$mSl+Qtpu%8VTj&4j;{i!@P90{QzRFA{)tuwPZ z;ukJ(%KUK9S9}}{I#&XV=+6x6vp0R7QoSX?x#}&aNk3F(8a|jcBU=)i*+cLCmY8>5 z&qTtXs1e~MT-uk>$%mw-8y!VT1Wou$w8iiG zi%ATLU zfhyOpjy4_bxpK*2TIWIPUZqm_2CBdHkl{WGovBWEmI6l zI1hzR8nj8>m4(W4a4?+ub8X-MoVP>nrzl*=N|F%g$&@s)f7hdo^&gFG*r zS@5R9+j62zyUx>(){-$3yOaLNsX4S}fI-p_B8+P$iOU3t(fqusaid#&(nd7RL*RUiF^{4R>`c?cK^EKfm)!-Kz3bHK1X zZ^1EExzm@V{o?eBFJq4CfFnFzf4wgwY+NP)>ptRaQG>=oGyBcBRAtD2Y^%0&b};c& zjv=+ooHwL?s!^zk6sPifmQBMK@=AZz{UlCrfL({anq7VS@QuB`k11oK?iy1j+1`GI zhN;_N6dACN07$y{kf=%$=zSxZ1lqPSu}A@sk#LPXsmyk)rWI|kQvb7_xY##LT#Po} zpSVX~MnNkmP`L{`ONSQkt742{-BnSxi*sh}f#fESxrd^MY>oTj;|<4+5NDImYUHWL zUIk^dO$hF?T95UmpM+g4b#EBWF-zl0J9#QmprD{4+wVdo>rq~Nlf5+k`>eDy@o8G9=5nT~ z3%Fk=4gyUcM^$u3r>@&{pj+5k9x+IPQqU~gXO&te*zW>vwVv1|E1I{K>r%uvtb0$a zUqLje20Tw5UT$n?Ntff{B-?Ch(iG8X)=Dozb>}Txk(W52P8&0_Q*;R%DBpQ%K=>L) z40W2=;Ng;CjhUO^=CnZ(x)^S7J6~e`9dS7hx#B!*%rY3Jx&F#i-xm`mqQFIhIf3MV_eA3 zTKt|#C>ZrP{90v^!thq?~T2RRUd9`ClIKmMG~05cqL zYuA=NDo0iBaSvVd4u7|oM{8@tmRkjCV1Hzo^9dIIOC7DXtqX_B9!CfOwHy9yLxT4C zS?(N}RB%UGl?AIjlVz`L(A;MauFHA6U=Kb%WA7Qq*j-ipM{oi9j?gBoLvPZm3IcooVi!`U4dppUq1kU9JGI72b{}E@xFG* z_kDG&vma?S8pu-Ld7n15cem+QJbmr88_!@sU)VtB_Hi}RN^{~610g866c7Da)nE`q$a{>j+lVKuBTz{mOPKkz7 z+8S_^)*Yv^cLu!uLDeRrtjS^r@TAhSG0M2m6I7=|XPNJ%40~!4_#{5;Y|aIMOk)@4 z56c%26x#qC;I=26IC=)G^4uu#N2r5dO4QhFUc;*LrR#X;ztD_CI;A4^QJyR}_myTK zgWPt|pJ>R#NlEY`NS@5|9F5CR&haWBo$;qj^9m(u(yWVqr-`wE#ZYgB?oLP#Thh3TN$7c{0VB(W7hl+>nsI zTJz=66G1`iy+BuvIcq{Dnmx+D9@Ou;I4DsSIjgBQDe2jgQb1VDL6j88$^N7_E@i=}Q?wHn%?t8c4 zXMe--L!DZV&!xcWvp&-1d+d4Yb7%N*Q!B7m#fM_h$9m*hZ~r+3`4 zC+Bl*)`UKLT3F^txhMBsd#e)Gdhs$BM)e4k`>AN)yk4(3zZ&xeNeBZ)-J#I3}?1eG5oYuCaRE)WCG z-C6{Z-bl1$6*2Tvvt|cNdv(TMGnujbNAVxO0f2{(q@YvX6#oeiZ(}qH0>Hx%dw5l~ zb~!`5A7%ab%WST>6JjRGz$&{vCU7F4O$KoYz()aM&omSo!C$adD$uSU1BfI-d5=?` zc)~%3qrn}EVahL7s;B%Az3+x^9R3X$bmKh(8F0Um2tr~~aaZv31uwuUSc~QJnSRc- zw0)8gLB|~F){QS>I@@6o?*--!?;6WnmXyi5uB6W7``OUyKZU=xrB5!;t5kJv)*Mi$ zxs|VD+-w&|o@8O~{0unxvFgvZn-;W`Z;uW*K=R%25z3Q*d?x5Rp}@Tx)(+xGsj-7v z(MF4sl27b2(qlTWn%pny3H+y}D-r}SIfIm-sUl=&=ig&LJs>Wii_T*+P9d4M@wrw) z*cvT`*tEk7+=G4V7pF86+H50^yxfCgy8}}j+pqv9dV0R^b|A>Jj(0vK3i-*B-peUU zuXSjM=_^3M=XM!08XW+J<+P~=ax#o?vUOAqu=l4&nrNd;SKWv-cg?9p&d<6WSR71e zthj!5_Vn*fQ`-)3m#G>gg!#lF&e2-ZBC&)NU?92VQ!#|FQX5ig0^3S;H^?hh{tPdlvuL?YhdmMoV zfjfU~jfDoTgx}egs?C?(Ot@AeUQdAICqyFPR+Yo78fI0PX)dr5^pq@EF0rI&?h9yk zaxN#V4DJM^GS@MGr84g{tD+ik7oS!+ab&5gw)MWzayu)pl-R9%Ko92aDfmedo4JN$^UFB(T_Hemm-usK>GJd5 zG7z6-MeF|Fnq>atqBuA}W~@irU&bMr_`!Rg>8`aHVL1AXbE?!f=5(}~8TYj!FIi%#vhe+L`rRI(ZIafj-4Ju!+zx(;N)AIcVz_%5Gg-F z%bLAaX;GY17q!k0q)F_g28elWrQ8YD0F%>pCdAxwY1+@5cFTtN(4KDV+y(?)lxZhh zbIZ%bT&@u#X{@s`k{Tdn$Uj29HM{L_D60hImVVcI<$;D!FyDX_oz4K6a^TK2w7EQ0D$u#@Oxc!^3mPR$itIen(C}KC%Azn6y8)682krZ@Hj(83FE$)28QTUF5dtF-CF4 zL)Xgy&AR@In8`LGtV9Z9Xr`3FQ+Ld=Y)jloMO^z&A7=U`oMePIyVh}h9Go9BY3@_H zT_P~$P`X_xjmb+fz^kWUhR#yA%WKWt`ls8W&NeL1=YBm6-jR1Klb0}3kyiWzpGe78 zw18l^R_HxaMNUN<$eQUibF2^p{{D}5d5cvwS`cKYR@;Hwttl@!xP%iIIEw?%B&c`^ zpwuysR=QZ`<*EBdjb1BIC(TiYV?)cp46CnHohcC zj5oG@0T@63UvU?o=vR8{0M-bU@>~(EJTOws8V;3G1XfC)Kk+j2CLlv#-oy*37myna zb*C9vPhbb62w=S%PusC16pT(r&}yRPWc-y*Vd7uu&^Da9u~SdVl(Det`y$53n(UHj zG4kzOR{*+`{D%P2-v=pQdi9sZn~b7bekjdquMIOgv=9W9Zj7f1iJ-@_%r_Ufaa?$+ zSq(n==YwB8F{Q3QRH|6b5REGImD$!`Dpk@YWnV5Z&e1b4(HO)22{d4IVj7Z#^;@pt z^ZH|Vku45V+OqpfY@tgo0<}P3^>xYwHH}mvf&ZF#Bu~rjgyR2#0Shq({Q@Yc?ss+? zD^k^cn&o{g*Ja8d2di<VF z0dM0`Ic}{4qSVwxn$cU7Svd$7mi@jj*5{|SNo0A=7Yq}Kun$Eqds)Duiwy@>G}#-) zZd=JSZ-M4IwwmK^>wTaS&N=-0A|W#*Z?@@LIvpH2Rh8jRe~h_nCWpSTyM}M~{()D1 zfw!Fv?^I?nw$RV^K_}aRITE)62GO?0NJ~J%_3++8MsRD##GqMX-{J!0A)`lyDj^mp zbH;sgh|Njivm<#khEjca!^2dQTgWVGonYW&eV9lkI^IM~aSUNFx88dNi1>MY{p?Ow z%yJzSz`X&r=P76e4yTj)I6q!${^(vm)UoI@!;rw%GtlisyE$MwZ|Bz0Bc*hUSInio zAvMdGlpH1XipEQ}7eHBkuf|_S9Lb_l2j1%oDi<&MkYx|Aazv}8cjnEcgv8vbRV8as zVq&9oYKm@U&7Tm=zhl}V=G)H$-}mUG(!P(;#IZsR*Fp}cfF+7RD0)L*+zY?)v%2fPa;Ftwd2>*n1Q`t9d>7ztW6r7 z?X`4vR(Cq*QY89@gT_z07HCrcQP=h|A7pQ@xPLRy}$8ay4e0tWMC^AmQl-f$ggef zdc3C~+zIr8p^_UPZXfhG9q9&KS9%b932ZHL_|uuCCo&h;|So864cr?U)o>-O1*DCPL{8h;vW~4>Eed% z&o#4AYZe~?!XxD`O_bUhm!iBi`DL*ur5ZB-OjkPd2aU19Nx z#hMFgY0v9|DDB$S)*QQAf-N#+w7rTdrDje+V*Pzg@v-#iliC8%bmlEXOtsV)w<(9l zC;h=v``4$Hz2Z<~WG=;~Q?Ih=CsTU);3i#}Sr(!hg&ZSh|Lr3y$($ftEBOjd@6)EX zg;Yj`#MxRTvc*BNHnh#+){yY46aUD~-TKyJj)oEXC0K2#{gH21Z6}H|f#E!2+Z-|0 zbr@>OP5V`{MUO#TlODW|)8>KVevX#E#Np+OZFTi%#4nG+2K%heq&*$Ij;FnsWCz>` zOa@q9A^MK4_u zd$vx_%&Ak=md!R-nP@DfvL4-)D_cuHSJk5MobZ{K>g5SunKqvU{6rV;ZKw=U2+@Ge zRW2egFI#Rxo%iWj6a^CVN%HaWk?{EmA`Ge1tR&DpzIOcx`V|H>p-YJWYp1wTRcuO$ z0U345fcUT&^eu6BF+Vz_iKPtRsKeJB&va)u=|=+g)hVIZ=zimn=O2&X(a`eNmX8Wo z@aS@w(u#$6BuE_Y!%y71Z#`rCMOehB6vX!FmrlD2?d?nFpQ(T9Ks1<83+@dLV)Cz9$3P`KXQNSr~xqs4g?nkX#oQR!l`SXmL6R~jrL<7 zRd>Z5(cS6HQa=6#q}`!t)bt`3l$E4nh97#^PAp-WPv=N%(>9I6hq6veK)^6eN(*>d zG>_vO3KuI6-vdi0i^_F^0awJnlo;?*FN}!~m-c**ZWQUba*Km(b%f504j)c1=x`sC zVvHujs}h6Y&>)-i2<`zk!;``RD4_T@YF+n)?i!gLxp6jQ52-*Lb=U-nLBW^&FRNUP zwt)GC%%16ix~VY^yT6OF{a#<95yp8d^mMy(w9HBS$ezsR)4CL zceXV1M(JR=Uq?VY@vwOLh4CD)Ka})2!6R=mxqDI}$P#Q6HxX16gbQfj=!s&b7LiGx zr-Q)U!9brB$grqR!!HiD+s~%xdAp0K`o7Bg84~>}t%fhkHVuM~CkOH zW3T6?74y~*fsc~3E+TU_v=&2)iHsH14!64J_P1EsLfrm@J}q1`fV}^>(RrlKs9=Mv z*k0XV#IEelEQXr)DAev+99GR5lM~H5uNQ;_81LJ$!2*y~@>HW!TRXq1qZ5}dgeb>H zF~}`a0w|vZI1|!cB|WRRi!^r_QsHSZTZlaak$@i3w`xJh>I>jA&lIjpXo)Vbea4fZ z)0CkK^)^_0snAs(F_&r!2y6@)8kdb>)K!t)$s4qldFHjq8tjQ0s_C02vx zbDA}eNLXS0M1$bI4UwfA;=APuHO6j@iZj+l1;mh~GC-ij7<_|*1m#d>$a8nEUyhw?&#e*Ff6FI z!;d=A6Joxxvwd&XOT0w$qrKF3DtB)kN6sJOCm5c<>G|a_@7HdiUOqoSW)$5%$e%e( zL>hPqdYeNUXlFKV4L0h>0~rnG0u2RafME$A4enW{xgS-vOA2A+gGv^wz`zgmmloev zqu5h_A~%TSbSKi{6>v9M?i}jZAcZ(zwU>ayx3|Qa=ECaZF?JsGxOlALaC-S+{;fYp z@{=1Oc0NP~Ky%M+-jM-_&uL-2hRM(ZA;qyc{+PWs)bbca`RvpGtL<38qNvs&O0}R# zr5Idt)Mzc(oyWen-Bo1K>w_fVhN7vnJG0Bkvb*dKE}#ZzUBkc!iX|DPrPl(K=qe%_ zD_DUPczq#;0vS{y_{c{YQs)eau=sVm->v22=gfcp*ZCjkoEg3|d#SPve3^aXrCGq0 zL0`E)?Y?N(uwnDpGU>seSv#ktZQkc-x>~7y>X}O~Ye$4U6x3KVYgtLoJB1V<+O$Y_ zY;wx#(RClFcRl8_m7ja#(2b^O+gH1CEN9>OB}!W0ZJZ}Pa$|EvmEWz6CP~f?vA}vQ zAgDwB&J5x1Ctg@t+sNBCLpTa~HbCDCbhEtzY4GXrG z3yrI>h3W-mBZM70){n@2e~;qlF-s;{yYk>%;9h;HF)Msj{+36v$x&C@!+-qSsG9u& zE$6YhZIevtKAyLY1ff@ymu_)H)^*La>M~Q4C)|3tHqctLFCzO~+p0A05#O$VQ}uC| zD)mIb9@_unvG08kyuJ3c-==`<#He>G_75}S>%eTK8dmlG5-;zLI@9yb&F>Y?Kfl!< z`C-Y^0ap)cNh^aI9#@zZt%D$v{Z(w z%rjaF3{P%-wrj`R*JBi;9gg6dD=R*U#Gd)3XeJZyLU;*uj>h9jLH)W6yt z@nX%%bcy};OLvNo?ki4wEudm-{+*`|j*9Ik*IXZRRKQ3owLUNJ7+`@6%vG(K4zcR?lU`fW9jB9cfTt&G*#!% zvfsS6_{`1ZfTE&oZCU6T?>)Y)t=saJSLMF(N<)2PYGsolZMgqq@|mB?rCH6huc7Td zwI$!Hji0vpocS#9(ldEH(IVN_JJn@l8iD1?Q=5Yj%Uu@JuA{{;i1=Jo!8zd{cwF-Y{ri}Vcb!7_l)4BlBr|&9ay$~ z%CWq|C)zya7F zUM8dU$2{}YW$z#9Ew1W!8;Yy5HWrr>j=P6EUu|9Y)9D(Udqbh)*Drm>SAU3B#AetV zzJ*EWyqYr7xM}sj+C03EZC3AX^^AM#b?>e&E%o^q#Nk{;>&J7x+qt;pc6WENzjvuU z^~Uz@b0^6g0NZv>XdTBBj$Nzpc30f=nIB~BYJ(p1sb02rJn7EPr-G+! z{J2uPds-OS1*Di?U;c)ix&7YBTV#91@v~)ncZ?V{HDlWLw>(B~*wp-xWY~9IU%MSX z@4UeGbB;Wp_jXOtE9t(^Jhy#HZp$=+m|eZ|Zou;Tx)~0Sh}8$uDvvO`)+3qKmwi@z z5IBlH6SHaE!RAv9ql=tswBVC?uLBtiN;=OjzDUMu4T*mC>cqH!8m65c?(b<*%y`(G zX`gfWB3XxbZ){(-?)bx59kJuLl`mVNo-k?o`P#sqrljN1N3UgHKNUMK$hvF!*ZCcF z6Bh67d1z%h|AnEqF!v7P5DJm3{}$%=58`ll27l-lMl|3cPK3=uCFyC~0s+^7z!m|45CU<33m@DPJB(9p zf#EVtjN`ZnCkROpM({C=56HqT)PJFfW>^zF$Z#FhW`cl$fHRTWgm#+JmX@?Ul|LHrte+YB6tp}H+K*;I>kyOXas4b?Fc%Xq3p;4o(SIcM)F|d zMDqIDy%*feu_Jlh;V$r}x9?>H4YeehSwzOW|H5q%JV@1-pil=IPO~IMvLxpp7Gmaw z&q3$VW+NMi;1Zccqp`CfSrT}vDalq+A5XK0jy5u8HUCO^1s`E3H9tlYj)hx8={V-^ zsWv()^@V7CYP?=S@uz427fD%kv>=(Z3Jly^uZgti@uAXIO*~DulQI{7(ll*vJGL1Tr4Mg;G8c zX`>9vNbU4Kf#6Ebk7HS@5=9*jhtMGsT5LuXS11%HMxX>C02BgyikT&o1!nssppP?z znb*%|whK9%gnCN?%FIJ?A%@;lqy@vVF;-Yj2vkh!EJ>`IpOnN`oQMRN z7`Q4L7b$T<$<0Pe3{#;llpt{cE9U_EkFXA)9KdR^MT2yDuq*rJ_YwW&?jo(>;lJ;& zgalX(kWQ(!=#vs?GdolJzvLSFCx@8Q_Zw_YvYEKTqVybe5M>-#OzD*di!Ff! zWkFi4CPvS#Jup{6PJ>PsE+QJPY(q?JZ^OjEGE|yKvr)}Y7ErW-Ofs>2jn2fd;9dGc zmNN7P#KeHahKbCvqW8stmL4v17F(~xC_3?;Xw7sRO)*G_T_5Kl%@l2R5yg>0QT+ly zo4Q}o}l|{0$9YevN@05U_ew+wwYruJ8SDf?#IK@yL4m7&* z5(=^NO;5;0iz zU2rnYb!<|wz`5#`g89{z7vRKT>oG_kz{y?5CM5{5t9>$D0rwt*cmYlX9)`Q(hKx-H zY;mk literal 0 HcmV?d00001 diff --git a/FPGA_by_Fredi/firebee1.asm.rpt b/FPGA_by_Fredi/firebee1.asm.rpt new file mode 100644 index 0000000..0641298 --- /dev/null +++ b/FPGA_by_Fredi/firebee1.asm.rpt @@ -0,0 +1,128 @@ +Assembler report for firebee1 +Thu Apr 13 11:08:24 2017 +Quartus II Version 9.1 Build 350 03/24/2010 Service Pack 2 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Assembler Summary + 3. Assembler Settings + 4. Assembler Generated Files + 5. Assembler Device Options: C:/FireBee/FPGA/firebee1.sof + 6. Assembler Device Options: C:/FireBee/FPGA/firebee1.rbf + 7. Assembler Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2010 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++---------------------------------------------------------------+ +; Assembler Summary ; ++-----------------------+---------------------------------------+ +; Assembler Status ; Successful - Thu Apr 13 11:08:24 2017 ; +; Revision Name ; firebee1 ; +; Top-level Entity Name ; firebee1 ; +; Family ; Cyclone III ; +; Device ; EP3C40F484C6 ; ++-----------------------+---------------------------------------+ + + ++----------------------------------------------------------------------------------------------------------+ +; Assembler Settings ; ++-----------------------------------------------------------------------------+------------+---------------+ +; Option ; Setting ; Default Value ; ++-----------------------------------------------------------------------------+------------+---------------+ +; Generate Raw Binary File (.rbf) For Target Device ; On ; Off ; +; Hexadecimal Output File start address ; 0XE0700000 ; 0 ; +; Use smart compilation ; Off ; Off ; +; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; +; Enable compact report table ; Off ; Off ; +; Generate compressed bitstreams ; On ; On ; +; Compression mode ; Off ; Off ; +; Clock source for configuration device ; Internal ; Internal ; +; Clock frequency of the configuration device ; 10 MHZ ; 10 MHz ; +; Divide clock frequency by ; 1 ; 1 ; +; Auto user code ; Off ; Off ; +; Use configuration device ; Off ; Off ; +; Configuration device ; Auto ; Auto ; +; Configuration device auto user code ; Off ; Off ; +; Generate Tabular Text File (.ttf) For Target Device ; Off ; Off ; +; Generate Hexadecimal (Intel-Format) Output File (.hexout) for Target Device ; Off ; Off ; +; Hexadecimal Output File count direction ; Up ; Up ; +; Release clears before tri-states ; Off ; Off ; +; Auto-restart configuration after error ; On ; On ; +; Enable OCT_DONE ; Off ; Off ; +; Generate Serial Vector Format File (.svf) for Target Device ; Off ; Off ; +; Generate a JEDEC STAPL Format File (.jam) for Target Device ; Off ; Off ; +; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; Off ; Off ; +; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; On ; On ; ++-----------------------------------------------------------------------------+------------+---------------+ + + ++------------------------------+ +; Assembler Generated Files ; ++------------------------------+ +; File Name ; ++------------------------------+ +; C:/FireBee/FPGA/firebee1.sof ; +; C:/FireBee/FPGA/firebee1.rbf ; ++------------------------------+ + + ++--------------------------------------------------------+ +; Assembler Device Options: C:/FireBee/FPGA/firebee1.sof ; ++----------------+---------------------------------------+ +; Option ; Setting ; ++----------------+---------------------------------------+ +; Device ; EP3C40F484C6 ; +; JTAG usercode ; 0xFFFFFFFF ; +; Checksum ; 0x01072C3E ; ++----------------+---------------------------------------+ + + ++--------------------------------------------------------+ +; Assembler Device Options: C:/FireBee/FPGA/firebee1.rbf ; ++---------------------+----------------------------------+ +; Option ; Setting ; ++---------------------+----------------------------------+ +; Raw Binary File ; ; +; Compression Ratio ; 2 ; ++---------------------+----------------------------------+ + + ++--------------------+ +; Assembler Messages ; ++--------------------+ +Info: ******************************************************************* +Info: Running Quartus II Assembler + Info: Version 9.1 Build 350 03/24/2010 Service Pack 2 SJ Web Edition + Info: Processing started: Thu Apr 13 11:08:20 2017 +Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off firebeei1 -c firebee1 +Info: Writing out detailed assembly data for power analysis +Info: Assembler is generating device programming files +Info: Quartus II Assembler was successful. 0 errors, 0 warnings + Info: Peak virtual memory: 310 megabytes + Info: Processing ended: Thu Apr 13 11:08:24 2017 + Info: Elapsed time: 00:00:04 + Info: Total CPU time (on all processors): 00:00:05 + + diff --git a/FPGA_by_Fredi/firebee1.bdf b/FPGA_by_Fredi/firebee1.bdf index 8d4f188..dc29aec 100644 --- a/FPGA_by_Fredi/firebee1.bdf +++ b/FPGA_by_Fredi/firebee1.bdf @@ -293,7 +293,7 @@ applicable agreement for further details. (line (pt 117 12)(pt 121 8)(line_width 1)) ) (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) - (annotation_block (location)(rect 560 1504 648 1536)) + (annotation_block (location)(rect 520 1472 608 1504)) ) (pin (input) @@ -2063,6 +2063,23 @@ applicable agreement for further details. ) (annotation_block (location)(rect 992 272 1056 288)) ) +(pin + (output) + (rect 1848 2128 2024 2144) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "nDREQ0" (rect 90 0 133 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) + (annotation_block (location)(rect 2024 2112 2072 2128)) +) (pin (bidir) (rect 1840 1088 2016 1104) @@ -2242,7 +2259,7 @@ applicable agreement for further details. ) (flipy) (text "VCC" (rect 152 7 172 17)(font "Arial" (font_size 6))) - (annotation_block (location)(rect 352 1376 416 1824)) + (annotation_block (location)(rect 112 928 176 1376)) ) (pin (bidir) @@ -3477,118 +3494,26 @@ applicable agreement for further details. (line (pt 40 48)(pt 40 168)(line_width 1)) ) ) -(block - (rect 1264 2944 1672 3560) - (text "DSP" (rect 5 5 28 19)(font "Arial" (font_size 8))) (text "Mathias_Alles" (rect 5 602 72 614)(font "Arial" )) (block_io "CLK33M" (input)) - (block_io "MAIN_CLK" (input)) - (block_io "nFB_OE" (input)) - (block_io "nFB_WR" (input)) - (block_io "nFB_CS1" (input)) - (block_io "nFB_CS2" (input)) - (block_io "FB_SIZE0" (input)) - (block_io "FB_SIZE1" (input)) - (block_io "nFB_BURST" (input)) - (block_io "FB_ADR[31..0]" (input)) - (block_io "nRSTO" (input)) - (block_io "nFB_CS3" (input)) - (block_io "nSRCS" (output)) - (block_io "nSRBLE" (output)) - (block_io "nSRBHE" (output)) - (block_io "nSRWE" (output)) - (block_io "nSROE" (output)) - (block_io "DSP_INT" (output)) - (block_io "DSP_TA" (output)) - (block_io "FB_AD[31..0]" (bidir)) - (block_io "IO[17..0]" (bidir)) - (block_io "SRD[15..0]" (bidir)) - (mapper - (pt 408 416) - (bidir) - ) - (mapper - (pt 408 392) - (bidir) - ) - (mapper - (pt 408 368) - (bidir) - ) - (mapper - (pt 408 320) - (bidir) - ) - (mapper - (pt 408 440) - (bidir) - ) - (mapper - (pt 408 344) - (bidir) - ) - (mapper - (pt 408 296) - (bidir) - ) - (mapper - (pt 408 40) - (bidir) - ) - (mapper - (pt 0 56) - (bidir) - ) - (mapper - (pt 0 80) - (bidir) - ) - (mapper - (pt 0 104) - (bidir) - ) - (mapper - (pt 0 128) - (bidir) - ) - (mapper - (pt 0 152) - (bidir) - ) - (mapper - (pt 0 176) - (bidir) - ) - (mapper - (pt 0 248) - (bidir) - ) - (mapper - (pt 0 224) - (bidir) - ) - (mapper - (pt 0 272) - (bidir) - ) - (mapper - (pt 0 296) - (bidir) - ) - (mapper - (pt 408 72) - (bidir) - ) - (mapper - (pt 408 576) - (bidir) - ) - (mapper - (pt 0 320) - (bidir) - ) - (mapper - (pt 0 200) - (bidir) - ) +(symbol + (rect 840 2872 928 2920) + (text "FPGA_DATE" (rect 6 1 96 17)(font "Arial" (font_size 10))) + (text "inst26" (rect 8 32 37 44)(font "Arial" )) + (port + (pt 88 24) + (output) + (text "result[31..0]" (rect 0 0 67 14)(font "Arial" (font_size 8))) + (text "result[31..0]" (rect 85 -31 152 -17)(font "Arial" (font_size 8))(invisible)) + (line (pt 88 24)(pt 72 24)(line_width 3)) + ) + (drawing + (text "319037463" (rect 27 18 80 30)(font "Arial" )) + (text "32" (rect 77 25 88 37)(font "Arial" )) + (line (pt 16 16)(pt 72 16)(line_width 1)) + (line (pt 72 16)(pt 72 32)(line_width 1)) + (line (pt 72 32)(pt 16 32)(line_width 1)) + (line (pt 16 32)(pt 16 16)(line_width 1)) + (line (pt 72 28)(pt 80 20)(line_width 1)) + ) ) (block (rect 1264 -48 1672 728) @@ -3631,6 +3556,7 @@ applicable agreement for further details. (block_io "BA[1..0]" (output)) (block_io "VIDEO_RECONFIG" (output)) (block_io "VR_WR" (output)) + (block_io "BLITTER_INT" (output)) (block_io "VDQS[3..0]" (bidir)) (block_io "FB_AD[31..0]" (bidir)) (block_io "VD[31..0]" (bidir)) @@ -3802,137 +3728,8 @@ applicable agreement for further details. (pt 0 512) (bidir) ) -) -(block - (rect 1264 2344 1672 2904) - (text "interrupt_handler" (rect 5 5 101 19)(font "Arial" (font_size 8))) (text "nobody" (rect 5 546 41 558)(font "Arial" )) (block_io "MAIN_CLK" (input)) - (block_io "nFB_WR" (input)) - (block_io "nFB_CS1" (input)) - (block_io "nFB_CS2" (input)) - (block_io "FB_SIZE0" (input)) - (block_io "FB_SIZE1" (input)) - (block_io "FB_ADR[31..0]" (input)) - (block_io "PIC_INT" (input)) - (block_io "E0_INT" (input)) - (block_io "DVI_INT" (input)) - (block_io "nPCI_INTA" (input)) - (block_io "nPCI_INTB" (input)) - (block_io "nPCI_INTC" (input)) - (block_io "nPCI_INTD" (input)) - (block_io "nMFP_INT" (input)) - (block_io "nFB_OE" (input)) - (block_io "DSP_INT" (input)) - (block_io "VSYNC" (input)) - (block_io "HSYNC" (input)) - (block_io "DMA_DRQ" (input)) - (block_io "nRSTO" (input)) - (block_io "nIRQ[7..2]" (output)) - (block_io "INT_HANDLER_TA" (output)) - (block_io "ACP_CONF[31..0]" (output)) - (block_io "TIN0" (output)) - (block_io "FB_AD[31..0]" (bidir)) (mapper - (pt 408 56) - (bidir) - ) - (mapper - (pt 408 80) - (bidir) - ) - (mapper - (pt 0 256) - (bidir) - ) - (mapper - (pt 0 280) - (bidir) - ) - (mapper - (pt 0 304) - (bidir) - ) - (mapper - (pt 0 376) - (bidir) - ) - (mapper - (pt 0 400) - (bidir) - ) - (mapper - (pt 0 328) - (bidir) - ) - (mapper - (pt 0 352) - (bidir) - ) - (mapper - (pt 0 432) - (bidir) - ) - (mapper - (pt 0 456) - (bidir) - ) - (mapper - (pt 0 480) - (bidir) - ) - (mapper - (pt 0 504) - (bidir) - ) - (mapper - (pt 408 504) - (bidir) - ) - (mapper - (pt 0 528) - (bidir) - ) - (mapper - (pt 408 240) - (bidir) - ) - (mapper - (pt 408 296) - (bidir) - ) - (mapper - (pt 0 224) - (bidir) - ) - (mapper - (pt 0 104) - (bidir) - ) - (mapper - (pt 0 128) - (bidir) - ) - (mapper - (pt 0 176) - (bidir) - ) - (mapper - (pt 0 200) - (bidir) - ) - (mapper - (pt 0 56) - (bidir) - ) - (mapper - (pt 0 152) - (bidir) - ) - (mapper - (pt 0 80) - (bidir) - ) - (mapper - (pt 0 32) + (pt 408 704) (bidir) ) ) @@ -3983,12 +3780,15 @@ applicable agreement for further details. (block_io "nFB_OE" (input)) (block_io "VSYNC" (input)) (block_io "HSYNC" (input)) - (block_io "DSP_INT" (input)) (block_io "nBLANK" (input)) (block_io "FDC_CLK" (input)) (block_io "FB_ALE" (input)) - (block_io "ACP_CONF[31..24]" (input)) (block_io "HD_DD" (input)) + (block_io "nFB_CS3" (input)) + (block_io "VIDEO_TA" (input)) + (block_io "ACP_CONF[31..0]" (input)) + (block_io "BLITTER_INT" (input)) + (block_io "DSP_INT" (input)) (block_io "nIDE_CS1" (output)) (block_io "nIDE_CS0" (output)) (block_io "LP_STR" (output)) @@ -4361,10 +4161,6 @@ applicable agreement for further details. (pt 0 176) (bidir) ) - (mapper - (pt 0 1216) - (bidir) - ) (mapper (pt 408 48) (bidir) @@ -4449,6 +4245,274 @@ applicable agreement for further details. (pt 408 1544) (bidir) ) + (mapper + (pt 0 1408) + (bidir) + ) + (mapper + (pt 0 1488) + (bidir) + ) + (mapper + (pt 0 1440) + (bidir) + ) + (mapper + (pt 0 1464) + (bidir) + ) +) +(block + (rect 1264 2944 1672 3560) + (text "DSP" (rect 5 5 28 19)(font "Arial" (font_size 8))) (text "Mathias_Alles" (rect 5 602 72 614)(font "Arial" )) (block_io "CLK33M" (input)) + (block_io "MAIN_CLK" (input)) + (block_io "nFB_OE" (input)) + (block_io "nFB_WR" (input)) + (block_io "nFB_CS1" (input)) + (block_io "nFB_CS2" (input)) + (block_io "FB_SIZE0" (input)) + (block_io "FB_SIZE1" (input)) + (block_io "nFB_BURST" (input)) + (block_io "FB_ADR[31..0]" (input)) + (block_io "nRSTO" (input)) + (block_io "nFB_CS3" (input)) + (block_io "nSRCS" (output)) + (block_io "nSRBLE" (output)) + (block_io "nSRBHE" (output)) + (block_io "nSRWE" (output)) + (block_io "nSROE" (output)) + (block_io "DSP_INT" (output)) + (block_io "DSP_TA" (output)) + (block_io "FB_AD[31..0]" (bidir)) + (block_io "IO[17..0]" (bidir)) + (block_io "SRD[15..0]" (bidir)) + (mapper + (pt 408 416) + (bidir) + ) + (mapper + (pt 408 392) + (bidir) + ) + (mapper + (pt 408 368) + (bidir) + ) + (mapper + (pt 408 320) + (bidir) + ) + (mapper + (pt 408 440) + (bidir) + ) + (mapper + (pt 408 344) + (bidir) + ) + (mapper + (pt 408 296) + (bidir) + ) + (mapper + (pt 408 40) + (bidir) + ) + (mapper + (pt 0 56) + (bidir) + ) + (mapper + (pt 0 80) + (bidir) + ) + (mapper + (pt 0 104) + (bidir) + ) + (mapper + (pt 0 128) + (bidir) + ) + (mapper + (pt 0 152) + (bidir) + ) + (mapper + (pt 0 176) + (bidir) + ) + (mapper + (pt 0 248) + (bidir) + ) + (mapper + (pt 0 224) + (bidir) + ) + (mapper + (pt 0 272) + (bidir) + ) + (mapper + (pt 0 296) + (bidir) + ) + (mapper + (pt 408 72) + (bidir) + ) + (mapper + (pt 408 576) + (bidir) + ) + (mapper + (pt 0 320) + (bidir) + ) + (mapper + (pt 0 200) + (bidir) + ) +) +(block + (rect 1264 2344 1672 2920) + (text "interrupt_handler" (rect 5 5 101 19)(font "Arial" (font_size 8))) (text "nobody" (rect 5 562 41 574)(font "Arial" )) (block_io "MAIN_CLK" (input)) + (block_io "nFB_WR" (input)) + (block_io "nFB_CS1" (input)) + (block_io "nFB_CS2" (input)) + (block_io "FB_SIZE0" (input)) + (block_io "FB_SIZE1" (input)) + (block_io "FB_ADR[31..0]" (input)) + (block_io "PIC_INT" (input)) + (block_io "E0_INT" (input)) + (block_io "DVI_INT" (input)) + (block_io "nPCI_INTA" (input)) + (block_io "nPCI_INTB" (input)) + (block_io "nPCI_INTC" (input)) + (block_io "nPCI_INTD" (input)) + (block_io "nMFP_INT" (input)) + (block_io "nFB_OE" (input)) + (block_io "DSP_INT" (input)) + (block_io "VSYNC" (input)) + (block_io "HSYNC" (input)) + (block_io "DMA_DRQ" (input)) + (block_io "nRSTO" (input)) + (block_io "VIDEO_TA" (input)) + (block_io "FPGA_DATE[31..0]" (input)) + (block_io "nIRQ[7..2]" (output)) + (block_io "INT_HANDLER_TA" (output)) + (block_io "ACP_CONF[31..0]" (output)) + (block_io "TIN0" (output)) + (block_io "FB_AD[31..0]" (bidir)) + (mapper + (pt 408 56) + (bidir) + ) + (mapper + (pt 408 80) + (bidir) + ) + (mapper + (pt 0 256) + (bidir) + ) + (mapper + (pt 0 280) + (bidir) + ) + (mapper + (pt 0 304) + (bidir) + ) + (mapper + (pt 0 376) + (bidir) + ) + (mapper + (pt 0 400) + (bidir) + ) + (mapper + (pt 0 328) + (bidir) + ) + (mapper + (pt 0 352) + (bidir) + ) + (mapper + (pt 0 432) + (bidir) + ) + (mapper + (pt 0 456) + (bidir) + ) + (mapper + (pt 0 480) + (bidir) + ) + (mapper + (pt 0 504) + (bidir) + ) + (mapper + (pt 408 504) + (bidir) + ) + (mapper + (pt 0 528) + (bidir) + ) + (mapper + (pt 408 240) + (bidir) + ) + (mapper + (pt 408 296) + (bidir) + ) + (mapper + (pt 0 224) + (bidir) + ) + (mapper + (pt 0 104) + (bidir) + ) + (mapper + (pt 0 128) + (bidir) + ) + (mapper + (pt 0 176) + (bidir) + ) + (mapper + (pt 0 200) + (bidir) + ) + (mapper + (pt 0 56) + (bidir) + ) + (mapper + (pt 0 152) + (bidir) + ) + (mapper + (pt 0 80) + (bidir) + ) + (mapper + (pt 0 32) + (bidir) + ) + (mapper + (pt 0 552) + (bidir) + ) ) (connector (text "FB_AD[31..0]" (rect 1682 2384 1749 2396)(font "Arial" )) @@ -5365,11 +5429,6 @@ applicable agreement for further details. (pt 1264 1984) (pt 1144 1984) ) -(connector - (text "DSP_INT" (rect 1154 1944 1200 1956)(font "Arial" )) - (pt 1264 1960) - (pt 1144 1960) -) (connector (text "DMA_DRQ" (rect 1682 2096 1736 2108)(font "Arial" )) (pt 1784 2112) @@ -5385,12 +5444,6 @@ applicable agreement for further details. (pt 1144 2008) (pt 1264 2008) ) -(connector - (text "ACP_CONF[31..24]" (rect 1146 2064 1243 2076)(font "Arial" )) - (pt 1136 2080) - (pt 1264 2080) - (bus) -) (connector (text "LP_STR" (rect 1682 824 1722 836)(font "Arial" )) (pt 1672 840) @@ -5908,11 +5961,6 @@ applicable agreement for further details. (pt 1672 2224) (pt 1864 2224) ) -(connector - (text "nDREQ0" (rect 1674 2120 1717 2132)(font "Arial" )) - (pt 1672 2136) - (pt 1800 2136) -) (connector (text "MIDI_OLR" (rect 1682 2272 1733 2284)(font "Arial" )) (pt 1672 2288) @@ -5990,6 +6038,48 @@ applicable agreement for further details. (pt 816 280) (pt 712 280) ) +(connector + (text "nFB_CS3" (rect 1162 2136 1209 2148)(font "Arial" )) + (pt 1152 2152) + (pt 1264 2152) +) +(connector + (text "nDREQ0" (rect 1722 2120 1765 2132)(font "Arial" )) + (pt 1672 2136) + (pt 1848 2136) +) +(connector + (text "Video_TA" (rect 1178 2216 1224 2228)(font "Arial" )) + (pt 1168 2232) + (pt 1264 2232) +) +(connector + (text "ACP_CONF[31..0]" (rect 1146 2064 1238 2076)(font "Arial" )) + (pt 1136 2080) + (pt 1264 2080) + (bus) +) +(connector + (text "BLITTER_INT" (rect 1698 640 1765 652)(font "Arial" )) + (pt 1672 656) + (pt 1792 656) +) +(connector + (text "BLITTER_INT" (rect 1154 2168 1221 2180)(font "Arial" )) + (pt 1264 2184) + (pt 1144 2184) +) +(connector + (text "DSP_INT" (rect 1154 2192 1200 2204)(font "Arial" )) + (pt 1264 2208) + (pt 1144 2208) +) +(connector + (text "FPGA_DATE[31..0]" (rect 938 2880 1033 2892)(font "Arial" )) + (pt 928 2896) + (pt 1264 2896) + (bus) +) (junction (pt 2504 760)) (junction (pt 1856 -64)) (junction (pt 2424 -80)) diff --git a/FPGA_by_Fredi/firebee1.done b/FPGA_by_Fredi/firebee1.done index edfa2a3..1c56ddf 100644 --- a/FPGA_by_Fredi/firebee1.done +++ b/FPGA_by_Fredi/firebee1.done @@ -1 +1 @@ -Fri Aug 28 13:39:52 2015 +Thu Apr 13 11:08:39 2017 diff --git a/FPGA_by_Fredi/firebee1.fit.summary b/FPGA_by_Fredi/firebee1.fit.summary index f4ccea5..33aa7d2 100644 --- a/FPGA_by_Fredi/firebee1.fit.summary +++ b/FPGA_by_Fredi/firebee1.fit.summary @@ -1,16 +1,16 @@ -Fitter Status : Successful - Fri Aug 28 13:39:32 2015 +Fitter Status : Successful - Thu Apr 13 11:08:12 2017 Quartus II Version : 9.1 Build 350 03/24/2010 SP 2 SJ Web Edition Revision Name : firebee1 Top-level Entity Name : firebee1 Family : Cyclone III Device : EP3C40F484C6 Timing Models : Final -Total logic elements : 10,207 / 39,600 ( 26 % ) - Total combinational functions : 8,661 / 39,600 ( 22 % ) - Dedicated logic registers : 5,025 / 39,600 ( 13 % ) -Total registers : 5162 -Total pins : 295 / 332 ( 89 % ) +Total logic elements : 20,945 / 39,600 ( 53 % ) + Total combinational functions : 19,059 / 39,600 ( 48 % ) + Dedicated logic registers : 5,696 / 39,600 ( 14 % ) +Total registers : 5845 +Total pins : 296 / 332 ( 89 % ) Total virtual pins : 0 -Total memory bits : 109,600 / 1,161,216 ( 9 % ) -Embedded Multiplier 9-bit elements : 6 / 252 ( 2 % ) +Total memory bits : 355,360 / 1,161,216 ( 31 % ) +Embedded Multiplier 9-bit elements : 12 / 252 ( 5 % ) Total PLLs : 4 / 4 ( 100 % ) diff --git a/FPGA_by_Fredi/firebee1.flow.rpt b/FPGA_by_Fredi/firebee1.flow.rpt new file mode 100644 index 0000000..1bad0f9 --- /dev/null +++ b/FPGA_by_Fredi/firebee1.flow.rpt @@ -0,0 +1,428 @@ +Flow report for firebee1 +Sat Apr 15 23:46:36 2017 +Quartus II Version 9.1 Build 350 03/24/2010 Service Pack 2 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Flow Summary + 3. Flow Settings + 4. Flow Non-Default Global Settings + 5. Flow Elapsed Time + 6. Flow OS Summary + 7. Flow Log + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2010 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++-----------------------------------------------------------------------------------+ +; Flow Summary ; ++------------------------------------+----------------------------------------------+ +; Flow Status ; Successful - Sat Apr 15 23:46:35 2017 ; +; Quartus II Version ; 9.1 Build 350 03/24/2010 SP 2 SJ Web Edition ; +; Revision Name ; firebee1 ; +; Top-level Entity Name ; firebee1 ; +; Family ; Cyclone III ; +; Device ; EP3C40F484C6 ; +; Timing Models ; Final ; +; Met timing requirements ; N/A ; +; Total logic elements ; 22,593 ; +; Total combinational functions ; 19,050 ; +; Dedicated logic registers ; 5,711 ; +; Total registers ; 5839 ; +; Total pins ; 296 ; +; Total virtual pins ; 0 ; +; Total memory bits ; 355,360 ; +; Embedded Multiplier 9-bit elements ; 12 ; +; Total PLLs ; 4 ; ++------------------------------------+----------------------------------------------+ + + ++-----------------------------------------+ +; Flow Settings ; ++-------------------+---------------------+ +; Option ; Setting ; ++-------------------+---------------------+ +; Start date & time ; 04/15/2017 23:43:13 ; +; Main task ; Compilation ; +; Revision Name ; firebee1 ; ++-------------------+---------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------+ +; Flow Non-Default Global Settings ; ++-----------------------------------------+------------------------------------+---------------+-------------+----------------+ +; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; ++-----------------------------------------+------------------------------------+---------------+-------------+----------------+ +; COMPILER_SIGNATURE_ID ; 1098263457634.149229259302120 ; -- ; -- ; -- ; +; CYCLONEII_OPTIMIZATION_TECHNIQUE ; Speed ; Balanced ; -- ; -- ; +; FMAX_REQUIREMENT ; 30 ns ; -- ; -- ; -- ; +; IP_TOOL_NAME ; ALTPLL ; -- ; -- ; -- ; +; IP_TOOL_NAME ; ALTPLL ; -- ; -- ; -- ; +; IP_TOOL_NAME ; ALTPLL ; -- ; -- ; -- ; +; IP_TOOL_NAME ; ALTPLL ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_COUNTER ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_SHIFTREG ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_RAM_DP+ ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_BUSTRI ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_RAM_DP+ ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_BUSTRI ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_BUSTRI ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_CONSTANT ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_CONSTANT ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_MUX ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_MUX ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_MUX ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_CONSTANT ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_RAM_DP+ ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_BUSTRI ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_MUX ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_MUX ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_CONSTANT ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_SHIFTREG ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_LATCH ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_CONSTANT ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_SHIFTREG ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_COMPARE ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_BUSTRI ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_BUSTRI ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_BUSTRI ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_FF ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_FF ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_FF ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_SHIFTREG ; -- ; -- ; -- ; +; IP_TOOL_NAME ; ALTDDIO_BIDIR ; -- ; -- ; -- ; +; IP_TOOL_NAME ; ALTDDIO_OUT ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_MUX ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_SHIFTREG ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_SHIFTREG ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_SHIFTREG ; -- ; -- ; -- ; +; IP_TOOL_NAME ; ALTDDIO_OUT ; -- ; -- ; -- ; +; IP_TOOL_NAME ; ALTDDIO_OUT ; -- ; -- ; -- ; +; IP_TOOL_NAME ; ALTDDIO_OUT ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_MUX ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_FIFO+ ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_FIFO+ ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_MUX ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_MUX ; -- ; -- ; -- ; +; IP_TOOL_NAME ; ALTPLL_RECONFIG ; -- ; -- ; -- ; +; IP_TOOL_NAME ; ALTPLL ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_MUX ; -- ; -- ; -- ; +; IP_TOOL_NAME ; ALTSYNCRAM ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_SHIFTREG ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_COUNTER ; -- ; -- ; -- ; +; IP_TOOL_NAME ; ALTIOBUF ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_MUX ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_FF ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_CLSHIFT ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_CLSHIFT ; -- ; -- ; -- ; +; IP_TOOL_NAME ; LPM_CONSTANT ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 9.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 9.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 9.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 9.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 8.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 9.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 9.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 9.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 9.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 9.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 9.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 9.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 9.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 9.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 9.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 9.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 9.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 9.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 9.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 9.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 9.1 ; -- ; -- ; -- ; +; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; +; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; +; MISC_FILE ; C:/firebee/FPGA/firebee1.dpf ; -- ; -- ; -- ; +; MISC_FILE ; C:/FireBee/FPGA/firebee1.dpf ; -- ; -- ; -- ; +; MISC_FILE ; altpll1.bsf ; -- ; -- ; -- ; +; MISC_FILE ; altpll1.inc ; -- ; -- ; -- ; +; MISC_FILE ; altpll1.cmp ; -- ; -- ; -- ; +; MISC_FILE ; altpll1.ppf ; -- ; -- ; -- ; +; MISC_FILE ; altpll2.bsf ; -- ; -- ; -- ; +; MISC_FILE ; altpll2.inc ; -- ; -- ; -- ; +; MISC_FILE ; altpll2.cmp ; -- ; -- ; -- ; +; MISC_FILE ; altpll2.ppf ; -- ; -- ; -- ; +; MISC_FILE ; altpll3.bsf ; -- ; -- ; -- ; +; MISC_FILE ; altpll3.inc ; -- ; -- ; -- ; +; MISC_FILE ; altpll3.cmp ; -- ; -- ; -- ; +; MISC_FILE ; altpll3.ppf ; -- ; -- ; -- ; +; MISC_FILE ; altpll0.bsf ; -- ; -- ; -- ; +; MISC_FILE ; altpll0.inc ; -- ; -- ; -- ; +; MISC_FILE ; altpll0.cmp ; -- ; -- ; -- ; +; MISC_FILE ; altpll0.ppf ; -- ; -- ; -- ; +; MISC_FILE ; lpm_counter0.bsf ; -- ; -- ; -- ; +; MISC_FILE ; lpm_counter0.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_shiftreg0.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_shiftreg0.inc ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_shiftreg0.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/altdpram0.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/altdpram0.inc ; -- ; -- ; -- ; +; MISC_FILE ; Video/altdpram0.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_bustri1.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_bustri1.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/altdpram1.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/altdpram1.inc ; -- ; -- ; -- ; +; MISC_FILE ; Video/altdpram1.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_bustri2.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_bustri2.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_bustri4.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_bustri4.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_constant0.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_constant0.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_constant1.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_constant1.inc ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_constant1.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_mux0.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_mux0.inc ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_mux0.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_mux1.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_mux1.inc ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_mux1.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_mux2.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_mux2.inc ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_mux2.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_constant2.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_constant2.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/altdpram2.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/altdpram2.inc ; -- ; -- ; -- ; +; MISC_FILE ; Video/altdpram2.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_bustri6.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_bustri6.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_mux3.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_mux3.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_mux4.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_mux4.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_constant3.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_constant3.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_shiftreg1.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_shiftreg1.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_latch1.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_latch1.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_constant4.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_constant4.inc ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_constant4.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_shiftreg2.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_shiftreg2.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_compare1.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_compare1.inc ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_compare1.cmp ; -- ; -- ; -- ; +; MISC_FILE ; lpm_bustri_LONG.bsf ; -- ; -- ; -- ; +; MISC_FILE ; lpm_bustri_LONG.inc ; -- ; -- ; -- ; +; MISC_FILE ; lpm_bustri_LONG.cmp ; -- ; -- ; -- ; +; MISC_FILE ; lpm_bustri_BYT.bsf ; -- ; -- ; -- ; +; MISC_FILE ; lpm_bustri_BYT.inc ; -- ; -- ; -- ; +; MISC_FILE ; lpm_bustri_BYT.cmp ; -- ; -- ; -- ; +; MISC_FILE ; lpm_bustri_WORD.bsf ; -- ; -- ; -- ; +; MISC_FILE ; lpm_bustri_WORD.inc ; -- ; -- ; -- ; +; MISC_FILE ; lpm_bustri_WORD.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_ff4.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_ff4.inc ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_ff4.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_ff5.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_ff5.inc ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_ff5.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_ff6.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_ff6.inc ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_ff6.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_shiftreg3.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_shiftreg3.inc ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_shiftreg3.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/altddio_bidir0.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/altddio_bidir0.inc ; -- ; -- ; -- ; +; MISC_FILE ; Video/altddio_bidir0.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/altddio_bidir0.ppf ; -- ; -- ; -- ; +; MISC_FILE ; Video/altddio_out0.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/altddio_out0.inc ; -- ; -- ; -- ; +; MISC_FILE ; Video/altddio_out0.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/altddio_out0.ppf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_mux5.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_mux5.inc ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_mux5.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_shiftreg5.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_shiftreg5.inc ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_shiftreg5.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_shiftreg6.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_shiftreg6.inc ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_shiftreg6.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_shiftreg4.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_shiftreg4.inc ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_shiftreg4.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/altddio_out1.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/altddio_out1.inc ; -- ; -- ; -- ; +; MISC_FILE ; Video/altddio_out1.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/altddio_out1.ppf ; -- ; -- ; -- ; +; MISC_FILE ; Video/altddio_out2.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/altddio_out2.inc ; -- ; -- ; -- ; +; MISC_FILE ; Video/altddio_out2.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/altddio_out2.ppf ; -- ; -- ; -- ; +; MISC_FILE ; altddio_out3.bsf ; -- ; -- ; -- ; +; MISC_FILE ; altddio_out3.inc ; -- ; -- ; -- ; +; MISC_FILE ; altddio_out3.cmp ; -- ; -- ; -- ; +; MISC_FILE ; altddio_out3.ppf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_mux6.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_mux6.inc ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_mux6.cmp ; -- ; -- ; -- ; +; MISC_FILE ; FalconIO_SDCard_IDE_CF/dcfifo0.bsf ; -- ; -- ; -- ; +; MISC_FILE ; FalconIO_SDCard_IDE_CF/dcfifo0.cmp ; -- ; -- ; -- ; +; MISC_FILE ; FalconIO_SDCard_IDE_CF/dcfifo1.bsf ; -- ; -- ; -- ; +; MISC_FILE ; FalconIO_SDCard_IDE_CF/dcfifo1.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_muxDZ.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_muxDZ.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_muxVDM.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_muxVDM.cmp ; -- ; -- ; -- ; +; MISC_FILE ; altpll_reconfig1.tdf ; -- ; -- ; -- ; +; MISC_FILE ; altpll_reconfig1.bsf ; -- ; -- ; -- ; +; MISC_FILE ; altpll_reconfig1.inc ; -- ; -- ; -- ; +; MISC_FILE ; altpll_reconfig1.cmp ; -- ; -- ; -- ; +; MISC_FILE ; altpll4.tdf ; -- ; -- ; -- ; +; MISC_FILE ; altpll4.bsf ; -- ; -- ; -- ; +; MISC_FILE ; altpll4.inc ; -- ; -- ; -- ; +; MISC_FILE ; altpll4.cmp ; -- ; -- ; -- ; +; MISC_FILE ; altpll4.ppf ; -- ; -- ; -- ; +; MISC_FILE ; lpm_mux0.tdf ; -- ; -- ; -- ; +; MISC_FILE ; lpm_mux0.bsf ; -- ; -- ; -- ; +; MISC_FILE ; lpm_mux0.inc ; -- ; -- ; -- ; +; MISC_FILE ; lpm_mux0.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/BLITTER/altsyncram0.tdf ; -- ; -- ; -- ; +; MISC_FILE ; Video/BLITTER/altsyncram0.inc ; -- ; -- ; -- ; +; MISC_FILE ; lpm_shiftreg0.tdf ; -- ; -- ; -- ; +; MISC_FILE ; lpm_shiftreg0.bsf ; -- ; -- ; -- ; +; MISC_FILE ; lpm_shiftreg0.inc ; -- ; -- ; -- ; +; MISC_FILE ; lpm_shiftreg0.cmp ; -- ; -- ; -- ; +; MISC_FILE ; lpm_counter1.tdf ; -- ; -- ; -- ; +; MISC_FILE ; lpm_counter1.bsf ; -- ; -- ; -- ; +; MISC_FILE ; lpm_counter1.inc ; -- ; -- ; -- ; +; MISC_FILE ; lpm_counter1.cmp ; -- ; -- ; -- ; +; MISC_FILE ; altiobuf_bidir0.tdf ; -- ; -- ; -- ; +; MISC_FILE ; altiobuf_bidir0.bsf ; -- ; -- ; -- ; +; MISC_FILE ; altiobuf_bidir0.inc ; -- ; -- ; -- ; +; MISC_FILE ; altiobuf_bidir0.cmp ; -- ; -- ; -- ; +; MISC_FILE ; lpm_mux1.tdf ; -- ; -- ; -- ; +; MISC_FILE ; lpm_mux1.bsf ; -- ; -- ; -- ; +; MISC_FILE ; lpm_mux1.inc ; -- ; -- ; -- ; +; MISC_FILE ; lpm_mux1.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_blitter.bsf ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_blitter.inc ; -- ; -- ; -- ; +; MISC_FILE ; Video/lpm_blitter.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/BLITTER/lpm_clshift384.tdf ; -- ; -- ; -- ; +; MISC_FILE ; Video/BLITTER/lpm_clshift384.inc ; -- ; -- ; -- ; +; MISC_FILE ; Video/BLITTER/lpm_clshift384.cmp ; -- ; -- ; -- ; +; MISC_FILE ; Video/BLITTER/lpm_clshift144.tdf ; -- ; -- ; -- ; +; MISC_FILE ; Video/BLITTER/lpm_clshift144.inc ; -- ; -- ; -- ; +; MISC_FILE ; FPGA_DATE.tdf ; -- ; -- ; -- ; +; MISC_FILE ; FPGA_DATE.bsf ; -- ; -- ; -- ; +; MISC_FILE ; FPGA_DATE.inc ; -- ; -- ; -- ; +; NOMINAL_CORE_SUPPLY_VOLTAGE ; 1.2V ; -- ; -- ; -- ; +; PARTITION_COLOR ; 16764057 ; -- ; -- ; Top ; +; PARTITION_FITTER_PRESERVATION_LEVEL ; PLACEMENT_AND_ROUTING ; -- ; -- ; Top ; +; PARTITION_NETLIST_TYPE ; SOURCE ; -- ; -- ; Top ; +; PHYSICAL_SYNTHESIS_COMBO_LOGIC ; On ; Off ; -- ; -- ; +; PHYSICAL_SYNTHESIS_COMBO_LOGIC_FOR_AREA ; On ; Off ; -- ; -- ; +; PHYSICAL_SYNTHESIS_EFFORT ; Fast ; Normal ; -- ; -- ; +; PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION ; On ; Off ; -- ; -- ; +; POWER_BOARD_THERMAL_MODEL ; None (CONSERVATIVE) ; -- ; -- ; -- ; +; POWER_PRESET_COOLING_SOLUTION ; No Heat Sink With Still Air ; -- ; -- ; -- ; +; POWER_USE_TA_VALUE ; 35 ; 25 ; -- ; -- ; +; STATE_MACHINE_PROCESSING ; One-Hot ; Auto ; -- ; -- ; +; TCO_REQUIREMENT ; 1 ns ; -- ; -- ; -- ; +; TH_REQUIREMENT ; 1 ns ; -- ; -- ; -- ; +; TPD_REQUIREMENT ; 1 ns ; -- ; -- ; -- ; +; TSU_REQUIREMENT ; 1 ns ; -- ; -- ; -- ; +; USE_GENERATED_PHYSICAL_CONSTRAINTS ; Off ; -- ; -- ; eda_blast_fpga ; +; USE_TIMEQUEST_TIMING_ANALYZER ; Off ; On ; -- ; -- ; ++-----------------------------------------+------------------------------------+---------------+-------------+----------------+ + + ++--------------------------------------------------------------------------------------------------------------------------+ +; Flow Elapsed Time ; ++----------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; ++----------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Analysis & Synthesis ; 00:03:20 ; 1.0 ; 355 MB ; 00:03:21 ; +; Total ; 00:03:20 ; -- ; -- ; 00:03:21 ; ++----------------------+--------------+-------------------------+---------------------+------------------------------------+ + + ++---------------------------------------------------------------------------------------+ +; Flow OS Summary ; ++----------------------+------------------+---------------+------------+----------------+ +; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; ++----------------------+------------------+---------------+------------+----------------+ +; Analysis & Synthesis ; Vaio ; Windows Vista ; 6.1 ; x86_64 ; ++----------------------+------------------+---------------+------------+----------------+ + + +------------ +; Flow Log ; +------------ +quartus_map --read_settings_files=on --write_settings_files=off firebeei1 -c firebee1 + + + diff --git a/FPGA_by_Fredi/firebee1.map.summary b/FPGA_by_Fredi/firebee1.map.summary index 7a93fd1..aa8c768 100644 --- a/FPGA_by_Fredi/firebee1.map.summary +++ b/FPGA_by_Fredi/firebee1.map.summary @@ -1,14 +1,14 @@ -Analysis & Synthesis Status : Successful - Fri Aug 28 13:35:56 2015 +Analysis & Synthesis Status : Successful - Sat Apr 15 23:46:35 2017 Quartus II Version : 9.1 Build 350 03/24/2010 SP 2 SJ Web Edition Revision Name : firebee1 Top-level Entity Name : firebee1 Family : Cyclone III -Total logic elements : 11,642 - Total combinational functions : 8,656 - Dedicated logic registers : 5,028 -Total registers : 5156 -Total pins : 295 +Total logic elements : 22,593 + Total combinational functions : 19,050 + Dedicated logic registers : 5,711 +Total registers : 5839 +Total pins : 296 Total virtual pins : 0 -Total memory bits : 109,600 -Embedded Multiplier 9-bit elements : 6 +Total memory bits : 355,360 +Embedded Multiplier 9-bit elements : 12 Total PLLs : 4 diff --git a/FPGA_by_Fredi/firebee1.pin b/FPGA_by_Fredi/firebee1.pin index 9f213b1..83dafe4 100644 --- a/FPGA_by_Fredi/firebee1.pin +++ b/FPGA_by_Fredi/firebee1.pin @@ -490,7 +490,7 @@ VDM[2] : U20 : output : 2.5 V : VD[7] : U21 : bidir : 2.5 V : : 5 : Y VDQS[2] : U22 : bidir : 2.5 V : : 5 : Y nPD_VGA : V1 : output : 3.3-V LVTTL : : 2 : Y -RESERVED_INPUT_WITH_WEAK_PULLUP : V2 : : : : 2 : +nDREQ0 : V2 : output : 3.3-V LVTTL : : 2 : Y nPCI_INTC : V3 : input : 3.3-V LVTTL : : 2 : Y nPCI_INTB : V4 : input : 3.3-V LVTTL : : 2 : Y RESERVED_INPUT_WITH_WEAK_PULLUP : V5 : : : : 3 : diff --git a/FPGA_by_Fredi/firebee1.qsf b/FPGA_by_Fredi/firebee1.qsf index a1993e9..a041c37 100644 --- a/FPGA_by_Fredi/firebee1.qsf +++ b/FPGA_by_Fredi/firebee1.qsf @@ -567,8 +567,93 @@ set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top set_global_assignment -name MISC_FILE "C:/FireBee/FPGA/firebee1.dpf" set_location_assignment PIN_E5 -to LPDIR set_location_assignment PIN_B11 -to nRSTO_MCF -set_global_assignment -name SOURCE_FILE Video/BLITTER/lpm_clshift0.cmp -set_global_assignment -name AHDL_FILE Video/BLITTER/lpm_clshift0.tdf +set_instance_assignment -name PASSIVE_RESISTOR "PULL-UP" -to E0_INT +set_instance_assignment -name PASSIVE_RESISTOR "PULL-UP" -to DVI_INT +set_instance_assignment -name PASSIVE_RESISTOR "PULL-UP" -to nPCI_INTA +set_instance_assignment -name PASSIVE_RESISTOR "PULL-UP" -to nPCI_INTB +set_instance_assignment -name PASSIVE_RESISTOR "PULL-UP" -to nPCI_INTC +set_instance_assignment -name PASSIVE_RESISTOR "PULL-UP" -to nPCI_INTD +set_location_assignment PIN_AB12 -to CLK33MDIR +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_location_assignment PIN_E12 -to MIDI_IN_PIN +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to MIDI_IN_PIN +set_instance_assignment -name PASSIVE_RESISTOR "PULL-UP" -to MIDI_IN_PIN +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to MIDI_IN_PIN +set_instance_assignment -name PCI_IO ON -to nPCI_INTA +set_instance_assignment -name PCI_IO ON -to nPCI_INTB +set_instance_assignment -name PCI_IO ON -to nPCI_INTC +set_instance_assignment -name PCI_IO ON -to nPCI_INTD +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to nACSI_DRQ +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to nACSI_INT +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to nPCI_INTA +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to nPCI_INTB +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to nPCI_INTC +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to nPCI_INTD +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to SD_WP +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to SD_CARD_DEDECT +set_instance_assignment -name PASSIVE_RESISTOR "PULL-UP" -to nDACK1 +set_instance_assignment -name PASSIVE_RESISTOR "PULL-UP" -to TOUT0 +set_instance_assignment -name PASSIVE_RESISTOR "PULL-UP" -to MAIN_CLK +set_instance_assignment -name PASSIVE_RESISTOR "PULL-UP" -to CLK33MDIR +set_instance_assignment -name PASSIVE_RESISTOR "PULL-UP" -to nRSTO_MCF +set_instance_assignment -name PASSIVE_RESISTOR "PULL-UP" -to nDACK0 +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to nIRQ[2] +set_instance_assignment -name PASSIVE_RESISTOR "PULL-UP" -to nIRQ[3] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to TIN0 +set_instance_assignment -name PASSIVE_RESISTOR "PULL-UP" -to TIN0 +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to nIRQ[6] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to nIRQ[5] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to nIRQ[4] +set_instance_assignment -name PASSIVE_RESISTOR "PULL-UP" -to nIRQ[4] +set_instance_assignment -name PASSIVE_RESISTOR "PULL-UP" -to nIRQ[5] +set_instance_assignment -name PASSIVE_RESISTOR "PULL-UP" -to nIRQ[6] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to nIRQ[3] +set_instance_assignment -name PASSIVE_RESISTOR "PULL-UP" -to nIRQ[2] +set_global_assignment -name POWER_USE_TA_VALUE 35 +set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "NO HEAT SINK WITH STILL AIR" +set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)" +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to DSA_D +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to nMOT_ON +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to nSTEP_DIR +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to nSTEP +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to nWR +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to nWR_GATE +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to nSDSEL +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to SCSI_PAR +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to SCSI_DIR +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to nSCSI_SEL +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to nSCSI_RST +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to nSCSI_BUSY +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to nSCSI_ATN +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to nSCSI_ACK +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to ACSI_A1 +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to nACSI_CS +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to ACSI_DIR +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to nACSI_ACK +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to nACSI_RESET +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to LPDIR +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to LP_STR +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to LP_D +set_instance_assignment -name IO_STANDARD "3.0-V LVCMOS" -to LP_D +set_instance_assignment -name IO_STANDARD "3.0-V LVCMOS" -to LPDIR +set_instance_assignment -name IO_STANDARD "3.0-V LVCMOS" -to LP_STR +set_instance_assignment -name IO_STANDARD "3.0-V LVCMOS" -to SRD +set_instance_assignment -name IO_STANDARD "3.0-V LVCMOS" -to IO[0] +set_instance_assignment -name IO_STANDARD "3.0-V LVCMOS" -to IO[8] +set_instance_assignment -name IO_STANDARD "3.0-V LVCMOS" -to IO[7] +set_instance_assignment -name IO_STANDARD "3.0-V LVCMOS" -to IO[6] +set_instance_assignment -name IO_STANDARD "3.0-V LVCMOS" -to IO[5] +set_instance_assignment -name IO_STANDARD "3.0-V LVCMOS" -to IO[4] +set_instance_assignment -name IO_STANDARD "3.0-V LVCMOS" -to IO[3] +set_instance_assignment -name IO_STANDARD "3.0-V LVCMOS" -to IO[2] +set_instance_assignment -name IO_STANDARD "3.0-V LVCMOS" -to IO[1] +set_instance_assignment -name IO_STANDARD "3.0-V LVCMOS" -to nSRBHE +set_instance_assignment -name IO_STANDARD "3.0-V LVCMOS" -to nSRWE +set_instance_assignment -name IO_STANDARD "3.0-V LVCMOS" -to nSRCS +set_instance_assignment -name IO_STANDARD "3.0-V LVCMOS" -to nSRBLE +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to AMKB_RX +set_location_assignment PIN_V2 -to nDREQ0 +set_global_assignment -name AHDL_FILE Video/BLITTER/lpm_clshift144.tdf set_global_assignment -name SOURCE_FILE Video/BLITTER/altsyncram0.cmp set_global_assignment -name AHDL_FILE Video/BLITTER/altsyncram0.tdf set_global_assignment -name SOURCE_FILE Video/altddio_bidir0.cmp @@ -738,95 +823,15 @@ set_global_assignment -name SOURCE_FILE firebee1.fit.summary_alt set_global_assignment -name QIP_FILE altpll_reconfig1.qip set_global_assignment -name QIP_FILE altpll4.qip set_global_assignment -name QIP_FILE lpm_mux0.qip -set_global_assignment -name QIP_FILE Video/BLITTER/lpm_clshift0.qip -set_global_assignment -name SOURCE_FILE Video/BLITTER/blitter.tdf.ALT set_global_assignment -name QIP_FILE Video/BLITTER/altsyncram0.qip -set_instance_assignment -name PASSIVE_RESISTOR "PULL-UP" -to E0_INT -set_instance_assignment -name PASSIVE_RESISTOR "PULL-UP" -to DVI_INT -set_instance_assignment -name PASSIVE_RESISTOR "PULL-UP" -to nPCI_INTA -set_instance_assignment -name PASSIVE_RESISTOR "PULL-UP" -to nPCI_INTB -set_instance_assignment -name PASSIVE_RESISTOR "PULL-UP" -to nPCI_INTC -set_instance_assignment -name PASSIVE_RESISTOR "PULL-UP" -to nPCI_INTD -set_location_assignment PIN_AB12 -to CLK33MDIR -set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top set_global_assignment -name QIP_FILE lpm_shiftreg0.qip set_global_assignment -name QIP_FILE lpm_counter1.qip set_global_assignment -name QIP_FILE altiobuf_bidir0.qip -set_location_assignment PIN_E12 -to MIDI_IN_PIN -set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to MIDI_IN_PIN -set_instance_assignment -name PASSIVE_RESISTOR "PULL-UP" -to MIDI_IN_PIN -set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to MIDI_IN_PIN -set_instance_assignment -name PCI_IO ON -to nPCI_INTA -set_instance_assignment -name PCI_IO ON -to nPCI_INTB -set_instance_assignment -name PCI_IO ON -to nPCI_INTC -set_instance_assignment -name PCI_IO ON -to nPCI_INTD -set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to nACSI_DRQ -set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to nACSI_INT -set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to nPCI_INTA -set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to nPCI_INTB -set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to nPCI_INTC -set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to nPCI_INTD -set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to SD_WP -set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to SD_CARD_DEDECT -set_instance_assignment -name PASSIVE_RESISTOR "PULL-UP" -to nDACK1 -set_instance_assignment -name PASSIVE_RESISTOR "PULL-UP" -to TOUT0 -set_instance_assignment -name PASSIVE_RESISTOR "PULL-UP" -to MAIN_CLK -set_instance_assignment -name PASSIVE_RESISTOR "PULL-UP" -to CLK33MDIR -set_instance_assignment -name PASSIVE_RESISTOR "PULL-UP" -to nRSTO_MCF -set_instance_assignment -name PASSIVE_RESISTOR "PULL-UP" -to nDACK0 -set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to nIRQ[2] -set_instance_assignment -name PASSIVE_RESISTOR "PULL-UP" -to nIRQ[3] -set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to TIN0 -set_instance_assignment -name PASSIVE_RESISTOR "PULL-UP" -to TIN0 -set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to nIRQ[6] -set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to nIRQ[5] -set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to nIRQ[4] -set_instance_assignment -name PASSIVE_RESISTOR "PULL-UP" -to nIRQ[4] -set_instance_assignment -name PASSIVE_RESISTOR "PULL-UP" -to nIRQ[5] -set_instance_assignment -name PASSIVE_RESISTOR "PULL-UP" -to nIRQ[6] -set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to nIRQ[3] -set_instance_assignment -name PASSIVE_RESISTOR "PULL-UP" -to nIRQ[2] -set_global_assignment -name POWER_USE_TA_VALUE 35 -set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "NO HEAT SINK WITH STILL AIR" -set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)" -set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to DSA_D -set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to nMOT_ON -set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to nSTEP_DIR -set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to nSTEP -set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to nWR -set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to nWR_GATE -set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to nSDSEL -set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to SCSI_PAR -set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to SCSI_DIR -set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to nSCSI_SEL -set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to nSCSI_RST -set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to nSCSI_BUSY -set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to nSCSI_ATN -set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to nSCSI_ACK -set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to ACSI_A1 -set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to nACSI_CS -set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to ACSI_DIR -set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to nACSI_ACK -set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to nACSI_RESET -set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to LPDIR -set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to LP_STR -set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to LP_D -set_instance_assignment -name IO_STANDARD "3.0-V LVCMOS" -to LP_D -set_instance_assignment -name IO_STANDARD "3.0-V LVCMOS" -to LPDIR -set_instance_assignment -name IO_STANDARD "3.0-V LVCMOS" -to LP_STR -set_instance_assignment -name IO_STANDARD "3.0-V LVCMOS" -to SRD -set_instance_assignment -name IO_STANDARD "3.0-V LVCMOS" -to IO[0] -set_instance_assignment -name IO_STANDARD "3.0-V LVCMOS" -to IO[8] -set_instance_assignment -name IO_STANDARD "3.0-V LVCMOS" -to IO[7] -set_instance_assignment -name IO_STANDARD "3.0-V LVCMOS" -to IO[6] -set_instance_assignment -name IO_STANDARD "3.0-V LVCMOS" -to IO[5] -set_instance_assignment -name IO_STANDARD "3.0-V LVCMOS" -to IO[4] -set_instance_assignment -name IO_STANDARD "3.0-V LVCMOS" -to IO[3] -set_instance_assignment -name IO_STANDARD "3.0-V LVCMOS" -to IO[2] -set_instance_assignment -name IO_STANDARD "3.0-V LVCMOS" -to IO[1] -set_instance_assignment -name IO_STANDARD "3.0-V LVCMOS" -to nSRBHE -set_instance_assignment -name IO_STANDARD "3.0-V LVCMOS" -to nSRWE -set_instance_assignment -name IO_STANDARD "3.0-V LVCMOS" -to nSRCS -set_instance_assignment -name IO_STANDARD "3.0-V LVCMOS" -to nSRBLE -set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to AMKB_RX +set_global_assignment -name QIP_FILE lpm_mux1.qip +set_global_assignment -name QIP_FILE Video/lpm_blitter.qip +set_global_assignment -name AHDL_FILE Video/BLITTER/lpm_clshift384.tdf +set_global_assignment -name INCLUDE_FILE Video/BLITTER/lpm_clshift383.inc +set_global_assignment -name QIP_FILE Video/BLITTER/lpm_clshift384.qip +set_global_assignment -name QIP_FILE Video/BLITTER/lpm_clshift144.qip +set_global_assignment -name QIP_FILE FPGA_DATE.qip set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/FPGA_by_Fredi/firebee1.sim.rpt b/FPGA_by_Fredi/firebee1.sim.rpt new file mode 100644 index 0000000..6bfa8c3 --- /dev/null +++ b/FPGA_by_Fredi/firebee1.sim.rpt @@ -0,0 +1,247 @@ +Simulator report for firebee1 +Fri Mar 10 13:17:46 2017 +Quartus II Version 9.1 Build 350 03/24/2010 Service Pack 2 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Simulator Summary + 3. Simulator Settings + 4. Simulation Waveforms + 5. |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ALTSYNCRAM + 6. |firebee1|Video:Fredi_Aschwanden|altdpram0:ST_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated|ALTSYNCRAM + 7. |firebee1|Video:Fredi_Aschwanden|altdpram0:ST_CLUT_GREEN|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated|ALTSYNCRAM + 8. |firebee1|Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ALTSYNCRAM + 9. |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|altsyncram_bi31:fifo_ram|ALTSYNCRAM + 10. |firebee1|Video:Fredi_Aschwanden|BLITTER:BLITTER|altsyncram0:$00000|altsyncram:altsyncram_component|altsyncram_3on1:auto_generated|ALTSYNCRAM + 11. |firebee1|Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_GREEN|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ALTSYNCRAM + 12. |firebee1|Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ALTSYNCRAM + 13. |firebee1|Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_RED|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ALTSYNCRAM + 14. |firebee1|Video:Fredi_Aschwanden|altdpram0:ST_CLUT_RED|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated|ALTSYNCRAM + 15. |firebee1|Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM54|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ALTSYNCRAM + 16. |firebee1|Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ALTSYNCRAM + 17. |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|altsyncram:altsyncram4|altsyncram_46r:auto_generated|ALTSYNCRAM + 18. |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|altsyncram_tl31:fifo_ram|ALTSYNCRAM + 19. |firebee1|Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_lk21:auto_generated|a_dpfifo_oq21:dpfifo|altsyncram_gj81:FIFOram|ALTSYNCRAM + 20. Coverage Summary + 21. Complete 1/0-Value Coverage + 22. Missing 1-Value Coverage + 23. Missing 0-Value Coverage + 24. Simulator INI Usage + 25. Simulator Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2010 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++-------------------+ +; Simulator Summary ; ++------+------------+ +; Type ; Value ; ++------+------------+ + + ++---------------------------------------------------------------------------------------------------------------------------+ +; Simulator Settings ; ++--------------------------------------------------------------------------------------------+--------------+---------------+ +; Option ; Setting ; Default Value ; ++--------------------------------------------------------------------------------------------+--------------+---------------+ +; Simulation mode ; Timing ; Timing ; +; Start time ; 0 ns ; 0 ns ; +; End time ; 2 us ; ; +; Simulation results format ; CVWF ; ; +; Vector input source ; firebee1.vwf ; ; +; Add pins automatically to simulation output waveforms ; Off ; On ; +; Check outputs ; Off ; Off ; +; Report simulation coverage ; On ; On ; +; Display complete 1/0 value coverage report ; On ; On ; +; Display missing 1-value coverage report ; On ; On ; +; Display missing 0-value coverage report ; On ; On ; +; Detect setup and hold time violations ; Off ; Off ; +; Detect glitches ; Off ; Off ; +; Disable timing delays in Timing Simulation ; Off ; Off ; +; Generate Signal Activity File ; Off ; Off ; +; Generate VCD File for PowerPlay Power Analyzer ; Off ; Off ; +; Group bus channels in simulation results ; Off ; Off ; +; Preserve fewer signal transitions to reduce memory requirements ; On ; On ; +; Trigger vector comparison with the specified mode ; INPUT_EDGE ; INPUT_EDGE ; +; Disable setup and hold time violations detection in input registers of bi-directional pins ; Off ; Off ; +; Overwrite Waveform Inputs With Simulation Outputs ; Off ; ; +; Perform Glitch Filtering in Timing Simulation ; Auto ; Auto ; +; Interconnect Delay Model Type ; Transport ; Transport ; +; Cell Delay Model Type ; Transport ; Transport ; ++--------------------------------------------------------------------------------------------+--------------+---------------+ + + ++----------------------+ +; Simulation Waveforms ; ++----------------------+ +Waveform report data cannot be output to ASCII. +Please use Quartus II to view the waveform report data. + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ALTSYNCRAM ; ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +Please refer to fitter text-based report (*.fit.rpt) to view logical memory report content in ASCII. + + ++-----------------------------------------------------------------------------------------------------------------------------------+ +; |firebee1|Video:Fredi_Aschwanden|altdpram0:ST_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated|ALTSYNCRAM ; ++-----------------------------------------------------------------------------------------------------------------------------------+ +Please refer to fitter text-based report (*.fit.rpt) to view logical memory report content in ASCII. + + ++------------------------------------------------------------------------------------------------------------------------------------+ +; |firebee1|Video:Fredi_Aschwanden|altdpram0:ST_CLUT_GREEN|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated|ALTSYNCRAM ; ++------------------------------------------------------------------------------------------------------------------------------------+ +Please refer to fitter text-based report (*.fit.rpt) to view logical memory report content in ASCII. + + ++-------------------------------------------------------------------------------------------------------------------------------------+ +; |firebee1|Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM55|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ALTSYNCRAM ; ++-------------------------------------------------------------------------------------------------------------------------------------+ +Please refer to fitter text-based report (*.fit.rpt) to view logical memory report content in ASCII. + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; |firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|altsyncram_bi31:fifo_ram|ALTSYNCRAM ; ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +Please refer to fitter text-based report (*.fit.rpt) to view logical memory report content in ASCII. + + ++-----------------------------------------------------------------------------------------------------------------------------------------------+ +; |firebee1|Video:Fredi_Aschwanden|BLITTER:BLITTER|altsyncram0:$00000|altsyncram:altsyncram_component|altsyncram_3on1:auto_generated|ALTSYNCRAM ; ++-----------------------------------------------------------------------------------------------------------------------------------------------+ +Please refer to fitter text-based report (*.fit.rpt) to view logical memory report content in ASCII. + + ++----------------------------------------------------------------------------------------------------------------------------------------+ +; |firebee1|Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_GREEN|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ALTSYNCRAM ; ++----------------------------------------------------------------------------------------------------------------------------------------+ +Please refer to fitter text-based report (*.fit.rpt) to view logical memory report content in ASCII. + + ++---------------------------------------------------------------------------------------------------------------------------------------+ +; |firebee1|Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_BLUE|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ALTSYNCRAM ; ++---------------------------------------------------------------------------------------------------------------------------------------+ +Please refer to fitter text-based report (*.fit.rpt) to view logical memory report content in ASCII. + + ++--------------------------------------------------------------------------------------------------------------------------------------+ +; |firebee1|Video:Fredi_Aschwanden|altdpram1:FALCON_CLUT_RED|altsyncram:altsyncram_component|altsyncram_lf92:auto_generated|ALTSYNCRAM ; ++--------------------------------------------------------------------------------------------------------------------------------------+ +Please refer to fitter text-based report (*.fit.rpt) to view logical memory report content in ASCII. + + ++----------------------------------------------------------------------------------------------------------------------------------+ +; |firebee1|Video:Fredi_Aschwanden|altdpram0:ST_CLUT_RED|altsyncram:altsyncram_component|altsyncram_rb92:auto_generated|ALTSYNCRAM ; ++----------------------------------------------------------------------------------------------------------------------------------+ +Please refer to fitter text-based report (*.fit.rpt) to view logical memory report content in ASCII. + + ++-------------------------------------------------------------------------------------------------------------------------------------+ +; |firebee1|Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM54|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ALTSYNCRAM ; ++-------------------------------------------------------------------------------------------------------------------------------------+ +Please refer to fitter text-based report (*.fit.rpt) to view logical memory report content in ASCII. + + ++-----------------------------------------------------------------------------------------------------------------------------------+ +; |firebee1|Video:Fredi_Aschwanden|altdpram2:ACP_CLUT_RAM|altsyncram:altsyncram_component|altsyncram_pf92:auto_generated|ALTSYNCRAM ; ++-----------------------------------------------------------------------------------------------------------------------------------+ +Please refer to fitter text-based report (*.fit.rpt) to view logical memory report content in ASCII. + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; |firebee1|altpll_reconfig1:inst7|altpll_reconfig1_pllrcfg_t4q:altpll_reconfig1_pllrcfg_t4q_component|altsyncram:altsyncram4|altsyncram_46r:auto_generated|ALTSYNCRAM ; ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +Please refer to fitter text-based report (*.fit.rpt) to view logical memory report content in ASCII. + + ++-------------------------------------------------------------------------------------------------------------------------------------------+ +; |firebee1|Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|altsyncram_tl31:fifo_ram|ALTSYNCRAM ; ++-------------------------------------------------------------------------------------------------------------------------------------------+ +Please refer to fitter text-based report (*.fit.rpt) to view logical memory report content in ASCII. + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; |firebee1|Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_lk21:auto_generated|a_dpfifo_oq21:dpfifo|altsyncram_gj81:FIFOram|ALTSYNCRAM ; ++---------------------------------------------------------------------------------------------------------------------------------------------------------------+ +Please refer to fitter text-based report (*.fit.rpt) to view logical memory report content in ASCII. + + ++------------------+ +; Coverage Summary ; ++------+-----------+ +; Type ; Value ; ++------+-----------+ + + +The following table displays output ports that toggle between 1 and 0 during simulation. ++-------------------------------------------------+ +; Complete 1/0-Value Coverage ; ++-----------+------------------+------------------+ +; Node Name ; Output Port Name ; Output Port Type ; ++-----------+------------------+------------------+ + + +The following table displays output ports that do not toggle to 1 during simulation. ++-------------------------------------------------+ +; Missing 1-Value Coverage ; ++-----------+------------------+------------------+ +; Node Name ; Output Port Name ; Output Port Type ; ++-----------+------------------+------------------+ + + +The following table displays output ports that do not toggle to 0 during simulation. ++-------------------------------------------------+ +; Missing 0-Value Coverage ; ++-----------+------------------+------------------+ +; Node Name ; Output Port Name ; Output Port Type ; ++-----------+------------------+------------------+ + + ++---------------------+ +; Simulator INI Usage ; ++--------+------------+ +; Option ; Usage ; ++--------+------------+ + + ++--------------------+ +; Simulator Messages ; ++--------------------+ +Info: ******************************************************************* +Info: Running Quartus II Simulator + Info: Version 9.1 Build 350 03/24/2010 Service Pack 2 SJ Web Edition + Info: Processing started: Fri Mar 10 13:17:43 2017 +Info: Command: quartus_sim --read_settings_files=on --write_settings_files=off firebeei1 -c firebee1 +Info: Can't find specified vector source file "C:/FireBee/FPGA/firebee1.vwf" +Warning: Can't display state machine states -- register holding state machine bit "|firebee1|FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF1772IP_TOP_SOC:I_FDC|WF1772IP_TRANSCEIVER:I_TRANSCEIVER|MFM_STATE.A_00" was synthesized away +Error: No valid vector source file specified and default file "C:/FireBee/FPGA/firebee1.cvwf" does not exist +Error: Quartus II Simulator was unsuccessful. 1 error, 1 warning + Error: Peak virtual memory: 241 megabytes + Error: Processing ended: Fri Mar 10 13:17:46 2017 + Error: Elapsed time: 00:00:03 + Error: Total CPU time (on all processors): 00:00:03 + + diff --git a/FPGA_by_Fredi/firebee1.tan.summary b/FPGA_by_Fredi/firebee1.tan.summary index 2b4b381..4f5907a 100644 --- a/FPGA_by_Fredi/firebee1.tan.summary +++ b/FPGA_by_Fredi/firebee1.tan.summary @@ -3,170 +3,180 @@ Timing Analyzer Summary -------------------------------------------------------------------------------------- Type : Worst-case tsu -Slack : -10.339 ns +Slack : -10.689 ns Required Time : 1.000 ns -Actual Time : 11.339 ns -From : FB_SIZE1 -To : FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|MR2[2] +Actual Time : 11.689 ns +From : nFB_CS1 +To : FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|SER[2] From Clock : -- To Clock : MAIN_CLK -Failed Paths : 10192 +Failed Paths : 9930 Type : Worst-case tco -Slack : -14.371 ns +Slack : -14.089 ns Required Time : 1.000 ns -Actual Time : 15.371 ns -From : interrupt_handler:nobody|RTC_ADR[0] -To : FB_AD[18] +Actual Time : 15.089 ns +From : FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF2149IP_TOP_SOC:I_SOUND|ADR_I[1] +To : FB_AD[27] From Clock : MAIN_CLK To Clock : -- -Failed Paths : 5354 +Failed Paths : 7401 Type : Worst-case tpd -Slack : -13.264 ns +Slack : -14.015 ns Required Time : 1.000 ns -Actual Time : 14.264 ns +Actual Time : 15.015 ns From : nFB_CS1 -To : FB_AD[18] +To : FB_AD[27] From Clock : -- To Clock : -- -Failed Paths : 538 +Failed Paths : 546 Type : Worst-case th -Slack : -0.110 ns +Slack : -0.258 ns Required Time : 1.000 ns -Actual Time : 1.110 ns -From : VD[31] -To : Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[31] +Actual Time : 1.258 ns +From : FB_AD[20] +To : FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo1:WRF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_3fh1:auto_generated|altsyncram_ci31:fifo_ram|ram_block11a0~porta_datain_reg0 From Clock : -- To Clock : MAIN_CLK -Failed Paths : 2 +Failed Paths : 16 + +Type : Clock Setup: 'altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[3]' +Slack : -17.845 ns +Required Time : 132.01 MHz ( period = 7.575 ns ) +Actual Time : N/A +From : Video:Fredi_Aschwanden|BLITTER:BLITTER|BL_DST_ADR[0] +To : Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|ddio_outa[21]~DFFLO +From Clock : MAIN_CLK +To Clock : altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[3] +Failed Paths : 29183 + +Type : Clock Setup: 'altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[0]' +Slack : -11.412 ns +Required Time : 132.01 MHz ( period = 7.575 ns ) +Actual Time : N/A +From : Video:Fredi_Aschwanden|BLITTER:BLITTER|BL_DST_ADR[0] +To : Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|VA_P[4] +From Clock : MAIN_CLK +To Clock : altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[0] +Failed Paths : 25165 Type : Clock Setup: 'altpll3:inst13|altpll:altpll_component|altpll_qks2:auto_generated|clk[0]' -Slack : -7.918 ns +Slack : -6.848 ns Required Time : 25.00 MHz ( period = 39.999 ns ) Actual Time : N/A From : Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[6] -To : Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|DPO_OFF +To : Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|INTER_ZEI From Clock : MAIN_CLK To Clock : altpll3:inst13|altpll:altpll_component|altpll_qks2:auto_generated|clk[0] -Failed Paths : 4748 +Failed Paths : 5329 + +Type : Clock Setup: 'MAIN_CLK' +Slack : -6.482 ns +Required Time : 33.00 MHz ( period = 30.303 ns ) +Actual Time : N/A +From : FB_ALE +To : FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|CMD_STATE.T8 +From Clock : altpll1:inst|altpll:altpll_component|altpll_d4m2:auto_generated|clk[0] +To Clock : MAIN_CLK +Failed Paths : 43303 Type : Clock Setup: 'altpll4:inst22|altpll:altpll_component|altpll_c6j2:auto_generated|clk[0]' -Slack : -6.799 ns +Slack : -6.153 ns Required Time : 96.01 MHz ( period = 10.416 ns ) Actual Time : N/A From : Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[6] -To : Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|DPO_OFF +To : Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|INTER_ZEI From Clock : MAIN_CLK To Clock : altpll4:inst22|altpll:altpll_component|altpll_c6j2:auto_generated|clk[0] -Failed Paths : 4694 - -Type : Clock Setup: 'MAIN_CLK' -Slack : -5.955 ns -Required Time : 33.00 MHz ( period = 30.303 ns ) -Actual Time : N/A -From : Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|nBLANK -To : FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF68901IP_TOP_SOC:I_MFP|WF68901IP_INTERRUPTS:I_INTERRUPTS|\EDGE_ENA:LOCK[3] -From Clock : altpll3:inst13|altpll:altpll_component|altpll_qks2:auto_generated|clk[0] -To Clock : MAIN_CLK -Failed Paths : 41276 - -Type : Clock Setup: 'altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[0]' -Slack : -5.567 ns -Required Time : 132.01 MHz ( period = 7.575 ns ) -Actual Time : N/A -From : Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CLR_FIFO -To : Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|CLR_FIFO_SYNC -From Clock : altpll3:inst13|altpll:altpll_component|altpll_qks2:auto_generated|clk[0] -To Clock : altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[0] -Failed Paths : 129 +Failed Paths : 5302 Type : Clock Setup: 'altpll1:inst|altpll:altpll_component|altpll_d4m2:auto_generated|clk[1]' -Slack : -4.614 ns +Slack : -4.613 ns Required Time : 16.00 MHz ( period = 62.499 ns ) Actual Time : N/A -From : lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[19] -To : FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|ICR[4] +From : lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[16] +To : FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF5380_TOP_SOC:I_SCSI|WF5380_REGISTERS:I_REGISTERS|SER[2] From Clock : altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[4] To Clock : altpll1:inst|altpll:altpll_component|altpll_d4m2:auto_generated|clk[1] -Failed Paths : 2882 +Failed Paths : 2876 Type : Clock Setup: 'altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[4]' -Slack : -3.520 ns +Slack : -3.386 ns Required Time : 66.00 MHz ( period = 15.151 ns ) Actual Time : N/A From : FB_ALE -To : lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[2] +To : lpm_ff0:inst1|lpm_ff:lpm_ff_component|dffs[14] From Clock : altpll3:inst13|altpll:altpll_component|altpll_qks2:auto_generated|clk[0] To Clock : altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[4] -Failed Paths : 29 - -Type : Clock Setup: 'altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[3]' -Slack : 2.410 ns -Required Time : 132.01 MHz ( period = 7.575 ns ) -Actual Time : N/A -From : Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|SR_DDR_WR -To : Video:Fredi_Aschwanden|inst90~_Duplicate_2 -From Clock : altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[0] -To Clock : altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[3] -Failed Paths : 0 +Failed Paths : 33 Type : Clock Setup: 'altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[1]' Slack : 2.966 ns Required Time : 132.01 MHz ( period = 7.575 ns ) Actual Time : Restricted to 500.00 MHz ( period = 2.000 ns ) -From : Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[29] -To : Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[29] +From : Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[8] +To : Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[8] From Clock : altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[1] To Clock : altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[1] Failed Paths : 0 Type : Clock Setup: 'altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[2]' -Slack : 5.144 ns +Slack : 5.489 ns Required Time : 132.01 MHz ( period = 7.575 ns ) Actual Time : N/A -From : Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|SR_VDMP[4] -To : Video:Fredi_Aschwanden|lpm_ff5:inst97|lpm_ff:lpm_ff_component|dffs[4] +From : Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|SR_VDMP[6] +To : Video:Fredi_Aschwanden|lpm_ff5:inst97|lpm_ff:lpm_ff_component|dffs[6] From Clock : altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[0] To Clock : altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[2] Failed Paths : 0 Type : Clock Setup: 'altpll3:inst13|altpll:altpll_component|altpll_qks2:auto_generated|clk[2]' -Slack : 26.171 ns +Slack : 27.221 ns Required Time : 0.50 MHz ( period = 1999.998 ns ) Actual Time : N/A -From : FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|SHIFT_REG[0] +From : FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|WF6850IP_TOP_SOC:I_ACIA_KEYBOARD|WF6850IP_TRANSMIT:I_UART_TRANSMIT|TR_STATE.PARITY To : FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|AMKB_TX From Clock : MAIN_CLK To Clock : altpll3:inst13|altpll:altpll_component|altpll_qks2:auto_generated|clk[2] Failed Paths : 0 Type : Clock Hold: 'MAIN_CLK' -Slack : -3.299 ns +Slack : -4.871 ns Required Time : 33.00 MHz ( period = 30.303 ns ) Actual Time : N/A -From : Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|ACP_VCTR[6] -To : Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|CCSEL[2] +From : Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|HSY_LEN[4] +To : Video:Fredi_Aschwanden|VIDEO_MOD_MUX_CLUTCTR:VIDEO_MOD_MUX_CLUTCTR|HSYNC_I[4] From Clock : MAIN_CLK To Clock : MAIN_CLK -Failed Paths : 529 +Failed Paths : 764 Type : Clock Hold: 'altpll3:inst13|altpll:altpll_component|altpll_qks2:auto_generated|clk[0]' -Slack : -0.640 ns +Slack : -0.116 ns Required Time : 25.00 MHz ( period = 39.999 ns ) Actual Time : N/A -From : Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_lk21:auto_generated|a_dpfifo_oq21:dpfifo|low_addressa[6] -To : Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_lk21:auto_generated|a_dpfifo_oq21:dpfifo|low_addressa[6] +From : Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_kk21:auto_generated|a_dpfifo_nq21:dpfifo|low_addressa[8] +To : Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_kk21:auto_generated|a_dpfifo_nq21:dpfifo|low_addressa[8] From Clock : altpll3:inst13|altpll:altpll_component|altpll_qks2:auto_generated|clk[0] To Clock : altpll3:inst13|altpll:altpll_component|altpll_qks2:auto_generated|clk[0] -Failed Paths : 33 +Failed Paths : 136 + +Type : Clock Hold: 'altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[0]' +Slack : 0.491 ns +Required Time : 132.01 MHz ( period = 7.575 ns ) +Actual Time : N/A +From : Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_bqh1:auto_generated|a_graycounter_pjc:wrptr_gp|counter11a[0] +To : Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_bqh1:auto_generated|altsyncram_fo31:fifo_ram|ram_block12a79~porta_address_reg0 +From Clock : altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[0] +To Clock : altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[0] +Failed Paths : 0 Type : Clock Hold: 'altpll1:inst|altpll:altpll_component|altpll_d4m2:auto_generated|clk[1]' -Slack : 0.453 ns +Slack : 0.500 ns Required Time : 16.00 MHz ( period = 62.499 ns ) Actual Time : N/A -From : FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|wrptr_g[6] +From : FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|wrptr_g[8] To : FalconIO_SDCard_IDE_CF:Wolfgang_Foerster_and_Fredi_Aschwanden|dcfifo0:RDF|dcfifo_mixed_widths:dcfifo_mixed_widths_component|dcfifo_0hh1:auto_generated|altsyncram_bi31:fifo_ram|ram_block11a0~porta_address_reg0 From Clock : altpll1:inst|altpll:altpll_component|altpll_d4m2:auto_generated|clk[1] To Clock : altpll1:inst|altpll:altpll_component|altpll_d4m2:auto_generated|clk[1] @@ -182,28 +192,28 @@ From Clock : altpll3:inst13|altpll:altpll_component|altpll_qks2:auto_generat To Clock : altpll3:inst13|altpll:altpll_component|altpll_qks2:auto_generated|clk[2] Failed Paths : 0 -Type : Clock Hold: 'altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[0]' -Slack : 0.502 ns -Required Time : 132.01 MHz ( period = 7.575 ns ) -Actual Time : N/A -From : Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_njc:wrptr_gp|counter13a[6] -To : Video:Fredi_Aschwanden|lpm_fifo_dc0:inst|dcfifo:dcfifo_component|dcfifo_8fi1:auto_generated|a_graycounter_njc:wrptr_gp|counter13a[6] -From Clock : altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[0] -To Clock : altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[0] -Failed Paths : 0 - Type : Clock Hold: 'altpll4:inst22|altpll:altpll_component|altpll_c6j2:auto_generated|clk[0]' Slack : 0.502 ns Required Time : 96.01 MHz ( period = 10.416 ns ) Actual Time : N/A -From : Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_lk21:auto_generated|a_dpfifo_oq21:dpfifo|low_addressa[6] -To : Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_lk21:auto_generated|a_dpfifo_oq21:dpfifo|low_addressa[6] +From : Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_kk21:auto_generated|a_dpfifo_nq21:dpfifo|low_addressa[8] +To : Video:Fredi_Aschwanden|lpm_fifoDZ:inst63|scfifo:scfifo_component|scfifo_kk21:auto_generated|a_dpfifo_nq21:dpfifo|low_addressa[8] From Clock : altpll4:inst22|altpll:altpll_component|altpll_c6j2:auto_generated|clk[0] To Clock : altpll4:inst22|altpll:altpll_component|altpll_c6j2:auto_generated|clk[0] Failed Paths : 0 +Type : Clock Hold: 'altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[2]' +Slack : 1.812 ns +Required Time : 132.01 MHz ( period = 7.575 ns ) +Actual Time : N/A +From : Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|SR_VDMP[4] +To : Video:Fredi_Aschwanden|lpm_ff5:inst97|lpm_ff:lpm_ff_component|dffs[4] +From Clock : altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[0] +To Clock : altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[2] +Failed Paths : 0 + Type : Clock Hold: 'altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[4]' -Slack : 1.775 ns +Slack : 2.489 ns Required Time : 66.00 MHz ( period = 15.151 ns ) Actual Time : N/A From : Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|CPU_REQ @@ -212,22 +222,12 @@ From Clock : altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generat To Clock : altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[4] Failed Paths : 0 -Type : Clock Hold: 'altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[2]' -Slack : 1.829 ns -Required Time : 132.01 MHz ( period = 7.575 ns ) -Actual Time : N/A -From : Video:Fredi_Aschwanden|DDR_CTR:DDR_CTR|SR_VDMP[7] -To : Video:Fredi_Aschwanden|lpm_ff5:inst97|lpm_ff:lpm_ff_component|dffs[7] -From Clock : altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[0] -To Clock : altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[2] -Failed Paths : 0 - Type : Clock Hold: 'altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[3]' -Slack : 2.585 ns +Slack : 3.193 ns Required Time : 132.01 MHz ( period = 7.575 ns ) Actual Time : N/A From : Video:Fredi_Aschwanden|inst90~_Duplicate_4 -To : Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|ddio_outa[30]~DFFHI +To : Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|ddio_outa[31]~DFFHI From Clock : altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[3] To Clock : altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[3] Failed Paths : 0 @@ -236,8 +236,8 @@ Type : Clock Hold: 'altpll2:inst12|altpll:altpll_component|altpll_isv2 Slack : 4.335 ns Required Time : 132.01 MHz ( period = 7.575 ns ) Actual Time : N/A -From : Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[12] -To : Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[12] +From : Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_cell_l[16] +To : Video:Fredi_Aschwanden|altddio_bidir0:inst1|altddio_bidir:altddio_bidir_component|ddio_bidir_3jl:auto_generated|input_latch_l[16] From Clock : altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[1] To Clock : altpll2:inst12|altpll:altpll_component|altpll_isv2:auto_generated|clk[1] Failed Paths : 0 @@ -250,7 +250,7 @@ From : To : From Clock : To Clock : -Failed Paths : 70406 +Failed Paths : 129984 -------------------------------------------------------------------------------------- diff --git a/FPGA_by_Fredi/firebeei1.qws b/FPGA_by_Fredi/firebeei1.qws new file mode 100644 index 0000000..7f27155 --- /dev/null +++ b/FPGA_by_Fredi/firebeei1.qws @@ -0,0 +1,16 @@ +[ProjectWorkspace] +ptn_Child1=Frames +[ProjectWorkspace.Frames] +ptn_Child1=ChildFrames +[ProjectWorkspace.Frames.ChildFrames] +ptn_Child1=Document-0 +ptn_Child2=Document-1 +ptn_Child3=Document-2 +[ProjectWorkspace.Frames.ChildFrames.Document-0] +ptn_Child1=ViewFrame-0 +[ProjectWorkspace.Frames.ChildFrames.Document-0.ViewFrame-0] +DocPathName=firebee1.bdf +DocumentCLSID={7b19e8f2-2bbe-11d1-a082-0020affa5bde} +IsChildFrameDetached=False +IsActiveChildFrame=False +ptn_Child1=StateMap diff --git a/FPGA_by_Fredi/incremental_db/README b/FPGA_by_Fredi/incremental_db/README deleted file mode 100644 index 6191fbe..0000000 --- a/FPGA_by_Fredi/incremental_db/README +++ /dev/null @@ -1,11 +0,0 @@ -This folder contains data for incremental compilation. - -The compiled_partitions sub-folder contains previous compilation results for each partition. -As long as this folder is preserved, incremental compilation results from earlier compiles -can be re-used. To perform a clean compilation from source files for all partitions, both -the db and incremental_db folder should be removed. - -The imported_partitions sub-folder contains the last imported QXP for each imported partition. -As long as this folder is preserved, imported partitions will be automatically re-imported -when the db or incremental_db/compiled_partitions folders are removed. - diff --git a/FPGA_by_Fredi/incremental_db/compiled_partitions/firebee1.root_partition.cmp.cdb b/FPGA_by_Fredi/incremental_db/compiled_partitions/firebee1.root_partition.cmp.cdb deleted file mode 100644 index 02d4502eef5a779b397c54db97384993da1e0770..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 957707 zcmZU)XH*m47dEOQprD}A6^Mw^doLk~2q*|a={58sgx&%Hl&W;4DkUJ&q)BffNQclt zYUmI{hlCnRxcvV2z4v~&Yu1``X4WZt=Ipcgv!6Xfd+pk_QtIp1T&@nqtGd3eho_^f z%VSv~(Z?^m9i43+i%W_;77-T~lMogY5fyo?W9#ALXl?sg+tS+UvDjlB^~VObR*zL| z9KEi(K`!*J#<+G(^*hb~${XbWE3Q#z{@>Sr*SDH)XDg`b;T9Bc-FuSoVQZ5!Of%(n zbk7gJ@Oum@Uql~Y*L-sOUdmGen!+AhRT~yHCDW78xUP@ciG?DM<4Eq;Svy2a9wHCd}upDhy4nCk?jb zJXT-5rB{oq0g)a68PX{-asmKS`ux!VyddURTN$5VOFZqZmm7)hwN0_C)I5u9fE~0_-qvyaBEY zcP}IkR*JT}Fg`k%k{Q*YfRYzSRMp4%IbETsJ-ZqdZ<&p z+$=a$9#`zLh*VGfagyrF6D5qv@@(XK^adnC{4)h#)R2-g(}O@HWd3^R;b&H{hc7RM zxAva}!ZTaH=$k(}uxJvl(5zgcbE;IB^ubpS_83f?WGk1ECblPWmOj3gP;u@J z$_*Qc4t+lTo%VmDxzZNCg%KtCNOn_dUK$CkLCr z5P;~&!#9V$2|{nW{cy`K%&j$a;-Q=LRb~)2E1*t2wCCYFRiSd-Kn2jMiutGLJcam* zPMy=Py@vWEvzd*ocEwKlf-R+NqUoZ}ydCs3V8#$xdY*)sL|FTLZEin`9$|l(vBA_f zL_wO-mu`u><+#MQ>@lh-=fH*_^R&^FXu=d0w@BBF+hFEu6L$;V1pk3FoeM$NEnQ@-Ah$`na$4{i&avp(0H;6`en!eN~ zO3QI104S4}yuhTMb;2izVsFtTj<)w1%x*5Zo7*QJ%Oq3pzoh%u?H8?T3yeLXit0+m zAAl4YkE^4i>qaX)DA|}ML{n%s*Bskqs@r(8$dp+ss!t-c&lToZ+WgY=8}0$}Rk+vo ztz{5k(^LoLwe@7C6ud-5y_>8^B!$9Jx|UpaWQz@_5|(${(j=?k8BL&&kZQWl#F*a& zP_Z+4cWiWQ1(?|#EfvPmJUS}ar7U5Y<=0J65Q>pbPGo2Qg+zA}fSPIL9zU*AaT{av z_KMCE=J$0>wLOw4BH-2%KXhclxde9q(|f|~A!q~Rdq8(=LG~KCo|Yqi&vB_kJO$^@ zF(k50EHZt?X~Z6-k0n>3%U6b{f#o{hs`|AG$@IQa!qacHn*eVr2zM$x-4RYEi_*d; z4C2l_p!j@`oIAppeFj=^dA%^*vK8#dXC-0JIlq|l?oKa)44}aD4egS`f-Z2ipi&go z7A~Pq9W|(oD3B33CLrmV0He#f^$u3+_X;XQ`1na}$KlKT%mnJg2WFpA%|Q?ej-h<7 zUr0Y*#ZJzC+A)-AT55WP7W|#9hr^6tH5P~7lXKzQ@zw)UG!IsshsGpA>uxtUKV`~T z4$0DTC(tW8h~x)+q8|HY{Ry1cy7{9d{;YaWG)<$Ra`Y`0cmOM^$K}>XTFMf_bWHCd zmpODHg?EPdD>|v<$-_I>-ohREn2h4K|#pPVLXqcHO&kl~-70cV8hk6-)H@kKcVji}o8 zYcJqwJLsW4T_gO>xC??@fSJO4x90{$voM{8hJG6NIakhP>1(Y*leBzin!&LXKSNNz z4(c({GNam!ooazRss+cHVG#pK!;M8z{{pV>eVt9Hy}iI)4mMh+iQn7!&XhaUDLH#9i3*6a`p1~&E!dat`zWej?j=|B=-T|%F3_!NDf6Xpi57v6SE&R5_bNQ z6Q!vQvt&Uq-u=y6c20i>7G=N$H|$D%HlIS%SKxIQpH)skA~XDWFsY7xI;iY}&-iF503PWN#GB>K&a1R9N=+oijikOk57s9Yu~d8 z9nvW@?!3mr@;^UDp4_@pEV@!R^=NxTEuyS8@Z~0qcWC7$H}k)um>~nx;jt4LB8Ot~ zZA(L;egnBwt%IvJ&1G$Q=m28}k2QtNH64*>z9lEa?B?L{w}-T2S^DmHI^AiJ7T!`I zM8A?enJHgyqHi~v+V)f3UWhsO(#>WXkQU0}W*}<$`AC$OwggCFq^!%^m3sP>jO(Nb zdw$@zd}Pv{=57Big|L1E5bOK7I0jclX!s4DW@Xu%WY|b=lOB=8772;+ zWK0^#DB&6D*_osNw{qC_>b(iMaqoCOck( zHlAs4lQre@h{Q-F)|&86g2TL0!Th|IT+d+rN3~bfk~NG&%Q1Ud)SMTF%0LIK?dt&F z`Qg8SEamDT_LX7zwKN`&eaW;8_h%zn z3+2kyP4`Y(3fR6-X!Chz>=gp_zPsM$kP$@NP+vTdtJzY>P%S6gO*1N;F7M)>&F zgsy!(Q;{wVj(DC_!VKzY)k*bJ*P=pbaD&X&0$GwZTIr!N>=}EO%@eKC$&Tnm=k=W& zf-ZZZ-z0D(fV4??KW0r=`Lj5?Tup#|G~99h7RdW^Ihu+J5%PoXj%~Q($n`*=D);w$ z5shK+m%Hm$=gGqUwCRKwazpamT0~a4a%G`rVNO}mK;?{4K4BjAoOACzP22B;rzUc+ zLSQl_-@GLFAnURbIdd=-Ca})8ws}7~(#z6VE(C10TwEE_MolFQY9sR|0)xUC9jAv}+~m5z4o%D=JyipeGwT zLC*J$-&flF>^f-=k<^@nKbVLKOk?tq3jM1;T9->IXO>2p^!!I19kmcJkohnRi z^w3vK8lhuY;_BkNbB}}L^JfM!v^q$j`2jl)b~Ub^>M$;Enb&x4v*%SZHCCf+EqOPG z@Pc_v1N#DHX_Th}Y$J%u;rFURJKncf`1nzl4I+vHyM4S_Aspqp#&VzH*TNu_QB1!D zcd#(ycmq>nk%S*MZ+ZE;u4y&j=6+j!0UjF>rNOO)k6q_5vyuRTkuTUq;i(>?4We1H z9tyE1GG3uf*oq*-uUl zHE3X6BYvJ=p{Lvk5n^<`5-XX39&n`_z|8mn5(>FD%qO(gS3pqVXHLOmt?QtV?T0Amf0h!L8W4Cyn;MP3%Yq6 z(eGD@!%Q~X_v0Qt1R@lEED^lcaQ zme0HIH8FP7HQ}z{B`e$HNeW(!s&deqE@ro!9TX_=P^Xz(L9sU(>79K7BQ0;b|Jb~t zfXJxF3HrxxJ{rQ_HcKpFMqGI641MgLtjH=tC;zjm_r2JY0*hA#656&_cl%7s$b}{VG=yV&7jsL2VEk< z*emK!e2c(6iUrQ8VrJeOZJ5pPI%UN|qNJ~hA$+;;(I~QX!WD!7LsU<=c>HPe5N@FD zmE~f8uL0^lenB3T`hRkJ83cYuzpiyi+M#OJ;x*TlK+`3`@ekTIa6}Y7cBF2EcOjL5hUc4^<)iq7 zy0yGWf^y+GJv}1yMzdM>&#K8j%*@KTR2%FfoQ}RU(h?}JyWh!85hx3YoG8$72QzgA z%ITr_L8~nJp2WL)E^Hu6mQi-5{B}8*F_tJ66)p5ehpU5EFo;7lCe;lUBg_=t?Y7K0 z^>XYP?Tio;p}qKZmV-j98!wNs(g;xkUtvBf&E!)`3Ac^*?mPA1RZ!4mPNiAAiV1XP z#=;04$`WW=ym~+uuQC2X=@lC8)Hw+GF%*8a*)!YKY;Ss^KXC~t%XNJAQOx#o3^kV? zR~p83F8`nlQ+3Zq^JG!$2~kGb)08@NnNwVNZ9G1=46TeU_x-fLo;H`XyC3%Gd-(~>sO`D}=r6~cGY?IC zLIF4u>tXvT63rhd@M2>s&%E-pg^m%Pu|J0)_9Rl92-Ox-e$|j*uQijp?~Xr~S%$8s zy-B`LA3GXi-JY8&G*s`iziI95gx)`}eDsxR*~$^0kio3^X&))ga14L8u*t_N(s5RaraQoX zUtZ4fIJ;}znD6zVbSQoaj#|zd>`oS5+lQMHLqK46+8j>7DQPhLW+&LO^>#}DJi+Xy zG!)SLx;5#X{-PE?T7LUSV#~`-_ozfQq;Ra?t*G+VTmDEJ_NRrXMIfjnL>gk3Szl*X z0#{Gj8y(+&o0WYPk})q^BVXnMmHc>wSGnQ0^i&T*IM~*`9ojvX`OVb>2mh zO@7Y24*H5V2%u5oHV@g{OUgny-(f;KXaod7t1W|gS_C1LGi#@-#RA#}iKgR9)pVxQ z1DF?5^iPMn0~`70QxC<$nZn8m$}DXGJpLn8{tuUxM?SY?ukr{^MbPdiyM%IFN}cM0 z;BCMmOt*5Q2-^xn?tL>M*O5qMl75hoV)DK=@I{8-DLj5NG*w_oxt@OO1tvW5H67G*9tmT6HW1u)<+#Sioh@m#CcBR~K7A@FaG6(a|Ef zgF?_c#%^Xv;R3%$FYO*_ngqo}x>;s^_bYlsqbal?m4zO{GL2~h;aMlQPm!7()^c|^ z)Zk}-m4Ok%_RAIK!Fp#!f~Wa`@}CO2HSvctjwU`j>EUiB1Zj@$v+DBHy&hd4_rlnf zA*kJSqODP(ia1x6!$zKn2+Gkae;3(y{M=1YHl3`tDa;r(H~$o>f535oZ9~phufqJ7 zK?-54_{~0zMoqUf&sew$bZUZvBNNO&e>0u13f3InWLjZpm&Etw4CSoz=YnCbbO6^e z%-FvU%*oy4c%e-Kx~ThQ)rGsAS$gn1e2JH4E7+BQNlvw4VdjjQ`b7r2QWy zvr6{=uXI<^n%40|l~-(5;1B`x;k2NOX%&EDS-vuF=ZS(7q>PD7s_THZtZ7z zOdKOFXSru_o2bdjJrK@WdD&XCXB`JzdCg?LSGoY32nKF1;ecneRj9*iwT#2PF~E5} zH3Gj{km1>?Gbne_wx4yuTD)&G!EC?nm`?pTxZhE@`hCX6!!=MmYm#Td1QP7zyW7gA)A&b(1+GA_*tg9%dFTby3sjApE{oY3XHEi&y} zMUo$2N(?L@S_SNPuB09-EFZH>uyGdz0S{M-0IuZ#@C9_kRVO(86f=*L%nuShj4pz_ zxd>r+u>~u0gr{{y{_kY%1ouP9)wJ$4)Pjb-)NWUG9kH$#KN|GalEfg}vGR#V)zbj> zf9UX|@S#RHW=kGlYV7#`KEvMyF8L(JwDTN~uwI%(wxg0WkzNT`{W#I-90c3~KbdQ!XQjn73 z-TafR(P0;x(qiG@>u*bxgV)-9Q5>iRVcWYjMY{l(SCE30>{CMOJzFYnYp&TwlG`Iu z5t*k8nupOX3khsKQZ|vnvk52z=%-yxX`4u}%d3S=*H;TpQa^X|QT8a_KxxULg+S$P zyMfv-?jFk~+tRe(*`y>P&c7gDRpkiVa$AL{W5T-O;`F030{9}dbJ;PX8MhKozu@?D z$rMrsuv2w|Hu3nt@p?xl;g5K%w4boPH%Nk)l}icm-SI9B%bru)7S_I8b%j*bmv^@R zN=mX%Z8-`x^6O!LB{Rq;-n3K`?KHh$+BkUkuIpYLjJSV`WK#K$DZkBkX{W~Uz1Rc?-rQm9H%`+d)lf$td7-GBsa{;01y{GL&nMFCO{ z;~*}w1-2)g9*l<*PAVi1qd(DQUBuS$1`%}Lw^AMBsk}~;gYgADTFu8r1v|4ahQzz8 zPIVIBgGE|4pZ@vO8R_8w=Pd3?pFA+~JGg6(03qY_Ko7+$1^`AT)0b@#{Wgyg*&Mh1 zGV;Jkr~R6=;3YY}_Oz5oE|y`F(m4Q0!0COugP355fQw6v2iiB;6WL3gioo^+$(~vZ zgD3MqDAUOkDQuYc)-NZTz|_;iz~R1bW!Va(kjIN4vr)6vzn-sqv+8$up<*oiTEC<9 zo>;fVZnX)SwTo}!5sJH?=WOI@o@iCNld-f-kNz}8h~*m^EMPAqqA1qKI)(nO7n%*# zwFyi2EOi!(VZD?0U#wh)cAeQ$eAAKUP&*R8-)Hfoa_dSCjekxrCz6%tJT^m&H__|H zc|sl@7&R{x%52rEG_+v%iP}n3WR`&rywJU~k9mQVtmq!)J#$=+Vh5pqU*A)!Pj7$j zP;j5NO8(|5WJqX2#Vq6;ojO7~H5v} zk(*7`;wwxZTXy{+3{biSk@9F(A}7!xH^D%sF*<__1cgN!X}O$z8mwDl-tKDrsBv0b z(>w%tZ`xpo56?qX*^LD1*{rjdJeKhqpVew?L-wQyGhIO6!khHe`0w<6{{o3%X%W85 z*N6f>y2AwgT7?2az+O6X<}J~hiwjeu`3T@DPfxx(Y_~?Uvm98#!#-&<#Hl!M0mcs{ zMlzY7VWT^1H++e{^qq4HEebH)#f%kR&)3DVMFp)`VJRJeYSU8Ba#8EkJOX+=eFjFY z*-|kbGx?9wmE2EX6?}MxIMFc(8FhyNy(q4HKsv(aPmev~_Y- z7I5R`#Rn?&ZcKc)9K_yahzq9n@IuAo1#>FpQ9mQh@$zNF1}99t0Bbfo3K%^!0cWw@ zlA00UMr`)x{?pt}P2tA$rm*L4!?g6z0&QX4mHzBhMqjymoO5wC`jubKubE81k9wm! zTa4PadF(WPiTOPBF}yKox1Ou-@tS6Eb+?V>Jxh<`cD1dCL0DwN3+(HHWbR0H30_y? zt-EA0;;u!772m!9+TGtBG`ZFRs8<(O*tK`=roWwEJFzEYf-d*z>BMustrGiP4uK6%xmmbrmp()9p^&w>z<7F zLk6i0NJ!VpNo6%9AKx#N+&F%?Yp3bkmo6wa@04Wr!mooyBk1qWyX)nZl<3QgA->C{ zzZu7MFHZ9%YH32Vs1-;d{EWgdY=JNSOqh+>ZZfp}n&ub`%X;pZeV9s~+FjGR)Gltu zk(qO}_~IcVVhFQci{{)qT1Q|sXm+KQQJY#Sc%y?Xr3u|D+E$fx`tu5uPhT+H# z)={RY4lJa&K*^vY?e4^3&8Ibwn=wl|GV9_*iG`xKvd z*7F&!vDC%GEmab+{XFm`ZokVAaO&%qH^bQ>-nG}ywJSj|<=K@a+`Q(!^Wgj;MN_X2 zavd?1)i?*kHS zF5swUr=`Vqef-JCiA&KlVsv25Iq9grT_6yX8{6=WTwt)%-0|}C%o6IWpOgV&)$j9s z_&eAeXKHcz6Rc3SuAtVHKWCYyP}0?u;e*r4_u4z&Z(V0^dMx9&J6Cm?$Hr}nUlBZR zGFhPe%Gz2D)FSw$Bk?4EUg4vx+wd(%NdXv3V0P@bXit3N*;mGp$>LoE6v+cJ zP_H-gxGswcg1x~th&$M~uhj3_67#!FSEW#5co%%a&~*D=OA5T-*1UhQbu&{`I+;~z z#;ZL23DMifckw#R<^A6P<6*a^8>I_*dFe4&mf|Pw)@+j2nU|ijT?F7o8hLQ<2i5g@ zWIW5E&OrN2cY@_=4mpGwlLFK-`qCf>yCZ!t1MSUqY3~!y|17(+!nJoDaAlPTci$Ia z-zti){~>wY!yZ0jMOf6mxy0>b{_PRio>U#Z6^i-!tz+enxQy|b0Oi|}=JVdwi6t8q zALUs|spl;59X(n!aS3S@xXzM(HQvo&jn20ZGsk_&LzC5wF1^}ho|~uG-LxJycQ9?sgDKk37c;% zKl^dYVoEeFD12uqYe2*)bUDK8nS4h^_%5lkG<18afvfd{GcG#DD07Qmbp7oZr%SHJ zJ1EJQYX7X?_f`Gz9~i9ph4esCu{W zlk9&cN2;>vu}PN#;q^*iwC4{D`COB1aY?LCu*60N7=uhsrgvww>zpylok$9zi9b(ZaXuwOm{PQKe`%V zki;I@vd8`5L?U1%&S7I$Cs-QLYF$7aiV-=Cw60flD9O*f{j*_t@RhTSc+J z=teEdP_~X%-wYF#+XE08gEs$czG6(GpDiTtycg?>S0{T*&%>%-_@eE4E8<)`X!AE9 zWjFWS2IizHXk0FVwU4qI!S3yA4hL z%h0)+gIht@1A*lLpuhi=5NJzSddo@e?shZwdE4h5ZQxSG^$73unIDGi|K7Zs*ywXN zm&rC(mRb7*h~l0t{BNUVqnJG@y|oJ6o>|1uz<20eD&=fHd9v)1sH=K$qP%4OPaQH0 zCO*~y8D2LHi~|e>*w15Q>#kF$Q#S{yl}g@CeV+DoQ>Fcmk7emuV_WkXwx6pi5>S0O5kzV6+4e|^*u!QDOkV|_w8 zhO5t-yv>q@Pi;wRjPP6;x*p)AE4QU~H@)KeZdGk>4bb1Q<9b{hG~XSxAvGqmfUNC3 zgb|zIm(PjkJjp{fNC6?gY=QVuDxP@=@%sFzzt@EapgYhN*%2g=ZL{(1B`>>vScUDb ziSce_fDYvB59;{Mmv!v@_`b8Gw^B6-rZC3S|%C7sZ3Cbq#8(5H6sJRy%e5bmlNT-p4GRJvXV|0N!7eGqR^ ziI&Q(CiTv}B~`4LV%3P;jULGWEW)Z^FQ^jfEu{LFPefUS=DK+8AHAG$VVogpLP%DW zwJ%2~CG}>6df9>Y=Vd5*GMIJXgcU1hCw?>Af%#9k*D!L+mf;yg15RP9`$f3X#fBeb zrOCn=wnOsduNxt(yU%;cpr0{l&pTJZCtmp}5!Ck8wms#yBnEdqB;jn4@AqMxuZg}c zk!)BQL@_*dM3s%9Cwb?0B6e(O@>_SZtJ^roa@1HGv1YpmTZEMf2Ndqr8HavA5y8GdHl& zRc6SSx{BW|!{6`zPIB;k#JOI4jxwB&F@5->oDh#~`o*Yj7ivUm>~g7ukH4&7Y@2CF ziS+qRBcFs>Jw?(NJh(Y3_55iZ$U|=H8m`)40yN|gI(9P7JMt#~q;nq#-z5$_64-15 zKxI?;S}4c>56=bES?1Bq|Vn7%I_&i+EpbiC${nqvK&d;$G zT)0pC=11<@9;fpOHECG?!znM5!$;-FpyR9DLvSuWeeC$PPt-Uns`T?W%LwUfHBaei zfHF?W3SJH6N`I8&9!It(uSmmsax5Q2277wdzP%64z71|Fm+SWb1I6ZE0<^(5_u|MA;re?)7y z=Ds5*NMYwD{()A-3c+x()qd?SjM@$@a;szv^@C1GEYh82^jz=o~XWGHje9$neN zwZnqiu;#7w=;aaBuOu7B<}ZID+2X}L+i8odinNE7ifyO>sdta`^jFOd%o6?M{0n$e zt@@s&^g^=es~+D9WxUN{wgINi%PJ2omrHvr!-w>BI~T;rkg&yH2Sw`CryRPZxyqbE zzjyZiIlc*WO%OA^)pz3%oaQS~0I~9(_-YX{E)I#DqbWu`cZD~_KEWyUqzIMi7A-9G-sDOq{aV{fM74!dFahx@#D3YeF71tHo*TSdD)PHU<}axUsOj8ojy@YG%f zl9G!1ID+0+p0wJD{TV%E?zgs!Xi{LuX|KrV{s*-! zh=Cs}`D}E#{>CdJRZvicydYfuoMvTTi|#hh~LOmV4tS<*4XW5qI{ zp?nYIyjFofNk&Rq!H3uNFIJV#(4ePJnBUR4&)Qq;r8JUYm?a&%Yboq*%M<^^lqWod z7i;asi`8keF#;If%zMki#Plp?(hL@i{YtgT z3nmv!?*4Tvm;Ac`U^H)*-u%CNMR%~V@4?-v7D{|J+x3wp zJC7c_?9ZfFS5JV=+7ZJ-@rtvaSqhL!5=&X?n9y#`KU(Q(Ta#R5S2uZMul38e(O81< zc9H+>AIiuJF4$E5Cg3=IOP0tD%Kjslg~}%}zF5mArOIt6tX;$>ll}t!GJVhqPCE@a zofuTw*=2n__0`R3Q{3+EgahUwq}EJv!$+Xp7e-I|QmZnje+I>5&A!>btiSY|)Hou~ zU!Lf8ySfMAg8kEu^lvFb#j)K|?><(mlZu43T)-x}@KyLjIehugn%*-3n)f+x&8^S8 z6MZ>#qFWG6g0Q2bzGZvp^(sLaa-++v1lrp)YIB$#-&g~X&)nVdW}nu~(sRX62-X5N zTZ77*yvrA$byI+o2iJY?)nXAb9zGgAPK2pq`0z%3>8Yg#DUJA>u$Oak{zqdj9)P^e z!-{6%L9l>})8?I{*2Jjga_P%POfLyMc2PFo7c%ZYp?OgkFc{AEGJfej{w26~bw115ZNd`q&k;*U=ke(OK>_#Y40OfXcA!8AC*oT^1jf?VTQ9_G24^yBSBd;4I!UOkU;J2k&NIg~ zNyya&`Bt;!5hA+?m7s*;1#P2psI#(L()xT_GOg#h#&wDa+hLo3>pe&Uh~YJ{p%9a< z%9s+q@#?r{;I=+NdMk6F%Dt<8F5~?i8wTvcm-h^DD`7wMp8B=8Q~0?G!-XfBsNFNX7`YtNqOhCLlQZ7QW3=%gQ&W z6*a9#EzCzx5qptE^L;jxzSWFP1^YmYiZMh#7PiF14=xJ}*aK~0P7hZYoHwVNH#w#Nnpui>8)Qbl#|o6oe( z87jQ>R!e6dO{+XlAI|LNS*ZiXnChxtSL-=SpACymg5JR)dHMPCsUM$sF z%R`#7j@)Yr%1(c53;V!Vbhoih z)EmY$f#0-@Jc_^UJP?;V%Pzt#V;h`K24*C*38U{XP-TSiY0pflAW63gWQ91mQ3k3! zB0kQ%#7lz8y3|Hb;WpbE3ZTlaIZQrn(idN~T$c9k-W@yt7$TX~T7&`yG~-=x=OL#f zR{ZH*0wIre(hhHQSlqfqGq@#U(8S@BlW1k zc?IuF*rxEE*6`+aJ(|v7H_e7>_^%w4&942mc=}D+)-ArW4biZ7s3Bjj;Bhp>a)LrE zZ>s&GRM`WQFfa-qQm`3I{eYcylMN8S^HkyOkTU@B?$TCnfqVR*=WAF0VB4e9S9@EC^XR z+519zEn>@sjEJ`k=J!<`^;f&dYxnhg9<1l?bhe*#-~Nfia%)IV(n7yNI*qa|pzgI1 z>D1?ifOJDJ^l+GPC4kCqj;XWro@4%M_3@UEyj9Cq4S}00)Kjs=5We&kiFvFz7!Yi;J<-R#NZ@T&cW4a~ZVluE6+O-w018Kh2R(F>W z;r1Q^r|!e72AxoJwQQO*2kHbY;;TpCWmL6rJ#PqRjfVz?Y8|u7bT~*_0Ho*roeB^jq$%u_5DRB zHk`J6&HnDqtGcsN<6?P1j_z}-x|!cS!kL0c!^(6-58kwfyy=|vc257QL+myo{?!!( z`u0l6+WKM@I^3lXwKR!sxZxAU+tkhZZqce_p+Y*-d{wM*+m6Ie$W~Co)_g$3O6|}> zxnG%;J1qaX?0H=Y$X{mKv$Ej4HC{MIyp2q9r{e+}TWdrT z4am-JZiz%F)43|G{@$PPV1+aIW$gVjVd|uAaa|bvcd9t<6uj`OK1)HAnA?JktAOVg zDlK>22=HwhY9P1H8@y4hUmWBeRzh{FE4jOy2QO?_*ulG{Whw1ApyXW!Rk8bYBSL0x zvA@b^uAe-ZEV#HMP~JtZXRGd!?s29?u>5j?UUt*D{h5YIcQYp}LALx8d#z7jy!XDSalTJs37?U}Dv?PC2i)=(d)OFcd&J#5>MTF3bHr8|ZK|Wx_nR z2+>{?Zpzq?!I>Y#*w0?h4*@TGCr^j1@ER>|2PnHCZk@}|%FhqV8kXXnqw{|>+#@@2 zv)1@Mw%LRUrEN9zo+#oox@g1QpWtJ!(=t6R(V0k<)hD{WTx|b8$*dFYQ9igE!$4eb z3p4xwmv|KHUUiygaC)rU{P0y}iaz~;$^6;gphAp~Xj zukTALRnoH#t?GBSi?OT-T3UowXE#qbn3uOXoOW|M542oC1MK&3Kn(AQKKjL`u+6;v z@65M^T~nqD2=dWlJG*JFlFYirg_&N6a(-1?4IG!1i8 zjTZSRIx)2PsY~h57bx*?qX=p1qGH!o1+VGXCu(H4e;)l9e6gGBUy6hdq`Hf3iqsQo zmVT(e%`wF)5(M9kEDo`YV8KC!Izg2{)co?ka>GE*Z{T1a$Ac-SYF@!z(rF}K9_Pd5RYL+M52i@7pcS}Iq}LdR6GEA46L>b~7RdPpvxTxNvRB@DEXxkTl>%;dZ)k3!7hbIEnU}MY9KY2!F}yrCW|@Moa&QbHi3S4wTa>foc9|C zW1V$4ztB^v!;DPtNv^c>94oRr1bcvVVAc{<4<6MZlNjIdyG95%oxMux7U3z4_A-V2 zwmax-BFuL2#Cwe2Nu)E35t_s;33g%xhn^Cu54JV~=Xv_>Qr*xN8MhqZ3Rv?11GFRYhD9;$dW62qW}oQ?Iyt^dS?=9QIp%ze>agg=x#x zUt86}?;{fHPzu;b=1evAvk>NVSC=XcoeRd1!SFly6x02~m$*~NM1#w*PVb=J(X#-E zUMBTFFV-sfk#7P|0Au`3UI(OZV}$YXt+_JbcpLm~J5T>95181;-s;LW$Uip-z0Qx^ zNOFZGEwuS24Ej||Nb_8A;f2F_Yun=R@V86y0J&yulH}w`W1Wdk(Ay zEb@URF(?g&p>zMFdG}275~C@|oRPqe#nB@|=6D&hmKf)BLV4FM^Bjv~+cl+!Kq;9? zX-lxyXkoI9FQ&K$uF!X5;%FH-zvZ)T+=oLMS6|IkTF3AFc8c}Adqkc<`O)RhgOkOFY>d$+q1%nr z9IUG2PoJ^gJJ0chYX@nCGsK^rTUG*(kDBEjpq#7|>J6Hyk;zNS%w2>XVFwfIfqIL$ zAC?J(D}4SpG`#{d^KNFJk;Z;H(Bx4;<48ASGy)btsP)O)&1%UGbmiwo^{99DQinPm zQ)f9*IgX3AT51)X&bVVaPgeO+zYQ~dP3PAqpwK6f6i_b(*#jV)sz0#%u5k!@ci2Ge z#U$Mw68)0S_ItTj3#e#>qDt!p$!Tlz)^n7vV2_-}LLLQy-Fh-Hlv%;GuYlxyQ|wUF z!6Hp7knB50SCmj_L`JhRM;Z=O{I$Hv|5*E^elaPVXNH{&LRIz3nBB?5=6gsi_|u(d zw3}Ll{#&uzYN(Lo%w|%XC%C+}8yD0^`mR1k2U6};Cd;}NkmsEVMV~D%l0F9>&(OuN zjWS$)1p_)dfJU(9tq^{5Z`Y#~I{)J+RtRZNp>`PKGg0-R7R~U@$?_82i`>R9F_W|b zAlqm{8n$j70TF{OK*^3zj}D|d;?%ph9hcFbq(dx+vQp)%ZY=i3u2^2XJ$Thz4B20=0Gm1R-5o9nnp=I_f~Y#&uida;X2jVX9#5^Tyq6#U65djK zA6;y|=^qm)9UP=ixILK}(%sF3r7J5A(Th>2afJO{*=#=UUx8=9*}(ol_Y~+&-n*+& z{P8Ahgu1Ld3RTe}+;)iWmxVQuqC!!Ow+IEB%oVkM{*A=(7DT*%n@2djSAW(|O(1@G z^%@;|Qcf_rZB%M~>z%pG%7Z+z%Nr91jGuP*yNDa1;<3<0 z^G%9drO4fs-7!l~+R!2%<)0S&G6->9mpzdw#a}WP9R2RB@83&`x6W-VMgF>x`R{Ct z>{H!oF0y@Ima>q_;P3s?-VN`}N|PKkIJhi#T$fHMn!IuTmdx~tJLlrVv-Gh)oJYhE zs@ls~#}W5ji&N#aXq7FywpurCqenkoj8~WArP)dUR0Xn>t({XWjc;sadF1o@nI0L+ zy(iviP^i!gdfsvQE#c8t171J*qmQ0{;naatyLJk&8a`omgLSoKDzD|EV2}8Xv4u8s z0gr;95$u~?SqXzwu&S~f2Bs2wS*tND`}!`+?m4jr2RE6Xq)sJH`Ka?@IpOC1&<0Z81${c&J29B zie?no;W0bE)p5lNSJ!dBkHC%I^?|IL^Ht?nIpl89x?M<9i$5f9|9aO&vHXzA4#Laj z?=IqCXsZ}Y3{bo3qyOoQJ1}^2Fe>ECAqmZ7z!H{J;nB>0e40=;Mt5;*JKT5$Z~NC z71##kcq(^k&mD^A3SC^Res21ygdFz}|aJ@cyQ+E#24LZhmF6R#Q!X~8PM+{kCl`|5gCKRCJ zB-S%dwE?ij#`L67Zz(B9Pp}`oaLOI7p|HEr=hCG~xn|A%O1%2ey&&+8CjU>tU~9|+ zyT+nKaZYoS>73(5b-7#f=UiP?8{oj83DO-NO9Lg1Y~*S3V+lvBqP^2u0cK!546~JH z&>wp}>N_$QsAcb+$@BkI>#K*KOgcV`mL^mnLYy6Hk-F+>0r&vktph zWU@VlM!);6MBT-_R6xq+D?gqSZ>N$LWu{%)-Rj0bSIpVd%(DPv_S)5w0F$Ga^QXJT zZ)sh@v6<_5>||*N(^g?lQIOi#-FBZ;HHbZz!`IXxKy4E+UvW^Z_F4Q9r?ZB+f_ynI znDo(%VO0@NvM--!jIX#}T@(ZhuDAxP)`BmbNFEI?ypGo@bM$pKM_`z9I&b&i%J*uJ zsbG{bw*0l=-zr9@<<$T<{`!_jI5#hun{xk|zCQLx2jT6np)*t0;?(f2e0NRxLW14m zJ97xu^EftFmoyBIFqzoh{tsH(z#h$@X$(ms=;|0LOfAxs;zD&rDxC%DH}=yqW1$vn z>#kI?0DKKy5*}MMHdm*vVyv8K}2VV_Dtt+>I@1mGXW1=89Bp~;P#akK% zq?`8QN&t<05p3$+4Cb2GG`|$za3Rz}hYp8W|1YZEI;zRIkNZ~;QBg4HR1xV$nxUek zbazQfH(Xjzzz~H&K@B8;W=XVbOaP6E6uK331{eHba zo3`(4I}63WXCYZiks1%_--L2UAOZuYXnr_3O=gu!%AiFLhQy}oP9%K~(5wlD;RzJ8 z4`}-H`NT@)4RFcv&L%^H|6U3|QdGZ{c7yqYYHA8bzJkbhZZEQwV0TcnqR|{7f5rik zK*_sqiP9p;h8=jfnM7eQ<69*+vKgdn7}MZNcE8lwAln!X0~hi^rij0?lvJ5qOWr@y z{Y+paex*i~4tB*u4U!;}Eognxjz2)DH!*OW*X%7D>8UmA`cF9i^$F{?GuE`t|| zQ^Ga|ja6rdv^K_%1rraV|8rW^Wbe9tofP8cWG1WdVFt&wZQvtRJ5u}RRLr(>mxxc* z4I&DU`J#Jw3X@PYz2Sy6JAe;oy`1sWT4*ciK{ua5a;;9A952UT=#62~Ep2;`FXE47vZB~u}SuG_A$tNa%CRoMiZs=l1Gb^)E5c$qX4JKzts1Cz7X zb3*Fg&K1q(mi{h%ReaxTQNr-z3!b8JskOOrR>CM zQ!KAM4O*1}3Yz}Sov0&)=v8`moL4E5ik3O9nFoh{ic-P#qh0k$3Zm(_cmeGfFsk7+ zPop$Vp=n%Iz@i-M3iy@hVy2aF*fdeBD`e@n3~~5xbRIniFo-7AiRAv8yK_q`LI<+U z*;e&QeKh1gx z2bjqV06-$vM04NiL_6t3M)H*Xl#DG%lR+v9w)&o_@zXB)w~UOB@8ut5Xa0bVj+5{e zIDAouZa?o;Hw}Vx>~|2c4MqB0n_#7uiQ)D{!7k47TboTaCdX8fy@&uFyK*pp2$N~b zwoSRIkR|@m8%k3qL~#2~^s*}(xgg~!D~8B7RFHi3fu|NFE&IaQRWG&V(50esxBBe7 z{BIp?l68)&78FfEsK!D9Y)MKw1o9@4+hI5o?GKtv@TJq$DYEWq9!q8=?su$~i~Y?2X+L zLFuigQ@1riCKIlJtH2j2%#AOC<;MG+SeU;85UHT{UNf@6Zn576k!(0Ta?p!z_=u(}!J>T?{aWN^sWFeVU=vA&q0inVl&OcMA{YOS)P%+6Pl!yAfB}(s`(< z?#2<)KQ1|~Xi1ty0aTZCA-U+djTmvkMi-TCN{g=hh}mG?o9@g!TxAuyggJB@@j*vt zBw%M-%1T;?iIS1JI~33UfFA0rDTA>nESw3XF1=VGSIuXmD{bT zH~5Gm(4iwVe5pjQg}Tz~n8~Ql?9!*y*tFJ=p^Lc}MMWX0QG|IG2)*RkCi-?(j}r`h zu%da}op#`%?2?)D<9KvBV~ z-B+1XHMOtI`=*{5-OCN1Q{ih*|9p&(T-n7cIR$$=2#k_0){EV94=xeGko>r3$Zf<0 z(xeq%p$g34yG^&p^M<7W>0*Z*_@zd-cnbyk$8kM@*mLqSpBO76L6J`jh~#qo_B5Xo z&t1D-)99v10*cLjVZzM%qHKcr+}FDc`Ss{AhLgg^cO3;4Zcp-tRn$AeO!pS3IbI#P zUdXbN5{lZs{SP|$QP#gadgvBkH+^%KZQzqCA5HW(bEl+gzIn;869-wmc+agwjW`dk zKY1~TQYMq`XTQ(Td@W)0WNGr)%I!tV7e!9EJLM3m*2&m~*PZ)x-U;F5?ubiSW6P`U zm$7GfbVDPG_Z%pfmfY}+t;mzskFaAH2JW)-k5!{r`4AaT6JSUrn@%>s#1t- ztNw*3*C5)^CCEo46&YwfuX#LrR9MjJiE9{vL$K5nCEZ!TGA>fuh66W8)tvFb;rb)h zA8&?pXbI#KUft_hsa$q)At9o#Km+q>2g|ortWV=eqb}}LT+9(<%DbRTq|3A7Vnq&h zH7`8X{_vDi4=;=tv)@qHtJViw|C(-?7j(;e@S&nZ2_hnf93U1&Pt<((ZF$0TQ!^Y> zo=C((bO?Uifqt1YiI)ALG3a5d%@|6$5Mo~cx(*RFgs%Vbn-7?Lra|~4MHca$CnNPt zBpMpkt?;^DFxE%BT%41Fwh!HBYsjFZND}iKr6~ed*LVXXEFjBAhHD4ZGf>)e^}oRukZ&U+Ud^`lE)OCyOls!LFP z>S}L5yxSy&Nu9^i+?4w*-nHF(8l%^N;nSkN;C5G)k;!4Ce?%X zeGvVN1X(`~WmnCFU0Aduf6a#}#>lEERz8K?W<~ze7f>VyVQ-SzY6mR>rS1Eqtz2N? z16IF1%iFar9DiYsFJT!p?%ceQBr3`K5_9zTV6nB`UNw@JDnFm&{R6%@nr-D2nrD-I zo(ZRn)NKQy#DWews-o6%l$*ZO;Kz%|dxUX)S;&X z-la&elWrBdAI+?|y&HtR=YKng?v>`DMCI$&6d+?}YtFYHDmFy`dmpnvI_0rjJTq^!8*)T6ahco|># zEuJk_x<413QMVMX+j=R%g?qM85cu_hJrvC6<=iM)U;54fEY1bbVo8Kh)jjf_DI0Rk zZURFGcB^Zfo=&M6UX~4^CHWi8Vcwh7Zd4qvVuQX@)-JS2h~v&RlXs^HS&b}yDhXa_Vi zUyT|WbmG^~Wd#&!oC`{i;BLI{Q`f9U(Org+p?c&1?y?@)j+SkBEjJpD4{a$(`w&^~xn6X0xDhbdV&{P!KH#Ry*@c?V_hlivQeEl4A-?`<{ju>= zfr0l79qvC-~oytg1Xic90$BbEY5p=ZXQ9LGI`w>W?5@O4RxU8ZCHK)76SgigMdrxqLZ2*s$?M2ZlK8wn`6X#opXDzuw@N4@+2@%}m73`4|bV zncdmi#DH;~&AJw5ew&S6jr&FBMvJ8XR#Fx2MdFoy-34!&_+8k3D*EJZ%ow__xL))= zlC;PFHMrrv&q|lG@5|0(F;f_2Y3`8zgycBaQgvuLv+oTUszaYyH;3TUO+m-sXlcu2 zXHgDJGB&Gj0R4<1!svo00KrWbov8DEY|zvU_%NS-5S?*|gN$Htqq(Qv)Dz~&?cin* zS*IV&)F;mWa?%=Xk~%`|NPTiKnp*28AO6bS-$X~3Bu?f0;=MI4jPY%^bJS8#BXmeo~9@^TMc4Z~a$~ z>xk6{$=EAQr9b-kaxkQ@W~iVigkLX0eudTM{j`-=J^2tw3EgZXrgQq8{SWswg&Dm0 z>+~}#f><5$!xLogXgc!Z4j(HUv1KfwDcPvt%B{c1?0<^pgO5>)oT{XIsj1!DMDitx zBedo6Mckl>wq50G+noqw{KA%2OUD_h4F5qzxtn*9hqvhzWHgo8uuTla6eJ)yp`89V zO`Q*MIrA^EG4b>7t98Q}6oJ_*O5D>Hw;u5)>=1X;sl@}_FwLn-kCN}y!e8lmSrpIL z0833s3FQJGv+YHrQxpC9FQ$Z5zdgL25fSslFjqRu3Gd9#k>0*{IlUoJ_eb-x%&XjM#?e3ZFJA}#WA}DkowNB#D$ykx$btZq{0j*&-c!}&rzG<2{QJ2# zoGOy)(hu#In{C&+r%Su0ubl13s?ohyG>$HQHI=bu&K%l^m+){6OxyYH7`9D@JGqbD zPfz?S_r&wFv3G1jBq?b7I_ZM2d zD=ZoP?+$0}e5VrFMfO^5RRp~)sAhsNyjQ0yDuqbc+R_wle+1Jo{e8d{TDq2OrNl3F3FC_kG z4v7z{7>J!0kTYqLzK2Utk?2P4kE|D11=f$w+^DkZqW8f*HjkfcwPDo#yiX%*7j&V- zd)!>sMA`D&>_ufgT8Kh-^>RbLL<>@adJXYK#VoohlrK4f=kkA{qn_PLZ;eHg9T_(o z!7SPIue$WF*{A$*1}`N*`Cc>#qAVd`@cfvJbqe`0?9|OSCOmh)T5o-g zm9z9*pDTO<=a!qDP#3~@x;bCK1LrHuut0{>Ho;OHN0*zyD(R>Er#*XnT}oua(0WFU zNsSkEJF0)KepsQWS%XgeLT&!aMT4&q|lME&gOjooCDH&n6OfMYqK8Vpn&si zWV+r&E^OSEi8gA0hZx*;u`Wj+$$ES&r2GX7ri`~4IP0>8EggHkKWsQ@p0|eV<7>ft zQ2CM%9cV;4xdgp-8A0j0ImbQQ1dDKQUF1Du)?UvJ_31rfraFbgml+UpO((&j{L@Nr zUP|n6I=P)TQRks1C#PyyU0;NQ(2`mE;a?p;d>)|fnSAnQcXCd5P+FW0w5U1VUq3+n z@J^c~iv*68RRmnsOy>n_o|hDj$`qb-(<;+poh}8AJI!~+q9NUL?zGb34Je@iQhT`F z1^ra^_^DXARMDZkp0AVdh2*F6aA_R+tFH~CbM;VhKy(D*}hB>GQI|H3{{@$p4|}aNB31%A>GM#3!02dI?;5J z^{NbJeH=Gqa?JJvvNU9%IVKr?3^t`{Rso)cb+U9- zFS?K4D}l<0TEip7NJBkr$?O1$1msFEAfVeO2O38rqm?Q)hHi(Td0z1qw7DW=QqH;x z$ZsivS2_snkZI3x2bQ_r>5Q$5h63m`b??uAzA1WXGt!j4Ho#T!Lu(`s*^#IX@j04g z#DQMtFLZKi0}Z9Vo>8379D2>+4Q?J~onLQOhi0y8D~~~cwMOo$*XY1v1MXe|H6O|{ zz!jr(z|_ccN(Krr2wv5>-~Er!XW895VsubDXxOQx03`h2;c=YuwicmG3{p<|b*3X> zkEuzf;OoTW%D|(zM+(iwXk^QffiL{lqS-9fV!r-jd|x=}3r+N`Rdo3z<&|H%H8?T| znKN)khWDsi`t^hLO0AWh!MRf!zm?n6+~cJ+6mw@wRmsr zOq}~9M|bfSkh@9*5;5oFqyLqXZzJuqauq$*fnxodQ*BI{)c$S_6&p>q+3z5N4*V~C zvcDip(IvKG>NikxaeG>yt@x)UllE)Aii;wUKE6G+N3B`2JjVZnJeaberk!z|rS$-)vjIDMMr7ME|2DTLMg@$@4qKwaBfP7kH4EnrXdSgeS7w#Q#o|LfKBDR zaE-^UNMLFT^x;Kl3B47@*)HY^6795Pkw}x5ah!$3mYZeME|fr! z0Zy~D@71DUYQG;D-`-G@%=hi4<9JLNtm+qx*VCgcWnKs$ESgaRw;r7*HlPjZIAoyD zvKl4D9)P4pVD`oJ6067;EU-_vYF7kZs2>{16JMrXY0FvGL+YyB7>HOF?NONaOdTNNVWLrHEnDO0y`ekV;| z1u(TB*GoH8Ykbk$luo_ur!da!#tYBrwN)=@zWI;fyNYRl+}wU>T$B3EvBb}mD0NxJ z2Cs^_$wsPOU*ufG(14xp7qpSvl*DN;rpHyno(O9g0PhmaF$`Zu{K{Z4k=I6#JXzy) zB34vsuOxrN?P~Fs0NCbjZa5E`KWoI^%nRZF2{z&O# z>6DeOdNloQ*H=vbTyRtaJztn0H`!O2hoTvn!3W}Ye;wD4ZuHVw&w%+kG3pVPq=W@; z*|?CQgxA1K#5iH|eTtW$h#Udzky+v(Y7bETFLJ8e{ES`DxS5ADR>ecd|Mw=+2zxrY z53i&n!_F(mTEa8}xDLq2m0*cAT}cC}<$+^PjcusO$X;cxL-^E@=bpn|n_dY~FE*KI zuAADcwccL_Nvw^gEi|e^NWE=I)q>Uj(7!6Vsd!br01{jMy+-iuRgVYgcehi+dmwz} zXEnGnKn_R<*^RkGkCouRxm3+gH|stt?NVrxiKe{WW@;u=(CQ7{DyPK^2iECj;zpHD>3~r9&`0Ien z1iOEkblGaNO9f-B#W2>}h)IFW`DBkJ@CV5tM5+iYxXhf`@WU?EEX8ExznMt4MJ!V3 z(327xa&=r6*}LSYix5|WhS*r#`S{EUFpj3A*)wmGsnYdX`Zlb`^V*bH?2UW(^Z7rX zw7$}||NG3H%lV@}cc87cucv`oAV3`>3q<$$X_2kvby`3Pv($^KX(6BhS|51K_=58N zbw#=am24nZ26`Llp&uM^I+uv`$ll@Tam8%fPyd(Ky%6S%%`oBrni%7X1$$vE^1AnJ^r@qQ5I?381t4v#2w0YK1+ zXw1{+znlpt^`9wmUJVC!>RnjOp(Oy@`phB`NB(*!cjN-Q(A~{^Mk1BY`=wwp&_p< zMo*YAqetCEaw438<3BE#ofQCSIVD_&K>}^LVvi|YvX0bnSF!fyF9YRpUXZ{J?x7vS z@@N_4jX<~MazQJl5CdXSa&-V?TN+cFMT$vj|6D*DYJjk_S_WnwgR05U`0(Ts0{e9C z=3((8^#-iMo;`iZas&Z_!|6K@y5r`yxhae!7~5KVtKzb~4w9UZ2-{ zS&0#*^oD3Z#+lA;gHchB>Vo z8snEpR%Q=Frk?Ejb^Hq{km%h?Os!MwbL8onv=skqHCjwQ<`2heyl`a@OKsr1 zvNC)EG51vYps^ta{Y75zVXcpqojhgib!9#BZ>f1E#PTC7<-NJnkT~SAYC>WIL0=gG zWsx#1m$NL7-MK+Pdj5$X$fj5b;S56yxd((V{6Kt2m|%qBezQ^{8CH5kJ1rT!_U8M} zP7D&Xw%}#UFYgUi-vVA{08zc~>)z^r)wJ%OffG{D&nTOPd%1ov3{OR7*vvfg(%To# zQv-Sb$bBSR7e7MveJFKoRvnCca_6zN(7Ub5#IoL8+m zSpWF^Q(42irt>OEEt}=gFEU}`C$Nqqq0I?nY|OyGn-;9)c90bYa45TaG4g~&K9GtFLI^VDqT)jwsSz?zda#h5u z^*@QlngN#g(LH>4R>9FVQ#YK5Bb(7NV6G1*I3D=Gv1rD3si^ zbAcSIF&8`czH0<7~bv8Sitf zzeC*hbTk)}vK=)-H3!{5T?rC^P`amvi*ZfTqbT0jurV! z-w1#7E7W6I`cgPx+l5#6(ZBnH=(ht`(g<+)MZ;(F-Neq|u7|rz1ct2oy zgX!G11D&YI8K?`__aXe1EPp}E|3Hsw zh0<6!>Y96rd5arBS4wNitR;)@e8h!(1bZ4}%J>SpX#ZhA5sQX4xiQ0S+`gh0HpkaL z?I~O-h;mPTB#XwE+kM5vU5u+>QniiB6qU8QKWG%*ecOFwWWVxH>_0t)%f0K_6o+jh zPW0`zP81PiN7l4$YE>Sp6Sq7JN1wS7;bK3N1E1L@1#TOb-=>tc!WjWzfipMMt#LkQ zOp!hT1lbl&fbXXu0GDL^8OBCWoLhILyfza_B{z6DYp~0f!kuWX^L+NZjJU1oi9kSE z?8Q8WQPw=?cX>cs{v99ywi!xepCPAL^A#;oi1IsghmOqIw2Bs1gd`IylGX7EIvLb; zQA7C;<$m4#&n5=7m%k>ENE3|?UpZQSZ5VKk(Lw-xc$$q_-fAp?T+C6hJiaHai$}&P zj6m6@nOA;!PZ%|Mb^5fLms;B{Wb6S03jZBHp8ET@Fu6uFRM z-Eg+lwDgD!+@h3cdSM2rR{uSB$5+Gio_7oS7rx%E_jR* zzHAMgh9)qupPSiu{`-i3$iYOt&hYi;;o*c1V5(-IcUa zv1p6!k=3Z4r2v8MWYw2jDzSI@es6u{0}Sf3rK-7LA9JR&r-`muW*CZ76H_e8nGx_UNVl5N=Icu>aIz!pS>wgREW)9qY| zXv%5k5hk2sCi!c1qv+9}8F*s6xSbYAEg{#%4N?zxzj4&Ez7L`6719#WOV;V_iGNzp z6|gK`PG01cr+ztlKM7rI&L!Ptn{dgQR(4M7?OmCB?}qmG>tRx)4Eg1a?5w5I{9`&_ z$+qa`@%MCju=E|2nwiR6J7V_dy>wn%cD~?E+)4`2b%5&XwOe$(C1!Gdn5qxU78U)^ z&5oPi=cU9;v48JU&UZz9U#LvVe}y8Z`bm4=x{Ml4i>ZjjT<+s(WeX}vb$OHAva zgA^*$JwItZY!18XGVmMaYBO)!61G4F=YS1sl9K|O3Z<$LBTc+@rJ9#s6`rqHP7p!p zS3vkU$-d%*G&`EYe(NHuotG&yN^+d=uOrYpzP-=q{no0ja|?H}D>ibB!>C+y*9Z&` z^~_NBOw@#6=%ar2>j*3`G9g^m^Q5n&gza1GBzod{Pu99m)NC7+(-<=zK$&Mg6<72e z%{W}ix!A?#B>$B`3p6B~i?f?9JoqDZ2vHd<+Wq|!N@DE8E8*r!9EtX$khtC1a2mqf z52egf8En9qQ9r+IJIg)e{lj6yeI)}w~4R<&n0;w?aM{Q@Rt@Y8kZfsy(x9$3b;WpO^4d9k3p6_ z1hCyv4-L?a*SFQkoW@7v3g9cpp12}}3>q!-r~W7_xx+Z6&Uiz&qtQ}5d)Mslj;b3| zmfjhye!!@Lll|Ss-2XQ}nJpCT+feGNl6}|9=bk3@x^ILTRgw)#r?Vd-`-K`@jNIBe|KAg!WjE6 zCTCEY?7IV2uy=eran~@29|R=PvMwh0vJzwMao*+J{kk26;qOjwbefI?97P@?cm8*p z|96SN0W(C-W`3JLIMe;N6#4M~?-DbNoT~gB`*Ul;-({!jomDDY_Wiyjb-(5w@5?IayVG9&0({CVKbl_u%Qq2MHf3EeQ+%K7hty@o z@ly42a}CN+_R}2|jis2KD!8QOoAHHKB&IzcG|Xel(iL8+*>Lqr5-Hs+L`zQxrmd)UJe0m`m^Ae5 zmk_557k}sg!FBJCodlv59tJU~QWcH?HRiaxa8Kn9AZr&O>` zeTOv4BUEC&-@~sankEW*mj~1n(H)I!JfHjaI@j=LlSd7jJC}lM91ibo*z8&uDM;^( z{4T#}VESwPIgIbN|9p<+pe6u|tf_r}a7BZLwhz=-t8H;`XRW*oP3{e92Wq_rwziw<1|wU?ZNDoic!A-4yLR-1^-pO(5jxMw0qqkAkis7VlGMp zkU~=!)vwgzFB!bl6E!;yIczkol~1SZ?6^w_0;VV!#EKv$NK6qG#HH{*9EE8gnEk#^ zMO?Od=dWVWX=v|r{%)PmJTdv?Rx4;lMR2UlBORjUl-^PYI!_wvg6ahZ z!$lK;4XX}Nck`zF_OQZiPW)&(3Cl-()d8s`!?gnvK}=pFfT&vlXR?K%I67%yAe2dK0+8^ZUHsxP6WtN_TEEBVHrw!$6AWsaNS#99nG$U~bc z*tt31|7SA`a5-Z$Neu-oG(3OMZ;y2rkGR0Si2#ta_nFZ=L?37w*IJH}E4prU&}8sM zD4SuwdQIpQXu?$o&#C@zKZ?^9GQ5As;uncR!Ik?-=675Kk%8z0?I@a){3i>kyaXY% zC@cS89^vh2NuR$_z*5bX*VK8|Xu8w-!2h~JEc+-_Je;MY=fx#e7K|-}6VDHM9=!Bu#%NCXu-YGOM7gP||(%k0LDk zRdEM4MkeToN4F?5L9Y4@72by=!0Vn0GW{`5J+AQs*k!mKYp;3@wzM`DeJ(OL0V7N- zsuKtqW8cg)zhSfBO2r@qZ&iOkfL=!Gu6gSz7I4FFXR^Gt0GoX_Sm*x?+BY8f)NB(* z6y6{Q2JN%*ursZw1&EgkF`ND5@Sx|={-9P@a`>Ur@h3wCQikfg_8p{%yUu{v*})}fwe2%V#W-ls=OV^oTE+sn5o=?kNrw7{L@ ze6ui8rMBIkojz7EZC03N5Gg}rEW)FvZSGDWYfQu;O|mimylPsqo0jZC;{LJ=O>EVs zHDmveFDQENA^bl5gyJ$%}WDQ8y0?cRgu!dLiSv~y4@hwn=*{>P$-r4_j zo!cAqcF6#&iH_!{Wtv%DFlPl^|6E?i*Rj3_K&y~zbkdFLjluH;bLg5dkp3JCzHTLQ z`rjUDyx08c#k${R%n8c(v7kfq2XC?~LzHRuy$Pk8QT6}LA1`7%DDEnSO3W_?aA~DZ zI%8S*MX1&{8PiR*nF)G^!bk0dyR8;app0qYqHk=-m3EgW@=>p zVVb=#jq;mikdkGReVd{G5aWg4q=oAf`{({eU2N8`CWkEci&w$R21Ir06c!!tNy!D| z-Vg99jbtp=ux0z1ua3DpC7u$OiChfkl4k){)AA6@$tW`W!#|~iXMd`IkuSsYxcxKG zkAnAo^kbzVHMNL)*I}rucmx1whfUIvm%hHnVl*s10FAVqog^KrFVujL$E&YKvU@VL z@EC7BH`$M1c5cyoNO%9s*M=QHSGW6yE*XF!Wqd1Rq3}X*MK+xp1(llnSx*ol!U-8S zUl@DRH>D={bk&dgVun}TcBHs-y=!YXI%Os{v~=gwv6r`u{6CJWGYTg_)7MnWThdz| zH1Wt=I{#yFC|G60<>c`F4~Gh4GsgsH7>RbyIl;byH&=uNNNU#GYiN9Z-PMf~lb-iY zAifU3Lg<~S#_-$r0~Ipe;qTWh)Hqa*Cx%`T6Q-uoo8_*;mBH76p!lPOz|chvYzkFt z)1me^{p@??6>T&%Ytd+~is)$rRb%OWejtFJWjz00uIz%-!LarIxj7ExR0qTe^Da6J z1JGdlKr}AOHJ~`hZOOkwHGEfK&Q^X`syjK^yG-*Xd&Z&l9kZ2t0C6nIAk@!fs$MJZ zKZiT9yjB`sDclV~_lyg2RqbS&^*#o`mT~vRgNcSi-f8j2db+lS9(M+uTQ)gE*{7Yr z7ag9Cb?q4)3yFZvm{=v1@A0S)%w_Q&_zD3>Yl&tSz>}}yiz#2)69xocz_u|;dKw*J zUx5mD1D;6i_2OvhdQI&`WB3{`r3i(iF>8@Ar%A^lq)`}0)6U@RpE~lb!|bxh&g<&Y zs~4Zh4BZ--$YpSit|lJ95cvIP(-9{oEBrvM9=L~;2zz;W+&VNJuPhrM6f3_M# z#?0k(GupziVrLs@bj4X0A48)F<4;M!msA8BndWNY$3Sqp>N1}Q7Lw8#wU<6^&Yl5$ z2Nh@?;}w^x$EfwW(6r+fLyX(AuV2t?d#+Zpc$rIEP|W7}&9fY%Z{2g_FsSvd`&vZy zOJg}-qMgQfmYU?Z05-uKH$VMvLV>-=XAUKCKZ)4&fZSEr>o&)%Hp#_#OMa9gIUeAj-) zCEJCLI=7Cc+2o76LZ^h!a71%_^@Bm9Fzz0F$`5I8H{bEG6eR3(IlaI@Y@{jwNN;no zVQ=r|C)OP*+=DTfE8|Q%d&=0|sx)!!{d6r<_?p7|>+7`&xWe)qjfDUr!jb$$}BkwSS~e3o>eT5QOXgt`L5 zhs159-K}@^-A9Jj&L?-K?XBTf!zK{CzI|1@4Lnn9AuaZ_t++~%gAL<5L+`UD%7j1U zu5E8tMn13)iM>4as=CEwwWtSGtmaK=^C)V{8`@7=Zsl{Pc)A~r^PsnUFty@G>$v6C z)Fr0+(YU~La#FF;9*ngOk$L3mCc-Q=|3!M^4a+-=fIyMuFIR>Y^apea0gL>IqQG|# z@fSiTG(D*CMXjm*35EB0!zOn@w2mi=2kPs?R^^Y|LkB(Q*8_<#eND)7a*S^Q;+;QZ z-*`O;qfM4UE^d{M9 zt7t73g~sW;r1Gcz<9RzhvzHj2HZ)_c)J-@-_$kZwi9dl;S3zZQkHPYJOG1P|r*3d; zhqGmrVTUTe^H1(2&MvvMCC-I)0f_EQPuAeOZw_~pEam9Fq;1FTYl2K`4JyxXE_IW* zUBZtjT^w^?S!LG+kiniDjC;;C-{f6bmpi&EDs#KpdfR=b9evkF{MXm-G=!6}_$u&A zt7!7)4hSrcH0KAw_(YG}cnvI7w|gb*;~SHHl~1nb&6C&1+LLjgSC6{nAl>N@nOH}% zb#?bsrzZ~tKZiPvRmk-%BUtB+d5-Z|lz4yYS z|L76@(f2#JKNP?z-Kfqge0BCbw5*!_0Y3x6CCc5z#%n}M%&?#y+F&_|onsUh?^QNl z8L~`x6h@q)>^ z^pPFu@-*x|js6GRIc_B7Z_Qq_8>M*AGP)=qo%h|&w4WIXK}o}J@rXFfyx9)EGX2}_ zW!cf|xc2O!G%aSM_FjGKu>A7XbPsrjwLwtTg(2scY??^Q_aM}{1@o6!S-RJTpKL%Y z7^(U0060z*j+`i<(en}NDj^beK0j!?&^l=Ic5uGBG<=!RS}eTB>gp|(7MW1r(xJ;B zoaRtMHMo^=R1{0=-U%uMT~^z3`IBib_{4tX@;?CEM-R;%Y|7Y_R!PFrapaZas*lC|Nxm9cm9&D? zTqu-OAa*lpriIRrwiT_T;3S4S&;&VzVHVsuw%pkv5I6Scc$MiXuRYm%N^;d6WQle* zAm!>2l*u0#TN^0gkI6IYtXW0PoHdD^sy8w*H!&g4qIY>Mx6jqF@ERPw;nK_rU90qG z1D^|Y*^djN_so5^de4-1u^C>SzukZEug=b#Na)o^>37P=PGQsHcSXE>rCmOP(t2se zP5Y*b$TDLLq#1{z2a3|Wiw}2JnYZa$rTFSVh4{-)AN|wC87EEqvLR-&pFf;OOI?Yb zoJL7MVn~43Z1>k?@NWYrJKc{*NI;Be01F}Z`d@|3_{B&q`tSZZY5n)-5|EPaGBYZPGov#MGbK}&ilIK zlhT8i0$x|3lMB=gM#>TzUUR(3x|(+)XUe2+ia0lWFRE`)!DuQh8^i0(puaSOg<392 zM=db+bu7`J`&XON&PuPY-o2SoG>JH8Dv9Fne`48Lby^v}dOU-{Si)_j*oIgIQ>g5J zDM($d(W~a};_XjhAK^ua6`S@o^wycWFXPDU@lg_Tdb(_T6(xXgkaj_CLm@w7N~)F) zoqX|56ep^Kwe>Cd{L21ARv+}qHZ;(AdU_wT;^l9NncerPZV$kN$bdtxZqIM z?8?yt0dAko72D0adh0nxU)-hb&gDO<(BjUMX_L-?Rh!lhOu>O%bAV;6w=NT}aX{@i z{gZQx9l6%(#`wMQFt5dam$hc5`9;vt(8a!{wv0ntGr2O~?}eLlrjvyVT`aA()wN%(1< zR_<&sYSW^6WV4)CyuCbaAVcGG;%;E z?$8{yzR2UAnwQNaJZvoBLf6qC19sPZrqwoNXCt)pqK$G@=(F4RPerbNwN6!XubJAM zzj1Nu9dpTJ{(Sm@sLbwtGgayQRvYrs-{_;Uk8F0i!bu&0StXSIB*TytI$JgAOo#}) zt=gQ-Ri4HO+m!^@fm%vB;~(^oVcp-Nx8gcJD;1DVz$j1rQLQbR`!*^O;!9dcCyl4g zC_jzH7toBzM3)ZDhPXlI$cE#ax96i)L;AIbnyfnN+gKibc?^G$+Uv!z7$2t@Ki)F0 zNi)uI^HRM$ac2Lqb@7Kf8~-=lD3-wO&r?$$BP!>K#YC49(~t2OptlW2;v*;j6Nwh!@ONY2}<80&QMas}r6{v`SgS zXQ>8fUz0Ok8;w`>j6YN@xiwCrBwsALeJ#GTKp<7{ej=fvx@BIZOoe#W2*(dRIL8su z+l*pmYJ&Q)laW_U;L7a*!>@nG64+rs9=x7`qIWZQMYaj?+%M7vV}L#e+pFzzi!%<> zP!sBQ2-~c?23yp<;g^w;oOH5crYQ&zG@r(1rdOXOW-T+PE!PMYjj@{D5$E-c))ei| zKL+ts?pvBte(wG2wVH1=mBlNSQngfNFWLf;HL%>Y97BHuFYBG9PB5E97pTfq@1`jJ z(>uGv?&H_maZHOb7Mf|b77`|xC&siYwKo>#)gb}HIZ?QSTIqW3ZgRJohpNGS z=@RIsaEmWctK>XuysqkwN{_(fzB9Wgg8x)FS?Zx@{HedMna`d{{oLKg8vQh)r1 z51h_s>{VWRcb3_2Mh{qo5eIK*QJ2K|el$n6%%32h)W+z=X)|(9mo?jsj_Fl_dK8oD zltYU@8atim6ny+w2tWFjq9Nh@8Oc8~PusPhqaXYwgW#Tya!|it!u;L>Qu_;3TA<#I zYrn5;Bh5$!q9=O7@$8^a5=f~^9+bdR=?PyyUd9y@mPpp>(fLSN5NbkjN*f2;4LXhe*V45A*2GPEm%v0=_hX!5rlgRpVbQZt>3&li*5dUyUp-%Nwd7L zP=h>w^=ZuaJqlSwro; zo8CCPi|tnzxM69-BGWg@*<5p!-UC9`Tn%ftI7Dcw2 z(Gk|`3lMkk^grPEzENm*@H|P5(tbYiqt38k?C??{mYcB(CjLe4=1^mhDzcH+tm;-L zCzv-!(x9>xT7%$Nk$!g7S!>8a_cOQ5h0b!4Q1v|5tz>?dr6U-(`gq;lQp7S_X_)q) zeZEiSZ#}LX(dX2wL_rbtTyq$ObbC6fd&hRNe1CHV7kT!;(g~g8S7?rmgKo+M)^$Kq z{trvn9mrPy^=+-$s!^l%p0%kMtyNo5#NKKXGllsV#Pm7_n*-tM+VdF;awD z^~Upi|4!~r?)|Rw8Rwix-Dxj7(B<{jd2uj(l@p}E_v$e@hw+s9ypzb3{nhBDZpxhE zfCuREGIT$`+~dT8zodG@x7~bF{Pfv;Vvim(WFsQUse6IEG@VNQ(#0Y^{UGe0_NptA2m)7Y^ZV4$^#IIc;{9Z(g8eIs5Z&nR5*_y3GmD za{E~RljrN55M(aFwdno7VF1+q@-8{$_=4H`(`1KT8*%DNEn01%Ua1=^I<0f%nY8BCE9rIOvYK|@N)&1lZ zm%0w)jfS7(Z4%=+JUsV}AA~}p%8J7k5S7EDD<+zFVFBxSt)weMoWk|wKXU9}$8|p& z_7O$%yMiiTba)9sc}|+ zl=9UB+J!XZ$@|;3L|UKfDLedGit?2>G&*eS87^NtnHswqS>N96e%=0*@@ufNAe+!k zU#R8bcj8pBi12RTg)WXKZZ!qG-EBsm2E6b&?@X_xtLI_~9id_gB>=7E7hGR~L3CVe zCrM`Tu9a)w7PI?Xs}P~e6zqwEab4qcu|d=27`-L9{^$I)h8|$B9GaU>jkm@%-MY9z zluMc|c+H|dKX2=^VPAf<%RvUHuCD#bW8YzF>-4FS(8Ybbz})gMXI7{puE^_xuQJ6z zY|d&_=iSZFv$fl!2HLfwV|W1iWq(1oo#zjo*DEP6xY`GQi&CQe;kE_YZBEB>Vx~2* zT?!Dj?8Tp(ZFz3`8d_zG-hzGCN4-REsqd}3CIQg{Y{^3&6@8qcVRQmT#{+gbZ=3G* z1A%q4)H0ejHW%+62L=1#s~*C2l9{H8IlY_YlO)zew=Uo&;~qF3YXG!ymyze#JmDGM zwP-)>54sWQ4^H?VV6fw^8h@)3Vcd1P$|?^z60k+<;k}r1UL5LIbm`s!Ts`pXrE$Pl z&y(pEXtTcXn~h$K8HFJfwp>?zL4k`#z6BmJmyE86^WSr~U3QN_ z$nj_&tEzk9vl%`c!UB}m-%h^In8AwHrY|1TGtuENSLZ9e__Pg#Rt%HN>4oS`W0oGO zMTjI9y;BT(C-@tdA)*$^41`t>gVQ`?1|M@Y__eGual|M8y;5WS>{L6J?EhsTc>110 z`|n9E((2mp3opq#&f3tEbVd>RHGwSN_P87 z1bUlM*+Oj%Z9<&@n0eD%RM1-XM$5=lfPGkAE}Np3mE_Ztp3y0>L*~cW>(c_>F3xp_ zA-XhWs6332DcvI_@_9{tSwrOF$z4J0GUjiZG9v8%bV?7BOX~@EJlx7wvi&6SDxVwv zYs=+`!yh-#gy!?ZXYlKBM}&r_OjDOX-kL4-cr)3*eR%9^;Z#P?m6TOj0FKw)Fbx`c zHiM@^dY9DBGF|6C7sRUl=!F?YfHi0jlUndoH6G2>ymIE3UTWKAiOMA2Zo|u6WOe8q zOvK*g)KN5#SmqxYD?Z`41a6A2Klb-^FbH2xBN7uIFJ0`K%D^@j>)VLRk-n)>d&J@i zKiKzO@#E)jzCWasijnsk(lf?xUm00f#@9E-C%P>x;&l%WU&?$WuQ!0(ZtH|=A<>UK zI!2DbD@J4vIr)_9p{5W21)k@E4Fx^k1%b{SHx>-Z})qAJU6b9cgZ2 zf3Q7q?_w+M=~Q%)BCpmhvdDQiY)i+Bx2`X!Bh~34C#hGhObVm$IuN@gcrv|M>yXS{ z^$H9;TBGHU-#&h5i3>ji+xUf{2>{zWt&5iVoLjf{>_sm9${ejPI>=yJr_5gh~b zrwoyAOPzoGpxKH4kvR>3J?gL{=`!vygS+auLS4}-$2UQJKQ$@Kl`mMI|F>whmA!`N zTswm0{-_?mVuaknGY@10dr4Pc*&VZZR>Q-3wwtYW-3 z@0PqzijUuY9K(l_tVwy~*9p&J?=NQ1q;c+&JFH0fxA@1H!f=ks690k?>Z*9B_I+4m zHfCAFt37mguS(%hPlLx%HoHAvHRTaIxxCk9e$Oe-S?zsB6lllTw9RRbv&0F=xdKi5 zM*Rt2=aH0}Hnk0*^8Okry5 zRDW2%7%>B3^vEn8b0n}}4q5f_+k?{(F-`9W+KPNR0_44oW9qgTRHkyI)!U=~`bMLL zeDv-lk8IyZZHEA%+f2G`LlYVIlQh9+lY zfD146Z4HIb1(!Jk2e~X>NnPCpXuSAz6E^V7fc6%>>sdd?j}Abz<5~NPW1Ysutuq$l z&}QHJ%h@;8_XqVVmYp#)mB1{-KFL3 zN3Z^S_tO)q3hTlg0{bXR_AB zCFdTb=ve8-7HL<4al-4X)-c9pf+O&p`EewkG^?TnNU0ZlI>p?p# z>%$_Qyu{liz*zz2!}Dy?0u@F-V3}3Mb(&@fvyouLPl8UZ!RuWH1W50bs;LoB6*NDhZ2go01@G~~a(xXQ3}nITi8bFDR1;;!(VOsbQ8#Vu_}&pD9g!gd$q zlfEQc%8H`2dc)lm=TDdf)kA6q(gG$@G&7hCSvWgQ@H>%#iAF@ta*K@WVK34U8?eyq z!;pm9hnJ=@{*460P7j6;n6AQZO4prLc3s~|`TfBB;{V=THj*+mt3C`j71|yOr*@S^ z`SFgccV7tJ{iGSi;Kw?^Du`Ji39mgrh4xRaQDR>B!#NlJ*;}n@qx+gwU!M_oYJpt5 z9dLwr8gZdcdPsvGt)yD_GgkdKlS1Mo2!rg~+U&L&n&q_-VZ8q!NpLCUtmxo(R1QGL=;H~kwoHGmu$~6I?=Ai!XT<~WKng$EW5vD4dXV6- zuZsX@$4#?1VW#lAxxU?xfo@6Lr$ynY5t%{(&buv4 zCb2STw^E`vk*r~2x$=p7!-_^SX(ASW7hTQ3w_JO&_$q50bOQij_iv&h3Bx^GfErvS75xt8e6Q zrHy^prWAsOrSa7ULp@pJzg)Czg3*Dp5(Lbw)Vgmi<1e`3yeE#kpVfxLn6G^?vxw(g zm1x;-0bhs8#-DlG_x3Jt<-`y^NAp!MpG07TtH6`Xb1`ikKm<#gCi6ErOnyV^ zy&{QJ-WdZ-G;he|)QFS8{ZN;L4PO@-mV1Hn`mm(7sm({`Eyx_CG`m@_Mqcwe0(86v z{v$tbW2->86{ zPVQ$Z9ncR#suAZQ7^F#ZsHKm zjC9ACyRfVkPR>;qs_94=YL#??Z3@M{s3H1Ae_{#_{mVw9P(2u60CuQ7B+6CuGn9Y! zF9CZFGP0h8jCs6wW7ho>zTrfp);XqBb$1RfclBHo8yVoVahf=V89)CLQLTb6zaQc- zM)zroHAuj8+JBj7_~&L6BUNBf@tdk-{P=2-!O@{TY6a_y*w^DA(o|YU!G77Syg$Fr z;sQAcm5`LJ*ymz9!DUPW%RgIyb@U zcTMZyEiaZ3_?Lm_k$)vV(|KfVw0zla^*6X>2ye!D8ex7oR5V2yh=1c7pNp#4t6o^%YmUJ>sEnA3&C()NZsi)$cx{=#mhFr7?A#^q?OMMxbC89MWn`kBiKAIe(HD=m*K#;{& zfNM>^z)QkTd?FiaZB@`33S=3YdpwHg-s5#LI$*9k*inJ^98ImpWix|6cF|(t_j1Ia zs4WLPcPlA`i=Md``7|xR>^}i}R&o9S1 zEBXfN(mG?|4IjZm<>YR*P`%Bzqq5@J>Hyy=ktLPOh@Zg{9%GX_pcOjQ46%qu;>v7B zQ^rQ5R}v!yz(aQYDyFF0(k87J-|H}G2)s7yW&gdUBHTIT>RG(^T|CI2KQp%4yC89i zC@Q}xG#w`DMB*~v42!dn=l?V^rAw-BP{#fW z5QrkUXmCSBQW+b8ITQEkF$B@`l#Jb)WlRqrza4j-c2_+8gpNR5itJaq`(-Kwr{-|H zC>#%co3#S5gG3COgNJ^E@J{I2D`;_?ro*m#I7(j*)D8-%el5GaA4m#6?F@qpJowLtG(TQJL-eJ&C^o+sTGny6aiiE678K9`X))@= zfY#`%2xqsQ^X*?_3POq}i?33T!y*;v>;`)^CLlSM%kj!HKJk&|3WLGWflKXyWYp*i zUqw9g0twPg8MI6SAQwfz(AjwB9QHchkXI}9m(68CaRUn#Lhu%nuk^I9#&>i@+LJUjY#xZ`bK*ZhP|^u*l#B!jz-+9YkGC5YCs zc$9tFD-M`Jn!%)i)|a-Y2>LEoB9zbE>wtR0zLH1 zzwm;PLr;<8xO)!!J5Mq=cXdg%uyDaT{j)9`gG~j_=0=x*oqzkMe0@rQFpNqXsO>3b z?*=BR#WLq6t>>HNoli1iNy##Zb5H!6z}uBt5zV7QtHr4XB+!HDPDAX@IwbN~hgiTL z$7mp9l`XK}fC`-~T~H%}7HE$3YbBS$ZU|^|sI) zVJQ(8^To!R0kNAE@YtEC{w- z>UfzOAuTEF`)qhvU>M7M2qU~huIPnsxh9)@tcv*?8F_lK8dl#$?o2`T(dCVX7J8@W z;-4Wh@N>q=r-4+CD>U-_ENx(=$!BMHF{e6=!vmS2#tu&P4Z+~;HhV~~XS}s=&@bz4 z#shA&RV$x9qK`|6x`Hv$3*rGOoT#ow6W=I1FFHA8m%Qgqrxr(k{;+l?x~?R{2IsXD z7?aTf<@&$`j}P%%JL$dAFXQOjkh?c}U!dP%FDV$*a?}LddG1wJ(F_0D z)i^Ag{gV@Wq*J>Y#P~=H+TWuc*R0IWjWSJ~J1Uufl)MT!)`wL#dBqI|J;iX(H?kz> z3GLdSVVuGRUSp($o;ac{t^=LL2tOM#bGdstDb@0el>BOXVT~6GD%CrPWKK=Cw3qkU6J&7S>h|*FYciM z!wv@U%zdTqdMC4&cL?fA-;5z<)&qZy3ofBzRVv)nmVbKa8itQ95o0U;L8CMJcD?xv zT&IO{$x#}DbwoEQUhvQAH0?YM#QY7bvx;8%2Til6N6S5}NZ5b`Q?S!df zhpDb~VuzufpbbGuxgN%!&4>R~X6a-4rki{`i__`){19}*QTBW9b^{=&Ws>DrNV+!4 z^r_Rs&@H2l3V*Hes(aKsX*=qU2s;9`Lrj?tC?waQyKs}~q_pn9n{yU4BoI)QZH`<# zt!$xe8bSXRA|`jq&^XV=98~|=>UeXVc>>$IViJ%Wy{QTC1r(t}4G+Rbba{#1JpE*Nm7T!wIV59eJENjpQg6o{EPD<~Ji z>lPr;fXvK3U&01`i3T!cZbokyTyKWN6;j;WSLl4(>E!&EuL0Uf|JG@}P}doI!H-** zQ<-XtlNn5Ou0^O{Nkr4qT{79H1*kE4zvUdKx*K`0^d4)1TK6D-T4q}WlaLQ{;8pqWM08Jgb? z6F-dU(_?b%U)VRhl>(`poRu{|UD;AiuD@HNq|2`UB^u_dI&&N1 zU3&g{U*KhTE0(|=ko|4WFAZ~E)^UKi6=kR@HLZD$u2Q0JS?k`e=Dp-W>i3>KK=Lz2YG zuWtNoOB8M^k1}RQ1vpb20gtGGT~*kM$4*h{n^|mPcMpV-V@K5sjV)ciiMlm$c=At4 z+R397?loA%O7s@yk{IG3IY^EIHccvLxkb%fv+{2P`SQ8%o)%lKB$Qw* zxP73-X{27z3FvzY7#_gt6BwBJM_31ABrNbVjG~>bOOER~!QsmX0~alKuhBWtfBB)> zPp=2Syf359pP~ycfao*8FkZ-iZ_vVF`FiA6NYD94*xI=5Q7kZ!3OLJXtA82Kj(S%c zA~~Sh&b@u7YsjOV*iq1jb~7Q^A+&F2lQ);AJ-BDO0Qa+-Nn}TjV?SY3o$2Vcqm5jQ zBHze${uTM(RmJQmDUKho^G~oIyIe=n&rFoViH>#L((YbC>WP^0hgrX}Rc0))Mj}7I z!^G5JnnD^K-*LT%%PI~z65S)4SE@5(eE_$d{2uJ15GP_99(o3@2-dw#_6gExPj@3h z4`7i|EKr&j7%tY0|2~`wcy%p*LEPp_? z2U^@Ns0sd+JLr8UdV1&u?tgr^^{xc(_C!4Ye2i1J;cd|(VKsaD#+eZv?NJ`h_{p06 z2>w6c+Vt&l$~T>x8R`_7M!GXt&Qx+-(7^vqF8v7+pzm7FzT+ST+hvfgo`ikPnd?lg z={bz?Z_kepCr(VvHAQ%%9c4J5ugBZdag{8Q_K4k0fvP5w8l5@_ljDWQrQF`B@?t^L zm1Obv&_)d~q}=i$gD-Ab=;f<4<0=&HgC_w9OY8juFM;?_dC1dcs}2lv9RkxixKUYq zUi7~B&7q7j@&X1wO32=GCCe2E5vGv$bD14?k#o^&>tyivF&$^>`VsJHSe+m|-K z`c>ky_a2&=qH6ocBWCamc9jtqRn zVnb>`+&LwboS92!^BEpRs z8K&riDE+h{rZCIZVC4BQ2oWA9n>Q|~Gn|nx*w1FzUq2XSn{!lOH}Xw+44;hW0{f*# zeTN;r)o4kidtlVR(`BRD;{UGwjJ-BSj%7kmPjC$p8_##IzcNBdraC=F+DpS<(n4a8#SW?CCl|gX6Y!5S^1K!5k#7LM|6+JM8s44 z)@9?;5|E@&VGU#4AG6K~@Mu~MPCUx`F;0X( z2w=P6dH$Lsur-kWB1+lAEycz$7FEe{0{;B)qA<>0Vk^(kK1|JB&1xp+)~-94%`7ilca~^J&z}A8S^@(!e_y9fA~jj`uG^xxwJ~OU1;U>erJx zx0B?H=E;05Sz&8^K2hq8HSw$ap;mpbUS5*w#1JXo@a&e+Zs|({0d?rNEzs+-mkC9) zL@_@ig&o)^H*#ox4WNuR+!nV!EfI%5P@PY!_b3ChsM9#&*;cNU7*y@nE=ePg24n)` zbbgmD@qI{sKO!0TRgW}Z#Z%A!D|(|_Vp%?uJ!>%d+n19=DXd9RjG&10S!i7xHBkM> zK;0tWK+P4HMDNiGd7^;~whF&KT+CtlWnxr{Re!*F2BClSZk^Id5`uk=Dju{(?#CZ! z`+*ug&EkJX@Gsb!Qr;97Knk<_U_k~<%1+(ERm^lzt@^1)1}^&7di6m7?()g?q2K)Z z^68tKA`GLQWyMftd0cjJCkLt4>}J4`aP?c5A+p3W4G8tJyv0INEcdwvpeF?FHzX>_t=8|L?eD!V>eUH`j4+??%npuR7K(oJ@^Nb?P;z_XQEEW1(>8UCCM@CA>^8%wUV3!gI%#$NTGYUmq~g!-c? zACx@FNMF&RjRzyA`>`#Op(>2)zUFsGvYp`sKuQrIotN%6aS^g+`{WF(Ki-1=;4-Yp z!!~b@kEgSOBWloIvPL8!Lf9@r4Osx|o>p_{@1zD%Ai+I;%7+%3$5_i~E9(XB#PjI~ zpTx2X`1+@I(hl*#vRTL4e-2t2e7V;fHAhw~EzJ}b6@Y+;U(piJS>M0N zc(OfL2}lrypUqu9Nvi?;#F{xe9w3+$1hS>6-E-?DGx)Co$v? z(MbyqWhCzY*+x|iCUhft4}&yS>ru zzXmBI__!z}5*=xUtLNaJXRuW|U`cN3`Nna>S#fO`6xQ(wIQFc|Sx{9K-T5R_p)You!wq-ch3Z=H{exO3QlJl zFQF}wi5Ug*^5Iw|`JPXm{lM4jHTumh#<|hP82#d_S!G|_H5=Z_W1WylBiTh9LK!Xo zZJi!J7kg9us=zZ^Ch+@bsN_ZNrI?QQWlf@%0Lqu{$)Q?`%7OC4{3GrIWtr;bNnTP94N~($?-|N`MP!EqN5Kde zJ^iP;C_{R9!4PXMs1a@oG5*=a^xdP}^G>E(uzR`u6ya=Je*THGzuvo0=#!CB$%0^# zU#q3%9)!E8y`(J;ry2F8GNrs=Yu;6K!}an}I!@5;;`a}K*Z$+XO?0AYM@+m#G1x8V za;yj^=u*eD*AZtcvfptJ6hCkA^p;NCvhqiw1|{jsj~LH*O=BIH_msWnzC3(aelr3WjU%PjtJWAhz1bGFz^{=jmZ#PXIO%#$ zM1n(zW;z-0QAk}hE@Y*dh)uoY-=)w*fGsHt8XM-dNx1z+y}qUAFLrFiXyvO0W?l-E z)A-Mf*3J+l5r9Ma?Qg@ZO=VIU^t{;t7xGJLIU}ze`5cYIu96(Grs{fk>r(yhYufJu zMV<3ju@}RrA)KJj@j;;dX{Rp&Yf)k73vIWOjeEqDenrD<1c4poeCUhxcXgZ+==tdv zK`;s^Z3%NXQ!$nHs7=J}ExeDX6ScJQ#Z=I;H#%4F#&Gg+jo+hEYMDjmpdfa7@6_o> ze{DM6W>Y5I7{Y{guWvCHGh+#RtwrBVUt%!{kqttR7G&f$seWdF2Tf?GqW+|Kigaa)ha^Ux zys%QCgfheNWxlGI8j1_wjSx31agwCw=v_3Y30=PvKR~Gu6XdG*s%lQZ@AwUE z%}~!YGp`XTEMu+iV>H;J&0@&C`uTS+aO!RQyv~e8hRaw!-E3Vht2=vgezK?^lf!q+ zR#>GEGe&y9s}ZLrYNS~8CGvf5ba+ZkixJAYd8bP!_Fn}5jUIo6f_bl*|7n)(N5ZF; z27aB)LBGtBP~U#jiFc%T8MkLR}S+=aRCk>SoVs4*EXf)$|Xxl;d5;t~)%(A=PYqYLBas zdbMW)FCY^)X^%nj{%39wXR0NsIWT8XY&GQ~?EZq0GEI6RkJbUL{v|R=S)-I~?mT=e zy>{S9@;9X4t`N>UC2VjMS1;3Fr|d~|N)_61M2DsbjsWipD>2H%gYk2QAnU1wX9a=J zHqQOjK5@XMoJP`3(%hP@m|q=Dvi><=#0!1sJxluXQA6!tV$$vUG*fx?Gp!Ke zsOF>!<2r++xu`a$x?HY0#4VtyX^uZTHE?~!A*Brwf~^%geOljcxc*2+fG($aHlB@m zSVkmfb*_9whq|=0%$W&mL2HG-{NyuJ_8$|Kh4Y4wh}qDitk6dMd$<1w6p$ZemVT1k ziJ2m6nx1ZND5^l&BRmy>m%_DG6mMbR24IVDU>6q`#Pss3gD{NBe%_fBLV>0@)c$MM-MFo4|x&%^mmc?@8j3_hV9@{F=AL1=iiQ29O@ zUd5Ct^Y_ZtX&+VVbMLDS4WVfle!RA&FawU^+QCTArO1#C?iMbzZZA^3SlHyJX}Qfm zfDq?_RHF;Nhb$;9qIK$n3`nG5H{A6CW9ExfXfb6EKPncPj(vrubbs9eFM5^4F)Zi- zS_w(j!5znn?)02z*@M9!2U`Ao%rg$jOn_EwC6Y!!ko&d>FD-0V5r(M9I$fNJ>4;pk zu7P!oo&cf{8HLX#z4ZB{x&PfJS(7_`*HwEyNk+d$qlQfGQRp-Hjit~*AD@=M>=n-@ zi1Tn?X0_D}TD=d^(%B65hj?o~SwuDEo>=m3%kgf7zK{sNuR5@#K)n6UmrELsg*}v; zp&jtLlgvk^Sg^5>atRoLh!ng;6xU5_ZGmHWmIjaT`@1;pX5yZ4uw3E z^S8K>ZShgporY{*RY8xu>{hp41G5Eb=RwP!mPlX3kg)2^jRJAfA=@_swMCo))tw|h z8uXoRubF|lf)?X)CiR7Zr|npphjXtVH$ZOzJ>=q8Y+_rmdqRv|+oxrol24daPYfM) z&HFB8E`>-%A8aM2Mm+wJ1IGPjf8*cRvM&7a zBP_Y7(!)nVhsgvkDd4>!+#;l?rk4ZFcx~De8kLmhEc)5rKga393Bm;N8m9EUo+#a| zTY6f%3Ol0S4fgnqI6zX8O)SEW%AfTFdNL_&;#(|A5n5EU%u1lvv7JD^qblOn_>$iX zPi;5-M3onjp?9`F&>%%)PsZ$= zUw>l$#?Q9;0oNrIT|M}y`W|s|c0AISFjlNs5w^~cvbmAJg)OW{)bOHM9Jn^~o zAL57%{tjUF=0$fJieh={`7Z*RTh7q`ux5qmt!64Uj@O7*%Sm#~>nOFFj#4xDG!tu> ziSoCQ)n)Pr=E-GM0W1(h(8wggNAXtw%7osedI=l@cW z^@LiLY;GW--5SgBu6K5^cSHl$2kc=ofYYIcA4nwze@?VLrQ(>icq+76tR7lWq|1@ZCc`2Us(bv2#d2&1+QhNIX-$++<$CT3}pF5-UO3#8D|r0560KwH`uJ5cScs-z z4}`-yQ4(|dKT)q6Yu?gi9kFq7$ru%W#ntNwk?P~P`ZVZzh=|?ky6g<|M-YyHQ(3C zw+XAnT|MO(h5ua6-YVT5cSb$b;Tj+2r6nzn*H?1roi$Si&C|~SE0+y7jnoQSD}zd6 zTeRXan`a!=SBi<9>-P6|4R2%%Qtw3)ds})+t;cL$Bor@FZWy3<6*vVIO+v<`8=La} zkpw2xN@kX;gS-?c|HD<-b-hUps#vDLCTZ%p&eDa*=L=R^EPfRdT17UYzQrA2Dpn~> zv0UX?AR?Oykhv84H!Q0>VNbMzWjmw;>V;9XAT-f5ZaI=$?d6bT>1c8ZB&spTs!FH0 zEnPWBYFHuZ)W&CRRQIh2@{@|;n(tRdm)Rll>BCs!PE_gfghAP`TCW zuy3Gp&}d*8NHb**ZdEw+G&%Csj^8}EER`H~h3H!9wIae(2ZVmO80TGSlRz534hJGC zo^ZAT7W@(9^B!7+M!K&hLYVY6oq4$5oXKrC@vD@DdFA;cj$?YWNF?P}dsKch=I$|+ zcq7i@9|=mNnj;i;u03M!)%CgfpEWDY#p?j2Ft|JU!o`%Qa*R)%ZO+O-QL7Z^xE88I z&y@KLs1?)g?IPZbKke7E>tJyXdcSwpHIA?8XI3|1tC^C+F(%iOk%-%9msmYWUm7j+ zb2u=nWSBloV2e!3mYX5wo~`8r5<#CYCyfu-WQcI6kV^VIwX5;s08J#iyYXU~*_^*J zJhc&1N~wZnZ%nPb)E{$=~k zevolM`Pe=8nf?hWy|Yynb(X=?i(G&5JUz(Ec`Tid|bt|A47k>_rL6F@rS-1s;$`H)~@}JZ$eTGHH|kGg3$Q z7BlXc8QL%hMTiW(-oedN81>l8Db?OP*$wlJm@~#{do|pQy!F?>@;#hWt1vxDvVZT) zfoAZ=?3nMNa(S~nQtzh7G0(%=L(jxTRdCT#wa*ccd82r#d6SmlCET({n36E)uV_%z zc~o%EGoTZ}@QK4s#z2e57eSiuKcRd8o$tlpr%i)`hs!*!-_Y zS*6`WvRe4f*gT6{$Ug%?CtQ;8jhgJwKrN7XxuMu9ZM`?WgOKxL(vZjFSGRDYdFimS z5U*y*%*l?*Tk~PX>Gmm7`)Y|v<5*r$JS>GbxuWg6x8Y&HOSOp23XCqLamS+_v!d#n z@5?w_oraM{6r_o7?EnAR%&WFx#G!mlB-jTd#-5rRjV{BQ5v!OSsxT~UvmytWU_DH( zubVFNHUy#+(?WZ_aUp*=7)Xa_`owNBgrnndf+Bj_USn;%Snpcnn20Uy2uq6%qKrj+ z+@*JJOXjdCbQn@Vv0U${Kh-bIf;5O|-cU)0Ve?IqEOo3gFEz2%aNsRV`x=hZY;I>I z*g%_8-T0BqsDr$j!;R0IFuQi$yv))P^4tD!mI^x_hnuJtTmy|&yLPkeuo_`f3DRA2 z*(@;wR$N5)%A>!EpZp1%`3_P;2Xyl^XDm}79Xcl;3M&w-tGi7d_oA${z!1~7hxrh^ z;C824t)`CZ5O3b7d!v9kJ5G_P!mgQ(Qi}Uyb$7l$6F1rvrZ==Gg3e!^ZofGvr{_QR zXJ9g#wvvbZapQ$XgyxN98xCW?r3@6XoT+A{|GcKvT%0fS!FriTo!nDBr=%p~EJzfV zi4Q@FHwMdI%z$G7T^VplHc@NQG!IKDW=9p?%%@WK z?B%MDsvQv)H`m*`Sb%8wydeUYeb4VZ)Qgr;-7N2&;jTebk9(3bjmzWhkRs?21Bbf8 zKgFlcOt*5vXd5ECY=JZ}<{XTo8@SnY}BGTFDA%WKy%_h`I zH;};LI!stv;5qG@^Rx2*OrS*n4qF7V>;J5KQ6Nm@A;H*R5+_xQH0(Cv6KQm`H}q{Y zSaORGEP|^iDY)>1!JQcW6#14)WFf=RKvu-)OuQSCNkp%QCF?2{DrE+qelw*==R6Zt zJz-TwK&Q7m_`MuUF6WS_WF=#)w`R$HJzo8T)8?RB_5AsIXvjwB5v9CV--EQ7)^uN~!eEdnfCi1*5F{fIH3IhqDxne7l6gWCP$^VylNgf!2L1FhLTxT+}r<85D zt86Cs=>mDDZ89~B`TQB}v1MeMF18<^MAYuSX9`+!)9^IzhOJsj5?c7CAIIdl?N(kW zsv|D*78e79TNmX#bc)Y3bu8vAz@ot?83mz!k}+B9HyuXw-M5#U{&6+!=F4Dm`5iTha-Xk8Q&ikWsj;j&q~+V z;$rU!d!}L6!6)Y3rfa$)6PWH(^~b$wlR7a!KD*eLB1aqXASABpa#T*Fv0XuF)M*8r zxnyXgbieM@ZI!)tRmC`m7i;|pnK`FX>sS@@^a!g@1nJ~$hSe)7jVk<=w&V{~(0=|& zoi>+CYKsj|_DFG&w|~<0NwJxYL#f>p?oLwx8v3nAdlR6==ncXjYm~%qglyHyRkIWK z0VDFCf-FR_xpF1xnlbOQ_wcJ!%PB_1@x3}1mx<8Nx42}T4Hqo83}zb|vCbt?W6 zB|Kwi9ZhuW>GU1p?+nNVN0H%faY+*EX22s&c^$Z`eNccHt?%fK>i*R6Q*{9`@}2uI{9nV&IS11gc+CUO=VkQ={w?kGufMS zdbsG`KZ@E^bKs^-->8-)!b?|{`+5qcmmd_aZe)5)Hpr~Kc7+1qd74D(%Sw%_?o6(_ zI(2<~E4-#-GA5}$3g7}p4r%Vs&-rk3joC68hJUIIGE6m`M;{%r2ZG4I^+UTw3 zIDPn5hQy#;ebNz0BWO6W!%OEFBgKC`#@QW#fH~l#+K@(Vih>M;)0DxZYamB(FF@02vp* z#x_HG&VbR)2ro!w=;<`Gw_z2mY5Q@D0i%3Yf~wY88zg<4)5 z{}I=h@t^a4(nzm?6Wy?PC^B=u1cXlu>YGom+G2{w;M)#a_+~(^1$3IInu$#Y5v<}| z5*BDaKVPQa)Terb{%Em&{LUv3@-zOq`(0Iw+3+8X>8>4v>;le=bXY5+)%x4VS{1SL zM?5_Dmb_mMUNbXAzz3A5Ye`rG1H_yZh3V@Vy^p6mwG0UW`DEko1v%8dKrwL%p(@TLjw)@cMbE3T9XyVTQ_H0VbWY_0;O zWoF|$H18rki$nVUELDE#Y#&uHi^4a8JBGDA%lYOx3}_myfpjRp!%NcbAE^cVPWvrSSfp`dP$LPfrXUOJmRZ$bSA+WsPxtNEvS8cdoyUpAvmH-=qPOyX2^~d29tLQI>1j%1CuwED_{Ofi7F}3`mKI5Wv0Ty{%%wE-~7n znybY^@Re?d0iCKnK@#-zY|7F~_hV}#x7rWsBImT*{7M$o4AC%Y3q%9n4@Nt^u=l=) z1hHA{ic-P}*t{fgA^?p;+sIDE{t+te zW=?HXIiho5N(Qh#AtU%mognHOBS`!v*+TNCNXF4Q3%j%E)+{|LFYh{X&UTRHBWCKp z^{b=b*K4q}hnb7_?QPAUzC9{looJPDhVBFqGA66?!2XY=vkZ%>``Y*b0!pfcNUD^y zLwATscS|#b)Br+v2y)VC`TYq{Tl;hn3!XYWYuRf(yw(=4c8GY0YYtAQ2<9&7%iBEu`dAK=3u zncgUog<|U?1l~4|lp%>|b1N#<9)FySV`t}}C+qCNmovAO-`{GAdSSLDD@rXQAUjFw zNpRiGT1@Y0wCa9&oR|R*RiJe;3u?8v9T3jDe*u#f9ju-D%MPYljG!fCH}WS0SUUXi zL z2iRhUOBSZC$VwRygaJd#kfHV+wwG`WCrWw1;aSCb7K&C(36!{20|*N%I9W(Z1J0+m zG%q!39IL6lh99HjvTRv^*4$9c4*%F=C#vt9NNm7OgU(Q-CxX@H4oDNqieJp{?b!t| zNVucvOj1JGK8tWq7g)JPS4n=j+SU0$arXIdlak58Hs_iq6x^+P{@O9^lp_Gqlw&eO zdunuLL_T`Dclr#N`0PJ+&9|3)9XrBxJz;UwQQ8<1O4tpwKJ}<5NCo`rA)!mhDdx+D zBUc7gSc*%2kzP2Xc?p~au_N9q)&13JdPITn@5!90JZY@fRGWMLtcmH)8pX@wH}c_1 zOfgZvbukq9S)s~KU#4UfKH?v`2?@T!Fy0`&*WjfuIx%dbxsnz?Pdr2ez2)ytdMEd` zbM!IRW;WAn8YIq)%TT&c0W4V!!kDtGivJ%22Hn#X6}*n&KPJDVynHI$@ml8Ndmu>*3$(jHZ|Ts8ubjZF+YV` zrEC4`=n_?A^)TlC$33jnl3xaICY1VP@&~}tuwnp76*z0aL3cIkZ-ZU3jzXicgu|}0 zErd?&cdN$&tC8Q0guPeI!d$$$*l$UkH6@CvJFrz_#_M2$~iKN?}(8a~&^V(I88;%p5gReIW%j{8%^QV2eTlrFt5N4Od zb~JBNMZx@I*t{KOCPTpCMB}Mt_V=eUm#^Da5zq!sQ^`)iVLX+2XZ0(WIQ|`y^pIUU zXr5mudC`cl;-OrTN}Wb-hCk93j??1dZxoT<(3bXboXRlC;uEDa^@YJr^ktxGrAR8} zY`6-Afn!Zcx;S<|A9HWhR>X9r_;XX?K8Hn*)6p%riL21;;b-u4^0zHXtVwT_$BVzw z|kZo!>oDZW2JTnmwfs*Xtap z9ZIKO3H|1#m?>Pne7fK)$)Fbpv$Uns?$tj(n(M#| zAP!s90|8m%axPlG>(G6p&LpI)bLn1eem%IKydU`Nm$m)}-ba_~PxH1#G_9y>{XKuB zT>7i3NEEis9*;1qEFfvK3V33mrP)`Ly4Pdmt`9xShn-1>vvsr4wakc4i1Z#*(fk2k zBEklLd>EhhUY)WmcN=*AZJqe@)g#(bCeMsj;j0&&SVxy`;$(jXyENV2XFna-amfsV z$paO`0|Jvc9%^5Wx##aX6ENk4tbYu8jb^501l4G&s+@s4VX8;eLS4)wPW`MI->+Gm zCw*hKY?*fw*p!)Ozr=P2%9f2bPf-IhRso4n*5q0cJRm{o$1i^peZYqaB>wRy5Xyzy zE@rev{T-2T1u>~Pq`OMlXe@ENc5n0YAD3xHf4$b7G`mj2#$WhUSxlb8<>hAlR-z%W z8S{7H{ss4WRZOw7nDD8qO1+7flE|*#8Zp_IteMk(#LTQ=^KSRYgUrd+tH|sQ~4<%Gii!K z|MMI!e}G{#;y^j7Gqw$mo!7Gp($~WZ1UYUR7^iC{FWR0JJ( za2Pb0kyJ0mT|Myz44G2j?-el7g7h7M{kgAC%({;iqD z%QT`153<3$e7^uWOQ2(11iu~|7da~ioo|H|b{9M6DuC%hzL`8$+Baku#DK@H4`_Z? z-;8n|eEIVr-_#iV2D7c7=C!EuPE%A+Lq-jHWxD@8ljAJL-3@CAiGzR5U%hK8FbFFP z8K;d?k$mvMu}J;;AZvT+hrMzkrOL73-GxGT(la^h{hsgFXOFv7+uF%Xaj%r!>?`Qh z6E|ZgXHijjYb(|R)-#i`G&{uze{Y%6llQe3qYqz8Y$W(C&vS7#)6h_hWZX~ysgzGtbn)hjJwE zSri(E-A0%3H*B169-_$jgtl9G+*$oi|4v8Rddo#^O+Ku3VQiJ8#2^O?i&Wam%A;TG z@K1|i_JB4?XSYMQnAYP*eaczg{|X;>{j(aqjH5*_7j5jV!rF?UHg>^Dd0p`1uyZl+ z48iP{3#XiMz4*s&-SQhN=g72G=}}mEcM{TV0%b9cw%DGr*&RW@^uKXZ^mmzPolhsx z%kl`zPU1lVMdCVguW2nM*S2xdo1l)@j(v2l!h1cALLk{0ScCko06fF7WaC=eKxuzm zu#Ura44Q%ISzmI7@$Zrhjw)HxyEMHRfkgtY2ASND{Iv=&iexdJUGRl^KmOuoPBD^o zB$+bW;l7kCVCYlGTcOJS;O=Q1l)6+lZEZkA_Bf*(!3G=Sganuiyz-O?mIRAc^*~5}km{B6`jo-5FF2PkIP!tD$a6^j zIV7Mo&Bv5Ck2}IsX?0?co2xKsU0h3@_?(KC@L1H-RSn}v6ur&z3h+0}o8?mje&wu1 zUczny*J7LMO~L4z$vdGVqw2e_4MYVe(eB@ikw+*0_+x&`z37--9f1CmHSh zZ|~K3Ba1(yuzOF36pH`2C)WN?U-cP-8C6D1%hi*I3AV^|{(S+sdg%&7?_Is6LB!&c z-`Wx#QKxTSX|krMisPHyGxLJ1-!pcIt~~P93v{TA8pqjzb%94E&<{EyvW1*L-Yc3b zOlR9)%~RT&$u$Oq7lHmGTRUkQwq7r4bjj!~_O)~WV7?8u4pxAH5&s+XlF`NGN`Sfw z9f%m+_&^c*!V$0;Ioaz%bs*s)>-JgrHGL z4nl5w4Z(T2A7L}~O!4|dsDethCVQy*xL`e*54}TH)m>;0p;xDn-mZ`0t_yi4h+T)wD5n(!1P5| z$SsZc{H6X&O`Vg58LLu^no3-W{V z0!y%hK<>m^!?4iv+?gdom;=WpgW^iV!oVv5Kl|B}n$dq&e%Z709s}PqFUdwG&ZwH1 zUvF+6ClX6-PvYpnd7m9phG!HMI%>C8oPz{bpnXt-r=`^859<~<;!wVktzO zCvG8j{wf_l-L_QZO=Qi_x(rP^z^vHaDQZwYzbwdKRd|s22-P_SF$S#DBUe+H*T+rR zr|h2jPEAX*{&w?CCRs+WoNnfqA84`-nuBx}^-N%FT9tTR~nTS91oP9h-a zXiE)g!xa4vY&lUm{I?cpAY4oq5VyEtQOal(BLVEuJQJJ zwfafKeim4)oIjF?^m~sJiT?J@d8I#&kk3Ylx)wPSuXzMpU;EqYRyw|$XT46X5Ji-e%Ysuc=wKsjF+xqGozIgE-}P5zGpnOlNTmC_(+(jibBG3 zg-{UDzh~0wW*m4|Xq%&@V_j|R@bRj8P7@lK-ysU=Vm4(a6a&haaPa0LSzflJ$<97c`6Dv1f~ zygqBoOVsE;GbcnR+3$9>8P=0m3n}o5NScpE^XbWt(i0{FvSi~q{@9l0u@Um#LhcVEF9>EZb{!>GoOcle*+U05T!@nfMlWJOB25QOL@pkT-*I zF6m83K4RSW^YS-~D6_J8)V<;dzkB@vBCblzmMN3pe=h{AbbK}!L3^s83-UHuA=c@{ z*mUqT&HUEe;zUNKw9$fMP==V5*pww}8x!oWdV7_fzxdLgzfsZR_hG_SpC}AyC{Js- zx$n;0LL0WKMJtIL7JI+ykRzf(=l6So@4ZiAP2b?>V441jOjal`4soUdb z#Fc4cT1jp4yPWTG@bS|a#T0pd1kGuU_+WphtMNCsxLMOgTU@}A6j)vPJ=K1qu0mx- z`mz$gVfecOT}|TcXE)Z*QMSyD(&2ZRv#Zc?~RNb*t3#-q`H6crQF|4 z*a^2mU6QNQu^96{@)&T0Aq@pG0AGE&g5&A?0{Mz20WQZ8{J(xd5^Ve*vFhrzjaHk0 zYzG^a{9uhAm*zumwx+Q|Sud%;tgrwS}+(b`(b)F259pvz+^_mih9Y z;Hr;Vk5B%n^OH!UWg17m7>FrMa1idV-WmBktj-&tGJdRIT}eOR7^tY-CSu(!l%~uo zV94v&poNY3G{^os*J%@G{7V6$N@YMKuA5j*I|~yTgIoWLD3}%-Y{AF6$~xgXDk~Bl z+vDJ0e__B1lHSeCIw5yc!5DpdTkvep)m}C?j)42`exJS|zoG6~_P=B`!f!zfL53nA z<)HM8S@ww?-5m~ED!a)*h2H7=Q+Cx@5tisQK3%O*V$w``zG9S=z=4pa0a2KVSY|`A z7LQ535ouickLW`K=QO&8uanY+AHSG*VRlubSWfllp#YHsnq=50lQUz7+s|L}G8c1# z*IhTfS5g$OkImZ5BTI0GLBLS=*)?_nAO3T*_-?FGA)x+;s^7X5-Jz%V(OJ}-uo~r# z>0SVj3fr2Tvfs7~j7_H&rJ&XU|LDIr>wwdZZ9uNK&bKV@Kx!(AEKHZ~UAO3t1Zgdi zP$%C=*xRWjg%SdAKp)mcmNV&#{eL zE_p(uN4;(ds`G`TXJrS2wNMf?dz#2_>d$`gJF$Y{EEgsL!y)es-WiS^L|TtL)#ddN$Mu66ZnUH@+WhMCVnnhY%&5Bwcd^)kKsftX`U z(^SR`quw5aqk>oaga?gD*7_~4Tz@1Ax2^^Z)^g4#i?N-PJ;iVI$+k992@`JEFs^eP zy>uFEHCsN*txUw)G`FBT%e`hgwyYMx$q0;&DEyxFJ5zhr&Th)fx)2@FdoqL_C zMN7NbWM@j_e0r*#w2EH?pOgLOk0^HqkD8B3r0=CQ9DZ=eFgpS02@8*U+^o#{DvGQ| zo02nD>!gkRwEs$)+!&J@Z3T-j*H(D%^{>%AN-o^`o1Mjr#?gZ;Tj zOv1JnImbDhO!EKttQ2xjt!5Ks(Ye*I= z>i5j92@RecMF4j-3GMV8HB28?AO3Ze?r-uDgo63JJD6#r+BfZuUOcj@$15SyC6l?y z;$#U%%f2Rxwyh8sdXrHm@girV<%o0tql2_}L;k~w>2Sw|xO6t~a~Ocu7K}-BK0Uah zJ@SM;a^}s5!I|5dsBsTMe^B-574_o`_OwpZdo_`LV>=}We-fu+EXu!Um7T3Q&bQHb>Jb?FNw-Zn;|kAryLGw#07cKk6SbI%tZK4G&JVz5>V753HWm zg>3uS$b7s_B8pQQIhsapGJ-dqsVGa1p*5c0?=yUtk~yVznAXu5lk)d@$`WnX;dE(q z`*r)?UL{-<^7^hBA>RIO-pX=v$KX;}f+v-lI{jykDOHrI%)P5DR+pjg>DGNOw04@E z<~mdfkGj-nr8tEHs85-1-H<4b#@a#n4%?Z@g7_PV&c*sYh@)*T_Jg#4{C03!5a?b= zA!AK{*7f2Z^0mkMmXzeO)nEOeT`-9$?O22B-gs+epPo#=t_xKKUSO{Sh)aY_d=I?L z0MEQ;`&VJaD#L=^M(H^;zj_w~QlV!!>%gC#_||S016_?%kWc3M+KoDRZdVn@9%#Vu zufrt^uMo%++cTh{!BDIxXYQ@hcWAFufi3DZR#C7F+D6`;}LN|g65G6-pj0O+BfgR@( z0zJd7i|gutf{r<-S!%`NqLi#>;)l=hC&Wb>ytLRC9-}z4;(WwMP0Kh1GDaon$x-Rh z1Fi2gU+@5bJMJwucvF+#;YTwT!X32CAVBTu2X4i8PZ743IzQ1{lVyq{{Oz#i~9a!z*?^I{30A1mXxKM==xgjb> z^ItIXk9%XwPx`eg4Z0T$p)oC$#m~hlG~J%NVRA{WZauTTZr{X;Br82qQ=b!tWjr3rbA-9 z7dH$*!Evjanm%SU}^-&DuETWw2Lt>P}UrM|#m;*FY~9D!d`SIVQw*>zAXT5AwdMLv)uWIUAxS@jXq=edv)G-DPBs>%aolxsdxq1ZVn$3E^I4efyERYsCy5dmM&9N z`V+YQ8?W9D=R6T%TTp#Adga(4Ka;HqbMdk6%PKWFbG*u#ojq$vy1ZECtZ7p72l%l* zElaol?c!H)r6$Y&cI$DYN)N2xn&hZle5ed)Or^F`5|{X~x{z{t+uSX2b^2`Iyr*m# zEgLy|O|iixd-{xNtY;nla+yZg#Gqw=QR0B|vehx*--azcJUlsSj`nYX=Sk~tiB=Q( z&f1d@OyiwNp@`^Y6Bq7_)Exv*FyKD4e%bgbUq@4k*AtE?m{I{c4j!ifRsIbZqguBE zbWyBH!60t3`W3imEi3%KcvQzXr}9h%wl^|PDr=Ut;n(!)p>dnqkndkS5BCSa_D!Ao z29lV3YcAoDZU+s?U|iWRdnl`-j`Ux#fx?^lQWZWn@6oF{`<;&m{J{C0E@MkEiK0UF z>!vAXQQY;uf5{q2uYmLt5Uu3&kU#ot-oE}sMxw_nIuc!wWWw8UhVecrCA$bAE?OZ=QwN|U_=tAkP*Ha$v;zj?-1efB{7T%!R&=oNjzB;?rCnjLth)k^R$L#?Bn zcwu726r-Q`am>uS?i+K7mjd{26CyVnz$4Z9u-S6MVE$7Zj{K(Nvdu!I5blCrJoXM~ zNcqv@Vp&(O$n+Z33vu*28go{cDI}_KhwNL$kVjc^67cNWXdpmzgDhc5JBHqh&YIR^aCMp8ux5%rGl*JCw)zRE& z+@)M4R(R3Z#5Vy$MGL9$_xKn!=6fS0xdn|fqDrxFEVPDEV+`(63LtTfNyq5Y*anDu zj^`t%r|oyJ#!k#gOYsh#VQrOAKt__TjWTP4UC`zZX9kqGWQ3~&#_1h>O+>{(LQl#w zu~1EHWRI^nw)PX@(I1adlXy<{g4sowz=)7E0Ub(6w3l5OlSgrgz$W26UF+lrFZKBf zF&)A6(guHW3@}V%xj7}kW!EjUmE@XZO6&n8dnAulr^DQ-Z`QdnaJ50*iS)EwG=;vs zXVy8bY!Jt;1_cFc8}?y2mK6a3^$taG-a6^Pt4wOBiO)M?W+ei1^;V`M6Mjb!5aLvP5&}a~f znlovD5SNw`7=fUDFM`_~28yBuL`xlWQDL}Q3P9<;D=0aNe&`@`#qqTxQ4?Wg;qTjA zM52LS2pR~DDR)JFsTUDvmWN+$p1|iteDp#?{hnRNULDPC5>{6aFKzL|=aW-)tG>8v zkaulWwa_Mkm4PIGvEyua5bDwed`9=o@fs7Ovg)43p@YfqM~dDw#7ojJ{RrK`+Ok;90WUbgpo)5hj~6)ZujaLLIQ{gk#Q%}Hh+(;!H=>* zZO0R`Av!If;33dz`PNP2utBu~X20K5_K6X$u_i$s*h?2<2@n942Loaj*Vj*et8Dbg+()Lu zsMsNy^41NkPyQcC9UyV+!tWCRlST2MQJgPlK)aD}>AUC34nvX#(HV?SCdYdA%LEX& zhpuPS6CAk?x0M@FZ;sC`TBRIeMz1}A1N*e zezE({hdDkKNTo0C`Gch7b3r-V3;2lJ_aa2x0GL&Wnzs6(ma!3n& z@V!6_V1QCl8d_HjGyv}8U@mIRK1nm@`=vY{gYka8$GF3@BIg%ld_DSG9gJ`gupbO z)m$J2k6)d6(n?(j4KEPN5Q-J8X=oyp&- z?xM-wSCD_-L%q#o(Kbh1l658FknVpa6FX#uiD&U5@?r{_v?bgdqVHX5cE~A>NPt<{ za_c{1Mw<&~z*O7XX{FogRX%tDEXBX739~Mf0)xuS&Mk^FJq2>)Gf7eI4EBqMlWMUi z%ayh^lihTSMWN%#MYLqIaK#aS6C<&iN{r(A4-iR{cpg2Pa(~|aOzLgPC$+a96pZPp zd?sOykr{=1d{;~ev3`y};C>GCiVX@+*AKStVYtOx?I#4uWgK30re|j&W@=+>4I`u$B25WE2E&1zOu)`!39n5-WnO`h2M+Cc%avQA|#yIdiy@%FR&|NNw z|N0Z)$->dDMm~YN0N&|MxVF7q8QK1LQtOvJ8vkK;_STGL(oT5(?4Nv;pF-|KZYsTTK$O{(Fo4&}4b>gmkryBMKN+u*>^yjk~B8dHu5T zASHGuobamNq|INVcoMQrl9@N-s3iWV2eKXUV6EnckI?5|L>vx z6we#5A=+k~C~OGZx0b8Oc@bW#_K1C_;4Whjm^_(AWH?VtwfrmiDi5Daugztjh!!80 z&Op8O=jOmFfI)#3_A&(MyC|^BNdr;Lt@gOT3f5xEe-;Hmyt^y|TD|%w(~q@zh%#u+*zwP&~=@aI5XDh`lB2@@}6T{w8{8(YVNGmONIp~KIG{U$w2L7yDh<`zo!41hTs>tT>v%gbH0KrhKo!49(-KwegX zk0z%cIztah=!OvlGQZ{Kz!FTNK5s&naVvxU@*?D|I0kih@b=4^usak@I-5cP*jSR8 z{Km0GrCeYo^s_hSol_#!gP6s+d_5u{PjJQXhtUEs-quBBSzNz6rEbs7@8KW`xxK1~L)^|Oa9Z)mH5|%4J{S%t7&LoR zKwGuwKTrOlf7tiFId;T7?M=$#XYbb=`;FAxEIenV`V~P!Yxx@s?mYT*W11-v_RpaX z%{?{%C|4X|vdSd1R;QYp63y#ak&QXdUk%L2%sIb9_>eg1mu&C0bB#bGv8{!OoF(&K zedM(jq_l=;kvhEyd}*$h_Xk7~RYa4k%P2^q#rw=zQjWkjrXdGtV zK$4GYmv^_eXWL6fO*+#h+a;$*d#K`tY3FGC%Du z%K?7tKPeL>j)Qx79)?R2_PYt%6jhSVYguo9FaXx%c&^wd4=zEgETU=?RQ3)(|1$Wz z_b@n(tl&#%F~IGiNeSvaXz&S^PeH7R?j^uhes@;ZV=eQ#R#Bu5dUb7-P{>OUI1l>f zEc9j-4AR-_^;GPul^G5eFP>GeO?}^eh6b|~p^=y%23}=Ph5{aRYbuMi`O5cwJJzOx zRE;1Mq|j!S&0A$%MJ&-#N!ON$wd&c*)%Y>b^vprVUm_ZQ*`29jzbhE;u>2niq0h#V zg&AVTX~r>jl$bB1mtFhc7P8M;6@=9g3idMCY1JEuN+(N9uaJxDdtFfL#>)(UoBql1 zdg${Zd&G{V(7~6->+Gop6zWM=D>jmcsiyK3nom~dL=Q{srJ%xYtiG48{8HcPYeUU) z5LwJ4x^|TCbIXvzQb%u%!f9)S>ddmjWXu<_EBck4K-~)}dl6!N+2YKA3QeQ4JYfCm|lNPoKyOHR@cWOv-WPL!9j+=QU4dqEYnz=bPi~obcA0@4%s*z%DXsuY#*2^6D(vnGM(QcQA`{n9n@&!> zHAy7r)eKB6_Fl0u)!(nP|KnuZ^{bNtF3KmeSIT!|Y2#gM_GwDCD8Rr*SkU=RTwqkc zkA-%>mtC~bli!$60~V;pcyq>b?#~KtOT<4?%PFNN0?Jq7VM`(H=n8Y!uQo~JIjlkl zMtHSc+c{KdU$#EcTS!oD^hFJ_U|!>d6Zi*E*BJysv}0D>DKa$YHAv`3y+^eO$% z>kmBoT#3nwKY;=SObb#Wvo63S76n4F)QAE3cWTAo9k|2qmDz>OU_(E#Oc>8pH9sBl zwq9wyG2!!);k6dOi^O~;vKlUT%|-Rr1x@mEDxUd`)@%_SPgD>0uO<fTqth>g z8tLD1Ha%=_&nD>&2S3C=aZn(Tkrbx-Ixag~Q?foH6644KpM!YFzy60%5CWk-XqPbU zP+ksnR(_5AcEtUB2gRU0ZD6!~ay;Bsr>9Yrap^ByIjcJ|Tae*LOvr+(OYT@Zo`e$8 zhK=9xhKSPl!{|h(j9$rgreJ%rzVKSnwgA6U)R5o5wlN7`G_e!dOW1ANAg_%)q44~D z9d%4j{;q$EQ1nn6=8y`<8LRT%yfff^kdmy0;sY|BP>dMx9eF(mXo;em{co+5J1Z%JZMxLZa{laqm?RTJK{@mDIO|`({T&ObH<9lhfplf%@^z>#7 zkN0L77D2AMvH8w3Ev&Bw*;~XBR^~&dbuxW&m}36|RC4?Cn!%{l#s(ra;-e=vYc9ak z8uayH;OI@EL;L&&wz@M5C=&2O7!KvVuQ|32mG=JX&BE9u%S|LitDfuG>h>JnSadYV{#_u>kh~r^qxMNYsvrm zJtj4#S5!=xux|qhx{ASo=PM9!ZfXNXw^tskJqqd7RGCRb)l+89VJO!T|IFsi>eS1k z1}^%4J6)qH69+zNvwDTYoHhG)!U7X#mE{hIOBlPm;V^ThV+XPA&d%zdk*v)(=Xz-) zxJmpHKXw;V2+K%BhJ8?Zb{BtbFyOn6rLXU^ZiDBi^8#VYMweSe$z?y!Cu-xpQY|5U zmHL^}1FP&fdPNzV)4HXqv4!huhTo(4SWy1|p5zEFu9PxxasQZmRmNBh#223DCeQLE z^#-LsELPthSC-=qdgqtWk2kUovKl1DN*b4M?OhH;2$xT(>9(ndS8iD=PI5&919O*j zvB|oEo5UqgxcV})#YFwfcUS+h)_@F|hA6d^XW*_E_=M=Q&GZ)=!ky|y%cWDl96#E* zOAl0OxnIHjd@Cx5Pr!oZrCjCj^o`bk?`Ue1yyq(|i8)obcJW&Z>36dBz3dsOZ6N*{ z%9jW?GcdAv12j!UR^|Sxk}NW3<*+IER&cxDb3z!zb*ZpoO4~|1=dSp}3dM_JEY%Xw zRVlDvs^iI@^9cDXN2OjeL0}FEkgqS`pUizX9)D?@%gNZ3vvAYjpJjd{Q-aRe#*i}R z-$2iwWuz+#agZOEJLx-dnf1OGOahuhoAjKHf7X#L6r9zEVEX20cy0= zA^D3w2a1gIi~}3BMC9=a0XF(7g6-*Y3Etxj0UuO~#X^T%!_wHFAzjxC8$yBMYeq`8 zY@~*tUrx;MbW-{tQU_z}<-foL;!v@{r$?cd=+?sW9*#Dp#RNg^0&303jrSB@^)OG5 zxmdzdYMb-4+zT(G`+H&?;A8cPU9MJZ-+R|LYwcmg+BY$`r0fCsf%of0^YD!} zs@nrT**rS&Zo)u2?qFpyK{tdO4f&(eQ=}T@V`Cu-2GVm#`@D;;_jX5XE`n&1^-#1(VWe3a83PtI z>^W>>g1qkI-d*1i&&h<{8e-(?3*Af=l`w_dF~CbM--v5J|F{fuS@sw_r==ra46cWM zU*r*wc!!`Ke);4J`#%KTS~EQIz~+0R;&$EC@AHos93K+FZ$dtEe9~L&Ylg=}v(2<^ zL>@?U1GeBu$JFtIs-82+=gT*gfhT?KqNrBwEu_Mftp>U5FitWVXK zm+fW^mtnE1^xP3x=8#t}S*@O$n}rPXwE51@tXH{Ay!k!RYTeh4)yGw7l0H8K2n=;~D;&Vc;D)Ee;2}FH>Z(KqS6*&Mhw69PM;b zm=imXy;ro__2q}u+5thQt+43$l2_cOLe8ycsrLg<&)NFP2 z?N-?N+EvrUSqp92a*z1uUJOsVCQ?6%f1q#m%XdGVeN#Ke;USOTXz6YH*jQ^B^@6=Z zHBy%dU2*_V*Db#ONw$-K^$__)=GDE}7k1<|ee4EEW@wIMScsG17Yfs zoEviDEdj_VzmpY{AA=Z&$AwB1_8dST-~<~1enalF?8?8_92M`i^a^CGJw(e?3dyqL zO+c=PHB$vdmf!()P{9Ey#*s~*I(0^m>Eeg{qk!J}0zRQGuz4bDtX>-%M5ZFQpGsK9 z)fYt~+2KJ`ryl&7%?&?8NOAml))6M#n4ahMNc(m+>LO_)j_Q$5G0}*|sMtnRz>^*C zA^1?ZXbtN|kJj8Sq;I|BVmG7+! z>Db^^>oItt(mISwH>)m$e}f&0`hwFb%=CUlhZmNSANB?6sOIW3TueA#-rF4G{l?-~ zPRUIIM|Bn7>x%)ey4@54%$=2?dZpSA#R#^j?Ejmw{mK93kG6&4JRW&oX_GlfMx_yaxf`YL;xFKhKZ++SeS-*Bsp2^c>onOAY~vs5hfx67+n?NFqCC8J2k zsJJA-KZ9aZh(gIr4|s8#y)7s@|!^*48zZlzP|m+xI9ZwJ0dB{flXacKR@ zdwY@?^-|!I6{PV?iA@9alwrS<7gz4tJel~UX%g?tozKTiy!>y zKryetwC{P3KAn*nLFc0}RhPiz6?V6nm+w~2Dyqc4iR&~hAlo*~$s@D_$agvpAM%JE z3B$Pt&0aBx_CC$N0*i09UGZMC){b5=L~^QZ{igV9T9Szmk;tzFchtIBP-`yCpjZSI zhr@fKf+}B=O=_>YM`66wZj`Br87J7#i9d0F-gV37OA$MhG2IM4-|ISe`o7sG`8=sf zwk`d~=PMi0@%!WPqsjASWg@q$!}{^0(5z7PSiUJ(Zajg76j9zwLXs~kvHx26rU*G@ zXI{SA`KC8VYu+)*WPfG0_b&FNnT=pkAb#O&YGx@8OrgOZxnwecuvQW(p3B^$9VjxF zXdyetKC8je-$#5uqvyY(^@7U}QCS>zdV&6R3Nt(MLZr7iU~3QJDdLtvwrk`GYQKY8 zKS2LY!Vy+Y#gad!mPJ0sXs{XQZ%#MK?9e4%Kvhe%EpxEgdgT@zIORsHF+AS$w43pg z7+7lQQ`t{q{@(ITL^|Ew^^yc&8PouBlh0M3sN((%<(Xx@!VgT=0`qG{t2eI6xwIQX z<8C|y7NM67PiN6n9AOVqb!BQTo0^_K(R7QTXPP}_A*uDCL@kOA!LMbR{VX%zrem6N z3ecjXct2A*lKwjT)e`LSYg5|;RbkzDmSM;(dd_bZ5;kNbR3SiBH}}(w(dd)nsQr+c z3u1Ms5E~8RwzkL29#pPLuCvE)$!8q#jj$$e3U-9>;~-zDw9Y?B6=3Rr6i$oQhNUl7 zFOfRTL_fh+xW7VijNo>bwh{8%r)?$RF4>DGFa8U85Lf-jY<613hDe0Q4QuqL^q83g z(T^s(&g6|A&HO;R5JMYp+axzEy6bfOX%>7#`{%l-&BCNvN%h5nwPPVLQzVKM*lp!KZSZnnt?Y{f_#Qp-}-ZzwLt82 zmnH@AGyT!X*wBKMcG#{yxsGQO_q;0ZFe$EEk>2MKC zzB(2_t(>*m+?&vJ)zYz6%&63G${zW0dRWaosythGgo_m?BPThXN=W(B9)|dPm$OOZ zR7TP>hdHEZYlR0Yg)9LRomCd?p!~T0#j3Sl>7ICjqZrSBJg{jilG28)70cb-%%LEP zmMI~r4*$HcdEz^07$FEcR4E{#>cSS^OH{(cLKcgKOV?hB+2D9Prla1wCZJK4LdgQ=6P6z};eO5}> zIa?DP^DCgqy8#@NK&heUdW$<45653GxGYav{s;Z80by!$M;sWr&rqN6BM zXf7;0J{WD;Qj4oKWr}Z;>NBX?^wa!( zc2C}a3&bxeFUNJrbDjWfe9)7TW7>$9HAPQa{Us;k3?Js}q;7nqBPj^F5aL=%Bk&U7 zDZl{u$(SjGFGP7{tfZg>E#1@2{zJ!P+>@j2J%n;V&a}OGp*pIBh;Hb&G#YU_+Txxx z5S^bsPTQWJN-p|EO3BONZIQ}?f^}i%uh6BI5b|*3$rJllSjI0ZnlP zl`eETlo%-%wZ3l{=j~sV5-E5L_%&(6b7{216A} zV8#WEq@^>cQV8kO|hIvG~U$%mhn<}tF za1#rdn+c@Rcj;nLe%;2|J#EpHkKa(qb9|D_T#WnpP3Acd&B$IwyBkW=Kj*{-#Ml+UKwPmhWjn(r=%SR)y?h-I3q{g=bNh-RxVtA933sGQQN;w z(H$-v)^v!yN#;>dz;5>f3oyO2Iq2?fq!)hdoQS&-&?0t0h;Ooe#H@HWOD0jScn4_j z1~M(LCB6n$m^>*A|gnK>6yuJq&qr7!Cb&XKu8HL%oe(7AW@%=zH2 zg;Xcw%Ih*x3n|Ir<*79t*&b+F3RUiU(^4=<&M|h-?~?Av8$#|=zfToFZ8zI8gziUq z%%hvM1q1%iv2K1Rm{3r-!Xq#pb(LkYdt;uK)lU)D5G{m}XKnove3BA~g$c5b_m zJJk@XS2IGU-&-D7Ms?@j|C5ZvjVk=Xfn%^xO znxpHwb(Tb{0GDZ%O+yIg89s&xJZk~(3=U;d&UU&C?&N=To zlF#qAlAiJ&Fv2M$Zcxz_=WbRVeWv^wTUZ2bmVQe3i~(WpjLv|PBQPp0l3r)5ikV1E ziy-+Trh)}g-ksDHAYKOGfMGp@aARCCo?tz}Z4m9#s!H?sl^8UtJ61nRHD91w z$2~B!Ky>fOjD3v=CXj3o+a2;WTee&(dSQAanc8(TDn`;Hb)tteUn74m$>XMYvwDvlQmj7iy{TgzcT6ej2OdoJ&)_lxUFX%D*Kv)&7&H^`h zn5UX+n5NN8FN?3aNVFP6yosTrngt?Uze=6}58K61t!@;BlM4c6Tl&9FVoP6l0lD0# zT^7V*zW&N}daEDrE!rW>`+Jjf8w(_5=0~;_tZU7y=Uvd5?sQwQ#PJzvCxng58h6-Z zU>F~`=kKer$a;$2dH4*YtF;p2o+DJEn+n=hh_iWz({?BdlKYVD+00X*l8rA#mLJRk zD23HFMMyfrhth@~{gqqlzHG31VJn;!c{Fn8ZICw_3FH@AOQgR7JAsb49&S1|r2zfT zpH*4HhLuKKY*3PyDN1MS7O14XS`gm=hKI`dPv5;$!2e|yy8YQkj{N`@+RtTIaN{v6 zI;0c86v^8%)C5kx+rAdcqHIj8a!K_B&DqpYi-RT*U3#9@C<`bcqNZhg4Yuum-8TOH zn*(WfpDdXuV3Rcnd(C>IV^X_ZO9*6f6BQHORzN9RfVNSJ8kL6N# zl1)KkO23~_IqE>hO>wQVtR+f-`h})tKMXRy*-4*Ko0Fly6&Ee1d3}l#qI>Tc3HoKY z8zTyoH-+B?!q^z+Q$4xUIXenaqc_e&0l);Gw&9U2O&mBK;2)y!j@;-z>9v(-X6OyZ z`kWw;lA4jA)=qNTWXXKdqN%_BXN`{A+nIL`w;lBA<#oN*NA3r5I1?5UB*2hZhm+TH z*7EfY%-fzdZ$tCR<_7wYIFC%jiSfee(Hl-3Nd+i-0hmW2kj9ziNL4vlIom2_%LH*= z21EF7e6^bW?7aNfd~&mK@{;p!_*ok#R#v&r+F!b_f0T-%*ZSkg72VRJNJpPIKlILJfHMz zy~$s+Na@Ai8SNN6H~Rw-*uRR5*&C{dlcbN%cnyon_lD9L3vALGiwrdGNtk?DU$$wL z4IJRz^kOKC_WqH2_CrebzNkrimh9#W-urW(*m%FLhd$4RWk0!TLh>OBhak@G$}E0=gHnuIKqm}DNgm6USY;( zEM3%rMH{sJ?cF(w>h5q-EWMQJ#+=f{?N0fhn;a_t)&l2aHg_m6 zmz88ItzDGI>~(Oq4%!OI4>mpR=XdF7ksp?zUn<^Ii6)t%H(p9S_Td%ks6?H_C4 zPagn3zUKUOq@U(A8af^m@G!+&reFFU{q&cFujv)Lly8?kos3FsHBnWOO9%q}JDj3| z|EwpoozW`F~>K19Fc zt7BYlyvEa5pHthn8JhXBJ7^02Nt)X`7Y9JhYKC0LKU)ibGG~$HV7R=P;L)PWEu9D@ zU+nE+G|731Gc5|3OVXl6G!eZ%uo>i~2Eif@AX@+`UsT~QIU7c5GOe<{Taf_Q!JjVT zJO{(@nMPt@(ffZ{x2c9J-ScSja*8 z3@fG>UaeiXS=ax0Bf@nWK;6BfRq$c%%jz-+Ll73&W-?Sn&U}2CHr*WzC_1?qX-$|9 zY=|(fh$eH8Jq|mr>rQqK?75bM^W;X*OkFcFTEtO;KR{4B?s1v4 zCh8?<&~CHNGfoMV(n|UC_e`2)q@1io6PWM-F)-feU8wM4Rj_ZhEqqV_A11E*!ps3y z9{aBb5?OUcB5|vahg$-i9oP(`f#a;f)`Z6TeL)djdJelvF!LlGygnM>7M#v;e7i0W zagTG;19K}#0EC+)h0%A}^$&WT$UK*Yp9uN7;!cVc4fAPRuvl>dF!qoBNtc9`0aPIr zaWD?0km!t*_(vYPPOG9Zxuykh@6BJdK1Zc0UOOEA!=k=k96vc3~)|tI(`1{FvFFkd16TBT3 z7*>Ky&3RDj^|USlRog0bZ?j7I67>;ZEFTQdpY<1 z{?#Esj8*f2&3_wKKDNhJO-4Rf;>+Y_xOmGpZ(i~E4ULHbBi))U$wwzd$w34pepvcI zJWf|nwKZF*+aay&dwvEUqse({Yg-h6JvRqx-q$$Y62x(`S`l_e0-(L^?t|CcK0KTh znNPk>8wYW{7VCGABMy8&$-SHC{>c%Kpd_rop}oEULUO5>GG8uz8M88G&kPiwzWRWd zspn5G1J_^zXO)ipA?DA2D%|6K>G0~c(Jj{Nj44Iu_!3P_b50Wiv_ zAZLazeO;oL!I3xzRtHIow?i;l*2o6OnC=Ut^PG{)li4v%o9pb{pa5r^DyfaSej&>P zUN&^ioHci$+4pY*@#X3V&cvyp`uW$IhD)52)ahT+H@pVRlUgtR-@@Ju*D6%yBt10s z_Js$vn3UF@KP9gUY|3E8yJqmUEB&4kz_%0LP_^T6tu7~pPLkr7*|bBg9?R=TG*wym zH!#z|_(Dpha)w;wP!&n7BNMjJOew!G$zWbU8s#sES}m37N)LZ6M#hP2oO*FYOsT@R zvwuSDlb7nA%D0n|LxWTdr{0jp@2eK&tua$Q`CPI`GuAjbziiLmj}eN0cuX+0L&U?tl@#n?jt z+8Rdp%I(ZFbKV3>IGPFF~Y{w32k2{qz`M*aIagcfo$)Ggloxh;4%Q#%Cr zX6)7z6Q5d$FG0?#R`@!b#d^7~J%l`t+VuUAN5k0)a*Z2URO3|T`a46thAH`AQoZyZ zcZ1V?l21T>o4d!f3$F9u#qR*s2;km~%AZCOKbFDsfK>4a-E+>*;z=?pvcE{dwK}Vv zRBdCqgS?pPh05%ly5xJ;TA-$xI^XP{=D9m0P;;s5*-L|e>W4*#MFYv!TI=^Jv*_Y| z)!w#kxBo&({RAX)@2%Ep|E?q?r!&t17MYyVtaJEs9QI&Mv?*SV9itnZ(8NWtcHHPk z)^2(She&hzr?UO(CHgR7jM6*dl9P1K+4Yjp`Ol}1hfn5I7Yx4;ueKFG74(levV1#( z*+~^0ll-|T_S_im{w71zco?`6GJ$z&7<7P4LfU&A%Nw6t=@T~*2yBSw!S>0r#KJcz%a zSFbZRzrMl6(oFP&N%oQYfHg5x$haX}0!8pTpa<+1?HDOQjO=c91lkZ_J=!pe#^dCd zyQ%)y|I%ym2#LL)19j{T_Hznsc+&?X7$+Bu$90eGp{gvbJSqBq7g_VhxGwp7W*XD? z8*aP$ZIMzq*5!~g**8~?NKD$@l-%y)G49+O{{>h3mOlMUrsjuA?>qX^j75hiDQIL? zAiwx@$gi@Igi}nh0`l`;tGURSb!bS%%1Bhq^lh}d*OXKdxG!|KT37i{@9T4)*9?D8+-K#JUL<3E%Rub z5f)Kjm8QZ?993+B{#G$fN9dhuDT0{ys%Q#ORuTC+z}vBN-uPiS;4h8|j_Lk+D#_wY z6$G$9gav*|q}#D1KBg{iHeL#;Ql&njVtUcqb5JA6;Lmu& zb6}oI$XZ26!gex`SIG;+);^&gdJA{yk9K-+yd_cw9vZ`E7$@>efoSO<`pV)L1M_)0 z=fv&XYr?Ae#D)qZ&^0g@5_Fy8R^-O&dhF6ydS>=>b{4q?3V z*5t%uJ$zJQcmHJIYSj?C6`{7Ic#)UMbj^MrIxjmX4tqQ(g26Jx+YFk;_S5;cmCLMHK zo;_ENaI<>ByXnTgxh=fWuOs15>FNt4vLIIGugmXU1TVhVp}{wK`l)3x*B-HB?zr}6 zmYdqOJ7UQ>6P0+tRKQ!!?Lsru;BPQ;pRjAQ3a=ttig*qX_3G5&)J6kUV2#G}CO4q& zuiliJo*+dT3p7bNay5DL%|xxRhE0tadV+n zX~P>4S;Or@9?nyID84?R9oSUggi4}`zhuV~p01gb%XV=&y#2s*FxhPAG%HOlykl@T zp?NVLav6zt8D5|pw}E%b(yvzgb68DSx9O=mKr6+XzO+rpxiL?4*W!x!Jn*WlX;CTV znbeEO1*OTa<`(5K-_ok_;`#0*tvIYhyW7uKY+HtC7*CcNaJuETk$`qXTlaN?Z{1({^L-{7*jc&>u#$qoWKz?6+13K=WG7BC%1^I7I~Jcv>gB2sF*AQ? z{|Hx}(7emvu{>jAK#>u#3*TC|v)IBH*GngOYl=e^~5Xe~*S5zB5}Rq5e*nhbM- zmWDjPi;d^WFV&FBQ3uEi&+ktAWuG$I@qd`h!#$I=-?Jl8m!EFmq$T)ykWWD#}a=_Zp8na zAueF90~G98YR3QJ9L#x4XmD?tb?jLkutd+u=9}mqH3eAsBway0AjhbgibtJ2o~UYX zzlfb4;@oS4PU{7~S63oRElbACJ?~VSvRkkt)$SisGnYy|85AB%d=gLkAyVv}S(9Xb z6&6 z-2R7mCub{L6o5YXzP7VpVNjPhO7&f$*Glg9!Mlwh_w4@jo{wY}M_8e2*ngAa zc@H>9lBVlK0Qm|>TC2TB+Mza?rz*dP!ToY}`AT$Bpev^Qv3=UGsA)8dUY=~BPNW8v zaM%{w_`6vYpQ3Z+?MeUTTL&!k8fkoRNAUu&X+eL$YU4iUswj zeFlTeaw&tO>LHP}ay~?TX|m`W&{4trKovG&7^hnDuzB&R&kDL%pEEfxu(^YGT2D6Q zJ@catOG*24LO4UL{85yaetw8G%$DabdR&dlRRZxhy=dGyPSQ>3mNsftf?rvd2LICA zIz4EKW@FHKve~Z1cjF_8WwC{OI4{d$lAyp#ZJ?pO=}(ayD7l2OH-v9|9f zs7A;A`p3kk)KT9I-00W1dqqy4s*hw%6mOMQWRB_OZyCQDgU8!4G(Z6^&y>(o+L;i+ z(%{4vt58h|E=fp-_=)e*B!qu4Aau7#*@$R;eIUzEKv3ve)7~WSKkK$a1((9I{`nk3 zfEp@2V>G`$`iZSIgU9MF5rO1i6W$6P08Yfm{kDh0}T`l|iWLC4A zBLX@`!Nt45VTbm`ZGC&N}qJN3NS9P5;Hl3=BN`6jnO87XAGrkNKvbjJa2f>~Y|WczUg- zC!!rtBaa11dBeEoTl;{x#&(_0id7}PYs%BOH0U)~4Pys~mE?4rO7Y+%3Oc6y&CJH_ zO+jXljkzh+kESkN^mL* zI@~R7mT%X)r^40m6gkCR$_FQcy=wkm^8YYIbZ1;UuIfaUcFI4hb8XKOv4Z!L1fWy+ zaU5!J70LZl46{1pOIIc}p;axfYLH;Yus>gbuOZ$NeQ1t~QNOsOVpH^#)sctws>F11 z%v13^<_9V8$v{?omj|vYK{ebxx}G%sKSe-Pd^>l*gAP~IA!QwAVFX@&a|gCH_}pnA z0am$MBgO5X)Kt}PLQSsKZ1f9*y+ts>fy0pZED6_YiwsdEJkB}Kb&rX%`eZo(f+6l~ z!MwZm5gtWs<7ZLw9bruTL;Yu%dUu0Jqj+!Fk>RkfS)E;nsyS)1IGUlT;k7@5bw`Db zPgagY$4sB#!O2^(t6gnFxIuEbbHy1KUPJ4Bk(WO??&dLF|WGa9gCBoCD z%t-OP4e#x5xa()fkM1m$eb81b`N%GZBgtWtr-I46lVp46cT{|NS^v!Kx@fc(Eh7jA z&F3CV<{VQw311bXL^LY;CBNjhA_3N;`p1F#gvI`5xC5WrLMH!0MoM`%CMhSvA=%t_zlfYI%&!(kFRU=t zTWWtzuYFsvUHxYpV3B~|%Sc~N56RER+V%9eFIs=FdNh0MjxFV4Wd_^{2kc5dp})Lu00X0@`XW%6V$}TqEtOQFID^riZ8fX7aq|h6yLbJl0gMoO?53161aC| zL_0Cl@g)Ssk~>gjDZMOC3?3k$s^*&_YQyC$6-d$DUX%iyZVD+~#K5#jldE|GYdptA z^mJBF^M7|Bh6{zKhiHY?W)q|Mom{{kBt3IcmZ}wA*LNg7JRB+AdNK{LQS*51jUPxD z3Tm6#*j@7g0v+xZKfhW~`|b}mrSsBh3DW2q9XY@s(%!>^FQ8{j_SZi%s8Y8i3Q|Sk z$H$7Bo8bdIy79G1qSwcMEw6x=pDH7IWEgT`v(i@Xh_{*iJ2;3Q`T9jOU0nT=pJorf zq^y-$KD6P^j_^vg43HgtCPm7CxUt5_Z7qTv33WN|H8WHf`VP0+m+P&g|1X`#*&9hfM3>i-El1}GG zs&*~T4&RV<3RS)L>;F1mwbi|D-nA52>DW^kGK=WK0IA@87HYeeLPZ2%<$otkyOQ%T z-G{gx{}^XNvFh_rgi80aZ4=1Bg@6#PRNWFMFkgbA^nCO%>&up)n5@lgWC?k^{cMZS zSaGTC-@2|;l=qG20uZkHvFgCH*=Ph~!_;%=tG$}Cf9(W&3Nu6bzm{KZSey`MzSF!? zac)ZoCyT~r=nHWbzQt*CcTaD-=cXK_3w^Jzzd>UqfHOk58QkhsySukeBWdr|*02{z zyeM#Qd;VLXSCB-{=Y!&ANIn&wO!(Ys$eQfKz65TRW8S@o%UQbnfM^@>1EIgKTX{0B8ennWH5)~W z3|m9nq*C6J*vInU+6D!C=Yv9ZDKDDz*b6YlLfiGW}VHC;QAT2dc#kQdASz9{YnV;#u^0h~3f+ zk|b%!?mb20%Qv6Do49%FmHQ!>M_P~-n2Q9{AoE`f@^*bs&skR8Y~WHao&HWwSBml7 zFCaxPMuT;s-H7nta;r4D`OwN$DZ(kl9)zuEd5DRx6zv1I`KxD-tTR1lKHx}3k?qh3 zrUE^U7-0nIYyC-F_P;a0iMn1+M%_+1%kkBdgjce5On=yQgGMs;h=!-mK&-&zk!woP zAkF&hJ3UgsR#@UoB5e=K8y;ec*bl4xupr@@XS%R@295TuZH6oE{8_-OL5riQ{o!66 z^Je@Qnr6IWZQL$6x77yJaXw@Zj4yTE^+GG!*B#jTfp0uf*@)|m%*r74LxGu)L-VE_ znvM&PZ=B#CN10YT?|*Z1I7o+wqYQ_$CUj$QV*j?8GP*L?(CXXevgLKW>Aq1rZhw0q z0d~#N)UO>kkU_Vc{%-Agy*}po@k|U;6EeyDU{!A8Lp2|*JISELatS+&Y{fkfcioOx zmfpAb@f)l(md?wL6us^6EmRY~?&FzZjk>;dzUx~ljNexXBR|{=+Wn`dV_~&gB(iw6%Gvk}57LX`Bc|xHhN0?20JUgnN=avK@o`NSQbq z6HDmbfWCKrhR?r&hOjjgwN)S79j-Vu^VR+BL7R_5R>pxrK^-|>DvBnrq+7(|?oUR1 zz}z`IyaE4ZU_F{Vb30;UvybeMwJ)m8PF^2_e^igry8kKI>mGoz0J6Rh@=SgcB+D~( z6;E$Hrd^v1*bL>?w6YdO#R`U*(J0x1SRUz-(#lB^uG$=ktcKIy?1-A0J(-serWW}3 zkIx3B!A>p*&5){Z4QkSpI4rURgi|<`=$udcVV1f;yc><|FNzN&JGgfw(&ZIVA6*UM zL+cUl(V-hOdq#gp41Rb4;J9G4{!r@Cv6piqZ{#c44M1kZU&-V(|2$%1vLOO554MPw zT@E!e8ZOI_iR~YA?&H6o1o8Vt1wawnQ}cV~k}>*ot>N6?KNENcAm#iwclh^-#zXrW zrtxs$6}0(SSySL4e3K{9%U|k{=N*JvWRrIcW%IY>%%p37A|d=%G+IDIt*TpqFO71u z*@;XXVaoZEJWVsurAIz?vh~buo_~=)FTkqRI--v6^D2;QgXWm(r0nCS02*!T^aTFBo!ShFn~ z8@N~Jd|^*Kv&+XE6#s51madKuy`aY2eaKh&%1H`-s)gncga3L$3Lt6QXCc62d<~6O zke!KL1hkL+Rs_xS3UgP{=)>OnGZ~AAv}$wA;Pk&C^K{K)EZ{iZ5J0^THbm6+zk~e6 z*K5r8CoLHIi*xgadsCzx;}wsBlAhV{MVM@-%YxVjpTfCN-ogZ;_2Yl!zj2y%Z}{D* z!nCY~@JKs1J0J&IgUM@-84lJRiN(8v0~KS=WS^VS+^WHHJOftKH#+4!*eE7|tuImC z@M24ADes9|4Gx8<_80iBK`vwe5atxh*vAnsd#ji2JwBc+K?p+U~acg1TDNP^Z z9qF*N3q0PhaN07Z&2wIQp*O3t=DmCinPK!SML9op=wVe^-TT!zUK4QSuPV$IE?EVT z5@;HVZzP%_;Bx)bcFiP!))5FwY8AdYGH86`4|16HHR9|!YREphS#dX)%xe3oBGaox zyGOfZaP#y-?2n6uRM4;73z?$P6Z0FcmY9;hMuT#!u(0ZFvn8h909@X)0{U&{D*k2j zAm!*Jfy;i92j9N3O2(2Co|Y_W!tq)Bz}ZKr^ zA-|50l!}AUO*P{xywmo)FF9`kDtSp>F{Yz3?fU>-h!wt2QwxsiEsXj}_?v8D32vOd z!*xW_sO#GD6NEDJPcL(G!a(~l zzT1HT9=}Kl9)C?8jXw&RK%YOK_(Ozj8xaG%|K`#W=mO7--o3{d7D{4Gti4%BtfnAw z?k|63Za$c}4!w5Gn@7Uq!GJjl0yQqb#iIxNxCYsl9WU<8RCIR>D z$C8_ppEVz#?3r&%pIB|uQ1QX-X~f1;Kh^A}5MB%Lw&>MtjB_fbZQokNUO2g&80beT z(XVhJVO55~9GmX$$hvWPR-)%SPu9S@M3$m+yo7rZ;Cj$z`r@^5J``EGf{NZTtGf8M53)`7~sYKwZ(8K*7uQKBQbe9I6tbylbn%Vuk`ex|XJ&(%>K(~&>^q;9oR6Jw(3oF|m zv*N~mlf&S~sr@sv*yX z&1S_`J{*m^#{C~Mp5)iZvkDwjjEMcjVbHJNx~V;94el8n75qC~>SclM9_^MB3YHmDTlVKEk_@p<@VuP} z`=V+ia)uIwogg=PKXGgZ@AdU*&=@;=uZhUh0EE{-pA!veu|WFq zli8P9%;1+c0+MQCSIuJnp7eo=W2hjOHIr9~0SwaVoN$ZGvbYjX)pH~@UpKI4(fQNW zs!EblU{ur4e6?_D(UpXg=@Of>!edBUM%(iCi5V^yu zB|&n}Avg6`b5sV6pD_RJpaW&qqaz8WYnOEDVV|?g6T6irz-#8dzw8vpf(mhI+GVjc zS5QQK1#3!c$gNW@csM=4%|>z*+}AEyBg&jEqUq8+oJqLHY$ddP48Y%scob zd)^BL=69%x(4$Nj-_ASSk5!hOK$udG11e_6rQ8m}gTCW)(lb?I4F7oM!mS;ncZ^2a zVX^;X#?X;jC8W;QpNQHE_%k39R@T2aCyR6d9!dXu4S6B|Nx(%jYlq48G})W{ z?->;t%S07v&EvTzL5ZJQ^R|Ann_FHG6<)>DWNpZ&M#a(FF2GeC1xzLNjw*!XgPJ$8M9eZgzR3V zJJ=n*@49EhfK6wmPS_SRN(DJ}l%FmVT@Fvg`*TPDFz~2o;%o_W)+9+oQ?z}TDY$C*Wq96aqv{xXFy|+I*{Sq2dt%C#$~4-=8(I^%@@COIeSay1sFJt zzNa2iBO1dZH%ly`-S^W*boUM~zbxf^%%ji1xYBK`{=k*-i9R&g9P=j~@tnGJ+ME7i zO20w6Nz)~+h>X4@o7?6?S@b7@?zaUqe#ZKKJ`Hf)Ms4U1}DFiXo@-JJxY zho61AdrnscA$*a3yGX3X4|az3TsvbiOcu6+k@4@lvW zcN#ctXQ}nu!sK((7l14q_czNW${c<*WJsER%RUKV`OzGnFUe(NAqn=l@>@3Ye2FDF z^;F1ODw3hw-hq9(8s9io#?c24R_?n!`t$|rER+0td2c5^#hiB^Cqfr?^%QG~Fy(+a zw=UYa894A+#@Qe4|x$J%Y>c?ty!dZ@4 zv)n^kn&d6Pn-E-Vh4b+|(@MkrHrs*qUhSZuJ$NM9;+7GS>!uW~oAW~VD}O4JkJI2dmn6s(b|9YMe%@&sH5raAeS4KPRILNW zX%lNV1u@|0NV^PM^jkyM*zcYO^htCPf9LN2Ro*H;xQ}rozISDS)G`MWd;vk{vutEXVm{FPE6gDuJ|(;E?raY?7|A}NA-{Yo^dD$a+!OO8fPL+>~wp+ zcS(_Zi4x*WW~hUmq+p|zfe$glDYE~3Gk`7ZgZeSP6~5P;)gvJ=%0V+!Ey9mOQsZ%= zmTkB&A0|D*x&2u;c&lSNB>wL{HAVh5nnTqw1?1%I!Y{$z8)y16{RrJlH?k4eC6;1x z{iP!AmL>HrTX%>#GcGRn7fsBD(JqS&@hN~A54|OEywgDABa z-OZwBxbQpno%XdI-4du*;!J^Qm=f=?9Nuj$?qV zBbkK42VvQ@JfX}%6qk@f!A(mR3n%k|z5B|u9+dMh`|8?G@Y`%>jX z>7{MhK!(E4XuI6g#dBYicS$L~pq{4+B~!(+tj8l{K{+Mg4CR1)0{#~a(C%0>ZaI^Z zBp#%}=L4ju4z8QP6lO(aM>8f&ws!JR?#mWaK_qH0vx&=2+Wl991?CTC(~WJCD`b2Ol@pwjb^VV2;3x4Dtg zhgU^*pCT~vwa-VDI|d3{fXGoYrc?^8hLQ+}5@cFuv}P3c1iBkxtxJsY`| z`%eCL3YI!&Vw>fnBVX3vG8ul!jpxCsS?J0+ssfDJ9}DiJAPTBfxRBZ!Df2?+&J5U` z>F62U@SYz-iN4FW2H;`e6G)0>S6scZkoXl^dRf5koc7b=lwWn_mbzGbb=0CBGXhN0 z_h*|j;V#O3h&)HT>C47;YvHFYJ!6DpMBire@rs=Lk$7kL_w7fe0-f?#6!va)=S3~& zKgnJ9Mbg%iB>?92GP#NIwf{v~^f(Y7ur`UZb^9AP%`*6N3q$fESCr%^WPYq<%f6ehc&GZYy>wqH5O|gO zc$9qDjUN&9U&p3_Tq?w9ed5R^^@h&5sj7|dfU&Y~->|oqT&cQ2K0#~>p1nE$+Ma3U-cg=V8u0Lo=QfGPUglG+IudQHl2yVsbxeLDZ3^a6YVEIJ(AjZii+#3Hp2wk+CCVcT>=vYxr9Yn( zd>EnI@2VdlvnP#zlQJSVLspb^P_6~qV2!>y(#?ojfDHg(M-MZQVv5liiH(2lM0#Zzd9dpn>{}3rW^cH(^b% zu>|K{uy6Q>POXAHK2|G&Awti<*m)DQc$48UN$&&m@&y9o5S{LI@)TkYsUUc)YRpt} z`t1$~;%g$iXE<%bw!l*B%JtbGWlF15M#{P-52ftzv*ZA=jPGfhXL|K-l>vifxR&bf zx2@_{GrMx@BNYy7X{#4J7ir|zcV=Xt5TORe~LNf(b7rc1OyVagqq;qj+C9yz@l^o-86hO#54%RGfOu& zc?U@OL*MxR4hRa?@6f=Pg$YYD*gzd$Jh3zYK6m<3@~cp1vXFB=nN*%XR_LZHC(;{V z-KkIBYgHD180R2NkyhNVE+ zD6f;Aff?CW|BlwNV!bWUwECR{ab5ke@PK^f;!oCC(UTu`6P^A8IkkX3^2vBNo8e6f zHmO|OL$IlFy0C1F6gP5g9@k24iXJC2C@d0s^&sArnIGK%@?EzlpH1sm=Hj#6W z|Bvtb0y6Nm7y*75JIQ9PdB>nyyFxTi=q4Mq z-m@7?La4>*6B5nKYmUUl@JK$*Gh7Z_2`ec!@nd-Di=y?Vu)atL`8DKyxdjaM3zo z?>Gj2GOIliKhxi}Z`&H37%uj1H2!KkGI>D8cB><4s2xNXcYh2P}Hn+N#~-z zv%;FS8pvpc{7#$w4z^?7+Is}Kbe0t`)m!6^1b&rTmddyH%b?h^O}hqx&ro@3Eaib# zGbEdj+gCzyvpJ4W+-~xU^<=+l$xpBL3d5x9gCxUMW9%BrEc66B0b>cAFf!Q&#GkAN zObzmiK;F{fizJii=1VpoT4K@LWK zxw;fWEy0sV&jV@AHxfPJeDB}lB^B`R_<<)w%~GF;!K5sTcji;WzG*Q#30_AJ2f3cC zNaRqY9zDEXef3YN1fE2Ru@b6v30$ z#Jf3l#pJ!_QN^^qnqqvK4-CYITTFNez++$ImBv<1u7Eg&Q&b$co##dVJ4Fl5PlmXItHx}%f_ze#Yv_p&EoxNew zoWpI>kI<9C#;FG!FHxc7*CXsDcwC|+&~75aO$fU{tWa{l z+tl-0xMZlr=?R-Z+aJf@T*ejY;cb^~1MAfVDaQ8{TxzkDwxeB4Nega!dY{u4>YQ`eqR zq&%kj(Z(lxX9?dO-Pe(O^my^GEbatf^uHN#Mppg4P-o0gd?^(<mrR|UXyisLxu zj4^iF8ylx_BT@BWuJ@8(&@8=Kje<0fs3@|A-h;kA3XOrW`!|TmH$pCB=U0DBJG<6% z6!2J$t-IsG`6Oc?zuDl;oxOaxa3O^+#DIy3%_YasNmdsuy`0H001!)d^mkRM+HfDA zq?aZF;`e3#;pgWr(eMgfg?q8#l%E;}1qp%7)P)dE)rrAb<;e5qDOl~i+0^v3@1|?C z42pk|OXf~UbZLD~Ebm3`7t-Eqi)=y;r4Ony|ZD$>3%wp=-YEuSsIsPj) zwy|ndIs3y~U`=lN-IwfRi}sUN9}xPk05}|)8HlgZp1Pd^tFSh_pEzYGNwe&#UvmJ2 zFiPa&Kl{UXHt=@%i_GIeu)xa>4GSZMmo!X-AXB2}ZTG`>ED^$_Tq&h2fHb)Bze#`N zrSPgSyPag3Z^qk=2}#~Dxf~5OOl+@z65jPoSx*d~c&2w7bUqdA(@SZ~|eo`ozKSo@cZ8TuCJ* zR8x#x+xfH-8;_ys)tuBq)k{z%5gQGE-6BJEO%7sYK9-8c+P#wJ ze?7eI7qq^_>jurKNKe_(!af8_s0eZnuNeIc7=4jp`R(*K@22%V?4C_}v_$7Mr}Jxn ze|rj}9>YUFZtM7TDD>N#pxby#i5DpiW-(&M(ibS#>&j=+{yxJcnWrDAy@sXV4qut0 z25Bn7DzK!Q(udMvKqI9c_fT^&;9MoaoWvtNs9^}-ITpRqcAxS`DyeMV&>tz9yI-Wx zU`5yh7=j~<5m4=T>suKG6pBS3jF5fK|b2 z?J5Cb_n%`vKm6$_=~Q(4%7{2v=JnoVcc0Rs|1kRsBrOrCsyBaC(yGKKVBA64$$Sw6 z>J~0cX08ZWX~oMiPtz--0TsZ4QgWmCAEB5dL*p|5-`0ih$*?^mxC~`^XCjC^DcbT6 zkji07n2tcEJ9?>R@9h0=XF!Gn(xlXNq_W#2$ITm4`5AxzfTPXj?-n{VYYiU%d!Y zxyh-Ue>E=XEXVMN8bqR>r1-jFJyAS&kQ7F76fCI8;Td>^y~2b#6?v}o4SZv&(hN(7 zu4W_D1vdFHI_`3xGaxDc7{jlY{;C$@V7()%^afQc1S92Y(>p=y@-vUeyf)w@0V3?b zn>hNpK~jZr(@tcS0wtJM65Vh)ao%7?3a@31T1%}G#nZX?GdToxy0PN69`Q&e{Tmka zbi?E?B3trS{2%#Bdc^*NZ=io+7Ss!AVzZIWs2}%(s+}kIO=-5D?c{od?r_)J;Xgk5 zakCJDwCYF1wWeb~yOsyN|Bs}z42!C7!Z;-;-Q5UCcS<86sr1qvlDl++NJt1sEZyDR zjnvYhbO|g-NO!)+=l#B1oIU%GnS1W}O?jegNXza9me&jPGqK__3o0Fv*kp*r9PXUo zB;F{;J!PWnH7Z=|T4ZisPi-|I`y88P7g{R-R^$q2L!Tr-mjzpisEgcsp6{t)`bmH9 zA|`dy1*sT|3k0R9g+l~k7Cve|~X;qG$ZJu~cK3-3^dEixBTy59SBsP>0V7maMm^$7%P1&z6WDSBzYL$+os@6kxR z>#gQAvMM@u9cnTzmY#wzg`9nT!8Bjg?;u&~6~umUjwQ?UEJ2Nue9$l*b^t7U(f|few)8usnO*Uu-PRdctUqA1kRtw-?Q{ZZa%=HAW;;9VXU9l z)kI}mV-ja?+S0O;T-EJtfX86dn251}@x?pZe>fe$-u?wvy#Ys z6kBn}l3dF$0hk;Pw1sO=j2CA3@~DmV7sb==J1AE)2Ivj#Y|uD+%DHuI8*<)eSiF3WIXUeXUNN=&|9=B`ti5S`d;6T@&xGc=DscPx>`_S)L zAVKWY#RYeu#y_JJ8Bi1bxgrZ|y^R##jb}T_I4{VUe7fo*&z;g}#y&@_)$RE`@xwq+ zCTRESpA&4^%vd?uJ)5XqJg`;7O+~^a`Iosr$&1U@lbOlaJDvp@yH$E3=4L0@=gb-t zmF5YggqqZaDWm#N%Vgt*MlkQmNGN+?BNF_<5Z3CdA|ja9>$=cUGbkX>2T;!PQ_B@a zXx5={cTEj}>Z691kWX;4pNiMC$@CBW8qwW%r1= z-O`H3yS4q-@)dfui9z%iOqmD;;@`tEV=7K6gbvr|s~0g1)q$`xA(J$fs`gDrjaqK6 zl-7*IbAyI$L&R0l8qfF7!HgR07}xYRf3|?6BV#_81Lp}T<|7i|q8mE|aO(h2OTk3? z1m2U(H^hU5^zZiXmTLt{-=-^iXgNb-(k1MkOQof$V)kwu(*LmZPMm3yA~r+xg`2g< z6o%ZXVh4r&3dhIC3Q*h{vFt{1wb6fcGU_C-krk0>Gbkd zX4&QBnH=fNRRbmnWI=xRf%XLz{W2ZsxV3s?91(=mb;ion(DXhgWe81_9sE7dJ9t~e zUKvO)I?(t%#fo))m4g;hF;tb){2Vuo{-o5( zO3aA9!vWpkc+giWMRZk#P2kQH|yxUqki{Bx)>Jo)Az4F=PW~ zP`d8mRrAY)0Q<}xzVhpp8{8UXWIkM4%$SMq zLX*@fS(i-;IrL;oNcW?gNxHTGrFF+v-8cT$#m{!#Iyp8@{tD^`&Ala(K~82AjWRG* zMT8#k^Ft}HAM&-d5gvFYR(G^VQmSHXMVJ;ra{LhmCg$ zIfK?|7f3mF!q9s!V%a02XgXXi?q7;?rSu0eY^330`j(A1uh>wy2YX;*bLHN3+jY> z?&<`s&lUG)&=Dm7XI!xxQ8Af`50>3;(4xNHhW$>d)ED93P$z2NPv*Y!@*OEJ%rF>C zw2(!G5N?1VNrq6XLt&7DqKI#}lMJWLh%+ImH~g}oYr_61A(r{R_j~hi93|_I$)4!} zA2f1=v=}p2Yhv5qb{SBzs~*=QsdlTTVN0-bDS67MKEJNAdq|R>QudZ`?9||#FY@ej z;MhogwYNY+0L%E5T@O6Km_pi}!hdI6RX4S;0j#qj&!Cm!ZIVS?o5d z!w=4AH$J@03Uk=PN7>hdqWChyulo-TvWD^!4HSSS{33zWcEo}@cGFMriwMCO* zbIWIM0BwOPaZ|*PfQT9X`r0AO9s`1X)5c=wq;Z+~#CG94&gEVGwqu#HQd1|eJJnFr zE;bo<(Dqp(Ea84#itFuHjsc91c#L#i6Llw^ngt`?*ZTJ#CvG(+e06UqkBZ*t4X`C# z#Y3KUUFQGJTe_vspc|Wi@jT5iOLE-?@=k#48-Mfm2Wl_!?jiA;2z;OfY?GiZVP{COqq>G0 z9F00Z^Iw@8Im@zV0a$w}nNiSZ` z{^7-N$hF@vrO!Ox20VaJkm7J{tPf~Z2i%yRzwF{tqXi}!f(yBxpsTfarGF9G9;hw? z--nCg@w-Wh%65lfuSpPcz1T|;PcNpd0-f*zuI^v%@Yc`!N#|-Ty9R7}hTe(8OP8;z z7^_(*9U>Vc=sdP{_T!VeG~hq+!$&FpaiU4E@!FYqJi%ItbOn|@Z|AdFMI ziIyWFdPKH^@l~c3X&aAR#(l>kS{_eA>qQQ$CV%to+!APgN;pp-oc3LI`gbo)sGLJ8 zrKOCc>6ROL-F~#w&j!Pf{3Q%f8|?kOsssV(L279XE@1A%s)=Nubx|W8%BW_tmyExx zM+F?{WR-hXQvU4unLr{CBRTy4{PK75bV02m=198@hJ)4LSzsWKGh^9A9gKL#U~bDT zVfRN^s~n9w!O>LE-wftf%gUIY&KFK{x^}BY#dg46K>lod)f=ABLKZ} zHA)QLmb=z>XV(Kh3=Ve(Ix#8K|E8{)SHx%uo;p2V=&c6ilxzBDj$8A~;oLkB`>zE# zZAg;&(k01p)bEP!xV9@y+`~Umd9^cfB;Q$Am4q!4TV_?~A_9|qCV?q(+u6biMG?!? zKwTd&h5-!J^NU6VFYS7#!}&$A3H@XWb)IC$E>8Z*bOFiF#{+mXXcwbt%$@C{FTZ|& zb?Ys(VSgumS2*g3?dI>`$RF#(T0ccgWE!TLHUVc^RDap@B2cF1ngax2=kC}zOxNI< zmzITiQArAFKLozBHy>PHR=z(3qhHCYzt6@sVIauslliETNs%YaE&@H^WfaI8J{Y;< zV%fFF@(jK=yj$0r?Se4Qll~0g=6XIqLsJwXX*4jB=W)+UU>p2*sXyr(b(!?PtWk## zzbD(pJ`&OG$Tin;*tB6#O$^cXG8^9v14wUHeExWa4X zHrir{n%H$x(czgBdP`6cLUO&)~2XP11ZQ1H3jNiuVECUJm~gYVeT+#I-P({ zh*}(E!cH%6um5}Y&u;SK;tE-?i)K>LPu7GMT6dD{wxEKO2F1e8@$~9 z>IDf!@c67mrBuoKt7DAJfdeQW+`l zhP6YM6`a!+vIbi_+W@s=VNxMDS4kVAb+LP9hcl&&`Ab58D-|FuqFGT(T@YT710bK@ z`f=@dZlzc!lDnybg-M@v{i7zoCE-rx0Gwj{Xm%aCR8Lp>GX4*;GQX=9)TYEZYz6&d zP^4nL^K9u7M^s4=BbUAPUEkpIx*Msl1>IHcini516|1_Yj+`+}Jhx8RHawpgP3jgO z=I$9>tIhS2s4^Wp{qZS76X@ZUOM&=}`YdbrS(e5OoKUV8eHR_=YlE}~-k^2G>)_Me zM*PqLPA(|&ccatZEpli{O^(47f*=5=E3X4V%^mKt=lnz_}=2>pl7a(i~&IHJtQwDI7%CF-Ad{98ytJ${ex#ny<(` zsf+~F*Z{IJPbR{7S@k(=S5^!7W(wjU!JU>ukY@K%q4Uo%swd^Gdj-^8}$E)lz8 zi`S1To0GekuM`>}=@VP*&H@dQ?87Vs12#>c>LjXnKX%R37*7q&oKjti!TilT33jl} zQr-p_>=FZh7~kTz`=O)FhTtAXBrGbI?n)9)N$#QyEAzP;n1~ikq_p?@EG;Ql?axjj zzd{G~*nG^Y4Eqt+BPz`aUfCo;7)v-Q!z>QpWCBFZ>4RFf=MQ?>B#FKy`+)W=iysM| z6lEDDZzxji32Yp4V(sDUbLE>WRCq%BzLy_84q-p zs%yi_V0si^HGu$LApY{(l=A~HfCQKncB4Q(`lDhbvC{n2r~HjFg}SP;l*?SAhlAmP zNIJHg$?A_l+%N1Wnd~kUe>sT-yc@<6^+tx#FgEusMF6!WVmU$pP)vF{+q;M{TSU0I z!hr4@3c-yol;)RqK7)zaZL#$eDgoj*cZ=P_b@~$@*u8vVc#yoA3O=a`3LChkdp&y> zQ4M>#u{+|r)uI>;JLC`t|G4zya=1EJ;U`cfP}F}a+5oj3;~&DNsgJAxNI+BTCr(f4 ztL2E*&)qQ*374kvm#1+QobyTDl4{9`n$h00h%@`cpBD_Be41NZ(%l+3zfXB+A15Y! ziDq1{<9u4QJPTB-Y_5+EziLnKQ$PtJ+v$H}E&V>Dp1$E#CbK;jJyMdKGSd)?VzHS= zgzg~dmxhBvR59&&nR`g}cV9pqVvc9C=8NWIJuo|_fxd3l-w)=(RKWP8PwKjnLZtX;#_%GM;H^0jmxgDnDa z179@}l5>zL4Tsl8>o*6^W^sy1n&ktpr;*xVvYqY2?|-F?>wbpC=nSQ36lNfIeG&+x=a_R=89Wm>Rpnlew}-|)8Y>~ zHuDFKJ{5G=G?PQLSoBC2>tbegD~Va?e|Mno`h(gK$U23gnGnp|G}I4d7g!MPWsxX z{`F){=ai}Od^#5aI>Nb%8GCaU-Tvx^-NXBwF9`a8d8?uZ40(82iwjfBht#d7R|VO5 znqu-{h5sc=lvl;IBqqQa3=;=lW%YLp)eID`ITFQxV;-;`GPR`QZpFg>@8oHh;|b5w zVY|s#7SGl;E@jwe&-|U)EtFbPR5E@p@SX&3=)Yi1TO=3d{O+sme^(1Yjp_=x^91I8 zdoyd>oG*wTVwjm(^Pg>&#v?qj@|(O`(C){J;!U1Rhu*BUS${$8DuGI6EuLhA2fCJ& zQI{vokQ}skPY6l~|9QHKuN!X@zT!CWMVH5k5Em|iSQ{aR`XpOL;ys6k(p{ftpT}5& zbi4I))2WkO8ORR0h2Gd`MH2xvxnf_~dsy`%xDgUy0_C#x8BHmtET4_;)17Eg!6`BB)1s6x7w(uU4vmn;qRLykltx%}!1k1%)@d%A)JIu7ZPG%{Yaq zhzSuTOukhyL>(LOo|TQXR0ED_5Aby$QW9D3`P86EDMU&&&4wZ8PMPICA_80G_&OwHF|rd@kx^3XR`7# zk28so(g-1%bit#_!_EWh1~oqZUZ;hR;4Dio(CA?ca7`Xf>6yF5&r>EGt=<9%Lv}r( zt4=VLel2w*rO5Hn#c0U75GwT`FsPRL<~h4vC^)^~%}luc2WdPM)Yk13PXsyKcW^=? ze=e@%J`yE1D^tZX&Vt4k=_0vz)J-w@X_8vmNEyxcj7cZww#YDvB+@98>T>bz zq+!T`bVzu%Y10GW=67y6n@V%)Zt_te|M9gULO<=o>*L;T%E85y2>Fxvi)}Ke}to7=I^~<{j8Z%LJtm-~KC?sGGOQqAcr!yL_x#AF~xj$Re z#-#E9mpRz~70)eWQQ!&nF*i!Q_PF_}~5=gpwYZUp=H z7&y%|I5j$V;mgiQdb(Ohx>0fdo``yEkNtn2QS@}RQEo^z`PD1XlHGI{O6OMMhUB+J zTS<1DNUwLEmE%+}`!m5B-b+F4TpG9Ktn!$lg%~fR)c0&|?F=n1w7M|OzB4AmqN@76 z5TED@&N}}-vfCzJH_D9V;rWX=exa9gS#_X&^obMZsoyuGEp^`G+U!jssM2}sxa#nI zbQ;=bb6uRr`$`>brS!@hK(e#v3C!;N^Tu zOfqrJ)Wp(k6}jGvF9SAw+4A4(<(+qA1wUg=*($Nc4!fhju-j|#e-v6iE23ThQUv0K zLlM~WV_)UvBJ|3C8)np`ZUiBt?9n$&*)lYwF+o*s1MA(ldzZxO@U%J8&cx(DwL%X0 z>*LPBG(wLlF@hz&xXE^is^0XR0d$Z(Y9TpQ;-!~qT55@~-)<5Mw7)ypphw_YUk8|` z8LPYvTwgZJ?G!Hhi27ZSik)38{e0XS{N!NzDMIjb$EcMFqF{+um8RkySVB$SB$8S1 zxO~5`+*5z}rIh>&(`d+m*)!3>S5@89PjIuQL-U4lQ#WCUEJmuQ(tdYsAF^!kkT`|6 z3u2#6hip=>gvE=8xKtxtAX5r*M@iz%)gY4B!?xq9&Sc=dQymwcSUTexv9&{=$tlnZ z)J){q&In1`!s4G>{t94eWkpL{T)W%UXx_g$EMyupsxx+IxbmkD60;#Wxl z4bHE%KaGv$@xj+ypDmV9&3Wu?d!ZYvTFvNrI4@0yW@oE0GAF{T;n z(jCJt-GvH~axv!}ASr@q%W=iL+chrwn#L_hu+{rNxVNc%E-0bC`zWskQFD?|3ZC!% ztFI-AppQ}IGNHr+#csSest5^NXPq4qPY~)Xahw+gq`DK$tF)uWrWlHD7#p*;`tL9l z!O`bfq&D+ql5N!I`Y&%E>4U5~m_wvq=~*@oT4o5^yq%}_tRg^Ak0D3sMwcfu9~O36 zFfhi=$V?+U_;1DQ-RX28miA$lbD=7a z<3POumG;Fn3=y9oMSQYLA@d30=$ZYy>Qz8i3^4x=(4}lMLaqnVA~6V?(|Is-mD$I> zr!Zy;sd`h}kn|_{&eDX#p6A2W-C8#jgzdH#nM>;vt{KFfS>vRV`k0WUN^60x_C{ z+itU8FmTB*5wnyKXRI^d6(4Z#xlt8N8wy3y4K7-JG!CQH$23E3ZGm*V1AE?eM|M#6 z>Dnu9GDwUS<{O9KD**RixwMBB`gW3<*1dDi8<7asqb=y*D#m&kRCt12!0eIpPm{u9 zIEFDCBUnkWyr~%+4O<7k^-p}=uYoS)VI?uUTTUmED7eE#{UcI`MD_IU+EL4`r>&9Z zC$O-Ut1!~u>1Y~T{y=IqH1TDnxjrJaC_#BAayIi))%&Y_m7(YorKxNd?4HiW^!y2x zLW`L7?IX~SD3c%eq<%)!PVeKnEzn~4TzUR*yepa7)L zeh8~I8I-BnT>DUmY&iSJqQqCfh*OI^piVmR6h5>1p|8gLgK;AMz`tEJ!{YpqfW;5y z9;9dFhrefmina=5beLz#k}uVNQrmsg@pG49KHOiW+jz|9PxsYb)0a2TN^kgoMDWZU zZxl+zQWh6wz54Nu^XDImJTSsf&dDFIf@s3PY67b8JeCmpEy<%2n~cSq7rx!4G4+zs z=a$PiI7|F$mG047$e}*xc{arcM?KnCx2$?b*36IIfA20;rLwIQ`$}WZ6JvPN)Q&}# zWR1aMyWS@m#kw6~d3WeUxHN?1D$KGZ3guY|k$7`QA-iH>$E*}N!7EGmYpd6dL@(5)p5S(b_p16(`ytH09 zvRK87w5BA9vvxAsx-_6Pj@J&-`OB=#VAT3`97ABF;>h_VxKA9Ma`~S7V1%SJ`N%q< zf^99Nne@AL$3j9H$TtLJzqn#7wJSS~Ai^JYMcFZf zq(``1(qj;hyTxheYA{uq7aet&Ph{0Z`HdB1>F>`iw!l-#pFtQ@X#EP-twlWLv-7;; z4~sS@;4zON+gOaO z-kjL}$zy5rBaV5|JmO-0*t#ukC#v_{NDp`RCv*?cfr{ zQp_y>n5~5)xr|ahf@H9CHCPx;m||S47#P8XZvEKjhO_F$bN05T;q;aWY2XD_wBJK{ zD_!h8B(qCo_Q!BO!d#T9)1MGV_JjbUZrQ-&HS?nvL-optb8UjnL2lScfv~(_xp_Lh zP%SzkXXDct)A1M|`%-X==3F-33#)O|q>;k*sleNPrtC0d?_^x`usBbO5Bw#z)bS>a z{b-T=dUNAQ_>-0|6=&=iI9hCB(2-x>y|R=D*Bo_%7_=1{#f_TCPajim*BZ2QoFqhitAvcoFajBpSX0;%{EGO!K} zacAqfJIX_^p*K`pM>2i9Nn)y*`oY4fn$lR#m*ADpm!ODHaKrQNDqmvq((6kN`1j>| zSxh`QovNg!g<`6qxm^x*%*9whKXP}U3$hTeM*v)Q0BZ{JPLPZ#4)w6== z;*t>##_4W<7=RH+SSLFKx1IMW49LRHQ_^`zab{N0TSGgKIU>XJnuiq`N;<9{(6O;1 zk1g;!`z1UujwS_f^vI}C1+IlkY)cJ`acLb_miu6S(ciTU6Fs|;ak=zdCb`C+qQkzj>I6gi5i8~0OCO~ zO|0v{q`PM`&x*-}<&i(Bf-Ijb4|TlW76{bT88d>ppTWg=V#vRBlEPIyjyb?Hk!_aY z%DQ6Qjgg-UPMOi9u;C!xZ57-K;`C0*wc&nmH^`EHqGq4rYnGv!M-gsZ;5%V0oVFy) zXGXb|oij~)*gDH6Gnjko*V-_6iyVF47)kBtFu7RyVygme>tQy)l`IB-)^%8oW&E!4 zAt`qqy%e#00b%^FkLAwP2Dg{ZGD1`z zA-vj=D>16XqY|D}R~e&IKjOgVZUQ#F!pG%8tz>*mLwldr(6?5)KD;PTSFJO_KYn8O z!G;1K@|xJ;o^UYG3lW@dPZQqF;a5(HT{zAI-}j^~^8u6R-SMscg&r7FF0;^^=a0{s zeF8Ecs6FmOg54uPFA|2*JN!{otR6hfqC7v_*!MAvoq-mULH>V~tOFi#80UUqAXwt9Z1< zLsT;1pfmm*OiBlGiuRUL zw4^ZER9<)Q7Z!Os0+vaCJAxfgvy20_uxFkX7n`?wwyqMoGTbe;Mv_-j@8q{9_CiKR zb&Ac*epd;HL>?nlWH%zrRbBRc+P_*VXM**d8Fj_FQltNI$QR=DAML4Fc-*cu_``gR zxNPVC*A!LITFyBZJ3Q&FigqM!yoaL$Mck)ba!X# zSGq70_u;V&5t2%^S+v}j2*JhJS!|_xhiCN?ixl;u8N=75wmcf-K*YTmkOMf8SOPK1 zc;3XG<9r}#Yfo}b$HIr(vBb|Naz%l}V%4>QY*yymU`2Hg$5D2*hf@2Z{@LfY!c zhqDps(n=M48wu|fo~#+LWRelGv@?t0S%j7+a6jTQ@EE9@MwwDXI2G* zV!Oc2U+?fL!QVH~g?HTXBs8mE?{L(PN95b?16TC7`o(-N&;oeyk8n<*tn=N=>o7$(RCfGz6SpdMdt&A9EGU;O1g&?YjfB@Ia-=&eY4 z;++ZQp1|g~wqA0%PdI!QVj3g2t@(S?KKA#PX=hcN z-~TkA2zH&R53*3_AHMB6liwc7Rc(_r-zc}j98N$gh`vY`So$ysHHh{suHmK~7onti zGm!P$hHqkWC>?|e%kNsj8$e1s+ZH3y#a=&`ffr)_;%rJ|>Av1)nuy}f%P8_oUBU8K zEq%!H|GV|(nR~)oDog1-Neb3fB6Fvo$d~z!58jlM7@j}KA}Vi}jgOCr*G#T^idux7 zC`fZ1y>Y%uy&oRObCGzNgONCF=go+%$BbA}$W%kA&FB3&>V99<>_p(Z*8`&$iB@#& zfED*d2GOXn#0R7jiS_et?g_PQEnh_=HI^ShzfF$IKn~b^toNC4kmsrNM%aw0a@P=T zYpl9um0`yZM?9SCqspq0#EKJT*c;nlB?mcV3LT#htQW7P9%fQ{NMqA4E*p;^87UoX zDVO!PWreQIv3LyK$jTHn(x?S71bS$a)@Dt|re?pdg-sn1Y62`F{ik6!qkm14F6S3a zo4J>vBbTC~guQ7zawX=U8*zF>f4L!=iPcm!55<9z{C0w@{4+tos06Ys91$YZM=LWQ zAu$|NvSTF#F8856=m)`c8lafpEeY2N}SBAo%xuVGRufsmjeN?g_4%e#!KwF`&k&p1g z|H~LHi~XI4;1`U)N%7CKOgnm0n)6>Dzc#K-y`8~|nQh2U`Uar=70s;+HJ^U<@YkO4 zto>0Hq9;?J;k_mEc)Vp#Dq3~m<*!K!VzZxPDD03>lcD*%o$PbS?`xgxf23LlW}2$v z)G~9SVy1Y?d5&hbm~&Mhm({TvgY<3+LLoKAq4Sl2?Rg4R7Q`D6lybDcToU$anKR=b z)%&7Rg}!KIfo;L4eIGuBemqOZeRq`cWKe6jH=FTn@zRR9z0fnfFt$9!WTu$AfEtva z={=n~Q10*8(Y43QeURBSFNEj9sfgx1)BqE%GSnAZc{R9LwF#+MbH~jeZgWoeYie5g zd%Agcm=j4#mao}HIC%SOx`?!OlibFD)P^JhJV>GVjABYQ6owLICVOWaiCEZ0#Ftc`j_c|N#S$sA;hT1q37~H zulJ46$YWAR#?{U`#oi*;JD}%QwxLbBDxX$sE*<#dE;WMFS7mKePOZXTBj3kC;`Poc zQ9VtL2GEG&rd+$+h$KFsyaowjoE*!<4&!bxvc12WPUK2xe=f^Cv!~(L>WUsQH~SY5 zcV>VB`*Lk`TKq5Nbebic4ld&pFc9=3MtH(>?JF#>`KC%vU&$r5Cb}>kA_a1gtk;SqOnlk_e}L5VKYF;^-yG#_|hbz_c4>1ROP_(E~>Y@tqqS^ zoQf{K7|B^NL0bJYPG}#Hqmr-S`$6#bFWl0eQK}on7_2>I6T})g^A*a~&VsMYj_xAj zIl895QRvr)Vnma^sybF>)I^s^;%YZ_bdgoWa zaY7QmXh$+i5}wI-y$zFt4Z~ZCrJjmu^AK;rl*C%27$P{hXEW;pdIA_plc9iy`q5&m z7gAhJpL9&vrVx2P*hQbjO!b3KU@1bj9io42gYZ@m#rr7X5ay`wcsHPb6v}k_`FXD4 z9R=%Tc5Mw_8X&%k?^;KbO^lQNQ}I3;->J?7)c}Y7*Vi8lAuDrD}Ej7g~- zE5UJ7d=-7g74zt|$lp)yOF4kpFu@{WEV1V0fOvFxb2VKStD2L=`Ew7MFXv6~%V0)A zB9SXQ&>xG##~MQ4uG3@**EU>ABYqph{Oiw6GPg#_T+GrJl`;70}}Z z71ODQD#~)wZ7XbJv-})uXUHB2o{Z8O> zem7_Q@29gnVfB0K1up({;;v64q6Nko!}bKRbvqnFBX!J6&V>x^cam7-L(uyy0t8Pt zBvZ<*2lPSj9P<-$3+`LYpvE#Rb}$>5FJ{CFa-R9ImuI3R2a~;)J7$wO6V#2W$5rMv zUnVhAZ9)SYWG6C*+=j|Gg

J9h)&_o41P~?T+x#sVgGe4N6-vK(5ae-eB_a)&BRz zqvJIkU<3v8!5Co(T+D-_x@wxn+b;XTEBcR_T2GU$`*2v)Ox@0;+jglKs<=Gd)ryp+ zB&Dc>_84a8H0`X}_5JV%mj~Q?u`ft0P54h&9TFhVMn|DY!#GSPOb8o5ft^Tq=94G& zsb@pWwhW4OZMeWsdo4QcYb|%dwBOI9x-QdcOum`rxxRSt*94ra)V;0WEivbfP*X({ z_vK@q^?_US4NeD=hpIsnt~C$Em*{>AL&H|v<}G|^hs)L*Quy(Q(G6m)qCJ$9tK=Zj z(r==g@Qzu*4eDz@^0LkgnO`%j4)`*+<>iAE1`o)OpM{Q!J_`i*W>*!#1@ru!lw>v7{?elDrGeBTg9Q4Z}6~$DoX|E9Ria zAz6Und2RWW9PgBtO#7Q+7Ot3drg@gcg0oYm#%>g!xfY5dJJw-B_|^@n?Yi$tT~TRJ zjm|x(IF6Hpzc(s>+UD2RA|e50=t$)RP2SXu$}Bq&6fxVu=p&V-^3rCRh2IQF@uMN; z$(xY6?_iZ^tU>K(G6~_OWr=6DcQiQ%%4KZ{e1q8^#3)S3o^yi`xMd=p?URe5xvpco zjO_N9BmT(S$jtga2I#>{wJa_x+WsTM*{7 zmKx^NXQ~6HvP9ZvfiMEE6!7f7GbG<8c0j8188?`@hrZJj45U>qLhOxM;JUZ1?LI#M@foK($;` zwRBBTZq`tN^sni#VH1>o(nJOSnE38y$pdA$O_eNQMGfT@gvUJl1l<)`(iO`#oWh^r zug!KiiRmd}7UqbcdY&IX{MBMK>QFzkt~A}Y#n%DEx$W)@)Nk~zQId)XN{XY>USisz z`=fb&&`z#*1cueh3_E}J7d{GGJ{?Kra=zdK2S-pfFc5?*55y%6a;-aP0Z^v@GjX9+n-lgKOl+WXK+`DAl|ag`xoU z3RMVAeVpJq#@xHj4scd%L+~r+a?0cqAWOG)TT~p`S+T|=$J^aAOYqH#De(H)=b{k} zmhIzEjI>`&pqHj4#U!RnyMyd~r`MWc&d^z)h222*2H7HTk~`|m!*+fl zK~#FBv`>bTkJFcU=I&6TPMXnee0{S}e0?6z!xuEZ|6a9*P!qsW`>+ZAkVBZ`e?=o58Pd<6n8R4kWxs{$|~sMR$^;4Bv`6^up~0Qy}Dy zo7>~QxM}JoclmJ-p9C(UeTx`0Z$t(KV)3%3F%WIFo&CoM<|orNvMWHbP8r2?&g`-koYwK`c6&zgL5H zV}rd_{OD~o0d57Ef5VBpzMZvQpG^aQQoLNfTk6^5VflM_;I~k#w4ECuONBI3Pgd&bl&^ecnk|g$i<7wMbSx5VM_EqP)!?M_uY!it*js#g!qP+2Ict z%$;KQF1)cieNRG#H9k+zUu}H(PHJyMyMo<*F3;c&;mb}SRrTG%J3;YRTkk~V-h*O_ zP<}xTj2i^d>>tBSYo+0){&=R2Ge~;(h!xO99NVX>w=<>NqF^WqCnE8EA33c@*i^IpfG+Ybs z57WXPdCxvl?Eem&ex|#WSgfw;J%UPp1{}g5-=L~ZL3nfRB?4JzDJh8tGqBQxswEH2 zm_Hp&0_7OgwnET#l1-U3g-(PCN)k!oyQ7+}GOd7Dfid*Fb;J|xf6ht0@HWIIZMD|2 zdEz3iRP7_IQ2dV$Tt2@H)pqCZ9{LwT+zl_=_7ecXyuW5eq>}b!ocuQSPL|9q`@YGW z4p;Gnvv)>5Yz`5F-qaNF2itAC!$ZECRNU5H&tF3Y-%&i+6Ykzuj3g;|4J%J){8Ln% zO}Lh7@Z1&duCK!BwjahW%~`uCnfY9(N#Ta!ZruHm>MfAHxMz~8WU@r4Q*imusXqh6 zk2!!BfRgzPEaKe3O!{lr&r{YkcjiPBBWcgJzB1AkSsoG@3s{C90m;E%Vc zxN6j?9T#2#-iytWD#JG6z!Hvr4KlF)AVl?61Ncr9XbgER9~3mZR5+>54i+~3>KVp9vcB0~$i?Q1^GiI?z@;%&FiB|_h9K?3$V zWPqb(eXsSX^$dLUwa8cLe z6Nn-$`^RUU7=_5FyUIfFw>J(1UqM2PKDZ^Wkx>`){MKKUfz?{oN)`JF6VZ+me%x6X zlr=mlhC=hk-vU!-+%GL~-}FP4_t>Q_miJvd7Zm%J{MaV$9p=W*px17AcQx_L*QbnU zlCKZntPnBNuKIaadm8;Zmn!u9_7Uxs5`J7xGszbq%Dyl}iP&8}rnGo>^sF_Wj{n=J z)%)SIOwG>Dhk?93dDG_LqJK}Mr;%T(w~%Det+4fZ7s%H9NFTEN4=H0lInSl`B_95RnVyAS7 zX9DjV7zMK`{YB5cv<{%G1xyLZWRja@vo+sXgr>PgiB#k8jW#wd3p+s#(s;HbBMsaw z9<&)OhDRNFP}E-Tvgg({n#BNL|A-s0mT@)p2sQU4uN&vpd zZNh0(wmd7CuUxo7S;4|}k!YwYGT~K^dpi|!m3V4b#_-HIbuM@HM|D6CF{((6VsEt2 z+uX<5C4i>=Of_CT-A4i&W6TKvV=0pqu}JJ`&t`kl<7CCgu0QaK?SH++$u{Yw@>O50 zqW`5Wp~dkRvpJR{U>OzE^x|oNKSiHG?9;vaL(%}jdZ~5sD`6#){VC>tP6+@dTkGTPsWIRy@GP~_f-0q@M_=kP#~u_iPyey)8V_C9Q}aFm-G55ED654 z-Hj**6_jOq;)Yw#0XY+cB+3V0$ZBgU24IFzgF6*HpS6W!l)Cw$`@vHwl@Myq+eFd&vd_6ciDbcRq?ub7bR?W=rCj-gggo3nV~IwC0y)SH=)t)p*B)otc5 zdMo-J$B?o@IB`<*$->7r`v$)nidu^=3led`H0hk|VaTP1-nyI|^O4(p*$saoN)^B}Y?&bIh}fEe@re>9zCKvVzw z_W=p%lI{>ex`(8s3JesKZWtXSq+38~q`Nz1DBUo+8%Bm9Gd+XDVb|B4{Na?o5wrd2~JGCN*X1Fbc%4wYVkMwW(iZCXnV=mK8pyeE(^a{M!Fb zjmNhyAi$GPD*v6R*A;v|Abck_9oz6rx5+g8J}>57}=mlMp| zN5fiF+UOIiuaB;sYk1IMSNfEYPm%uNAS%?8+bNY{=BMUS=@|1w^+Ymgdqeh990g~T z0wIFAk3&9;Qh?@PM@j=};xBK%mt3ujo+ip#F@w)T8*-8a_fftQ{e@(*XRTTYL>@N2 zdae!V%d;z=MOn>&wMwJBKvRpy;Kg3Vl_>6ePo78?T|8ncEgt*Nw=V%3@;D@wjAaen zHEE#t0!VoV$=Ei9pd?gIfjvY)>$fR)bR8al0bDCZ(n?EV zs=Q4N8M#UjM%bTqWg3UO3rc78R>~mKt<0X6$Un~5sUc;N3j>yTYnh*VfDoal8duL5 zy~En`CqWGrH=J~yy1*Mr6}RHliWKiR~esWm&xSDmNgYolonSp51}%;=lb(M|KdF3uGCR zx0|L+WU^@W0}(-cHS4wHL=B+|t#+M~S{n|{;1E5{cGeX`2BYd$j3z~<`lr`&^W=}0 z=#WVU#R39thlVd=cp@caP;qnnJxzkd+D6}_24M;NF}(dJHBe_5MYCd{MY!|UnDpH>#>xW||hmigC9-gc`RAJj$(W*N4_HW`? zCK>fy3=>wzf3G#Y9Of$mLNGVen$Ji1EGJ2nnE+f3D(u$OEU{yKfypZc%Nl)<}wj~ zM?TD^{-1E|6!vyNdUkRs0l&^mVCsPsJ9ZuJ@M?7Kb1Q6W zz8gxr{Ba^aLpK6eem!N|o{?2_7hI&*@&>~e?PxqCsP1K@O6->^CS_oEubnc0sS434 z-AMaVS1Or&*1g{PBlF+FRXDrPZ)AGGe@j6V*c4nkle~Z7rV_7f$@25)9)hbHrXD5u zPu9{?AZbsNqFM_6eed>u`sL+RZ|~tcSys75xP22@>E-IDt7)$%rn67xO5nT9Krt#? z_gZ$?Yf@Q-KVpR$w@)oMWoxKVxpJEM$C92#x%!l%#)rhXs?f98QNgfK2_zW>aO&AS z&{{R^)LsZlJ{9tvGGt48XPhjii_evuhbYo-n)aHR{mI20HJ)IZ9gy^S>y?oByR-wL zzysH5ajqnuI(Df(ny;t5sxu4BhQ2;1%}s5mwNv)};A+DK*c8AODw|{I=?C9+9opXC zBzefQi%*yVE5O3H2Tr*FUX8&*;d&~Z!Oe<=Z#%KWtf}vg7vAa{%xWsQ z-|^H={owTe+=S`SUK0`l;SE`8hhvgU%C;z)W)I;xC`VpwO3;u8V)C+xLygMl@k#Q`%4_h_2Jc%^W;BZGzm{U0S@fD+G+Hz{ zPM6&0R0qN}J(IxN@MG%PUmUZ-QE)nwxbKFfiE`g4_}33SJ1KUgMR8bX`ktM($Y+u{ z3I&;$)x3Qyt~X0@nJcEa4foi%3o7-qDf(|zla;+E4qi4qtV)xx$wmH{Vsg5Bk!kGg z4Of8P5dmSW-C9ziT67O>r|*M9c{;k=!TMshpP7}v6n)QiP5WL}E%!&LhwG(Wk4|TJ zVkQrUs{0?Wlp=mOSShfmewId@e}B5ry6NDeGtu{;j-z&pireyq({e2LZ?X^YA@GT! zoGw0OmHp`Hhmrb$9sc9tbM`ilp3=nnr(r*M0HY7l?4=~#9;4y(mKMa7Xm z{bnGPgb&eYMbVed{168PzSkGiABr{J?ejZWCqcSB5Q#9zi`RNwC?f(H%DeLKq2Mmc zT2fY*kebmieu&SwG_2t+?fr#x;s$7GJO)Wp;;pk%pYt%@N}|fa>c$rmm6J0^^%wfU z>5Ss`S8c$F5tC`R5M-AuVE8#`OlTNfvATWLF85h?*D>rLD~$AS(rYTK&#<>>0)GvN zmAVewquPDcO*hf^cJr8<8qgh@{7SIK8r~!W$BD%Yyw6+<-`)+7G+D6;=tlrYhmgBl z{F#+$G-x)1PF@<)Nrv1<`=$+;&Y%9!C8i=P?mwuC_61My+epq;HUSw>B)k1VLPWOD#8znJC_+9 zxWr&hV-=0O0{&a?wBFe~4{lx~Ku&=M^l=TN`Jmc%8acHL8iFj=O4bdqM50tvDKW=!0*NEu9;5mU zlRfHO{NPCm0hKjc;}|A^NXv|&HL?B0sBU61$*>3rEue!Yar5%!?x=japLz0ppZ~_+ zB*TbXGZhhaC}vQXhd<^?7zryI0iiW`ghFUfDYd|YV3XPn*aC~akD6js6Q`kD2!)0VTm9Tg+I_Axpho~l=#GO@4Ns_`KsHT)6Aq#gp1woy7l8N5LDH%QX; zuCe?v4>4%CxYq1*I>h{Jd_mDWY&95~Bz#0STTNI!wwEiHh5%u8foPT@-&pVz4zUj38Rvb%u-S&7~;~wzD~+CPoqcYi*1j z{~Y|GDmWy>qzL$970GuKgR=iMoR8F{C(NH^H`i?-_1=}z^3Y3#?e{o%1XTjwn^@j$ zF8z1vD-axPz=NlH#^HRT_#wc#odq{LD@UD0+8JX%b@gVGj~b8w>u6PV&*o{TR0Q^} zb}PSYG7V#9Q%gn2QJ4;4%(YGLQrEYyFs^**9d;}yYKs}ioqu9@H; zQ_p8dRML9mEF!%YF=m_&E>SZa7QX%=SEVqFeNU8R9k0G}cxu0*cwrZ9l+G}Tc@F2b zu|qgBiWEPvyR1H;yDd!BlOT9Q<+J$lD~=5i3Vz7(=Z+utIx3ah>a!$g9IV>A@?x;g zo%-Bg!R7sfdxjW2mPO4BG24+B;@kM@0gc*l5}b{G7srCi4Jux2b5fVzHJG(c=C04BE zkJ$nM0@DWg;b62oGLre+Y)$TE1qw8a`z4!fR8hnXJqtFvL1Y9u3$SJGtLr@*(vEVz zB$;?ILmXyGG@i)#Ync3x*fYBf&Sbz*CRA4YsP7da=F*6#UKcm$ zj{%vX1l~hN(jtrmf@f2=I!M8Nowa~K9rJK@@YbUV6G2X4BM{p2&+$};@WSg~no(Tl z8DRADhDuOGX@9N&9_~$e249INp`1=q}Ry3Bh=tTYcm>hG9)1- z5)W^1-$?L8^j~JA4?!p$d0={8_FtuZUn|HA{u{Mw3AosC8#cqGwC4LcV2{rw|Fts_ zHLiK=+sZy|Xe&FA%Mm@dMzYrmy?ZzE@RQtaO0_0fV`1v=DEWHah6hF10dh$Tji^u%U(Q*2XP+ggsH74!L5}mZZ1$C_|pitnjhk7#G{xxj# zjnu)ukoJ$)7M?Kh9#ms=t!IACFEo3ChPFL2*2}B6?$bk`(Zwx94p&z~$b=f%S;n39 z-6DNyxl7fI8W3%az|B_ML94^upl8CEGQ0C{)P^cEmZ)Lm;uGHB>E92o_jHNxJy*4c z5_r#dT?dcR6Y&N~?Xd-zHdDPG1!zI4ScI5B{ppBRFsW|Bi#=71*GVcj1b=UP0$S8V z`$J=SU9=7NOqkB@$App|{lI=@6V~_=98NFdgb$JB`oAqOx1en;X85};U-Iy2<|&5M zvX8QuL^^ULCLmAxEngOM09%KGAyKk0>Qfd%X1#)OWtbc;-6d zUi&%|-mb3_iQ8Q`a{YkkP2m6DsZH)K_rLHEd>Z5zpD%Ql}JpG z@08+|GWrUtdgdJsUAm3st75${r7|_N!#=xbn_mB%a;~(L(C3Lsr z5wh0O;~9{oMEe+p>K*+ulJT2VWVH=8x74tQnfX+(zgcp`hb(-WG{;H-zv4q9LF0SL zV6KYY{@*;_tjBF@|oen*hDcP4U3qVS06w3?y)iCpVdy| zkHoC#rG({rCc2#jZcuWqCj4egSWm<1s=LXlWcli{m70Od*yBl$+!&lm9na~S46xZ+ zHQA9+?{B7-DBZ5HE8v~mJ)z(bcy-(_913|Y{H2AFr`C>Dbxd}#!}09D;6*u$< zGo-m}GX6a&;~!TPcwr_%hC313XCPL|tC04_dbhL!^ah7N31k}H%=Y9C62w4+d@uax{qoDWiR^m+KySHDQb%h zHKlYl6eY8gf_!Ie1sY(GP7*iRxXl0j?M6CVamyq>LqJmnDG_(QHObM=5~(Za`5|o3 zdhnbQSo<>10w&5@7!++hDY!I{cTb{d6nh(>;CU6l2@)jU%}-Vyt_c8SLHJs zzT+xUaaGBH=y5%FV<U_611bBhfhR3iHc16{$FwtaHJmgr`{#fEGt2Rz@(6Y z(wBjN1X-6F0y1$G^%zy6C^M;~5wG|$G#r_@=yDXgL%AvdZ=;n|A|C-+IHy6!yIxx- zMx8xtIaRFVG~-i!9TXmEP;QkCGL%ENn*DNJ=w-s4hxQ?Dyxi^w(QL z$OgFm_SI@%R7a>^BRltCNJ8;`v$OT8k^A$MpGOKd0mpDK`JG~e>yh^|6_@-}hev7-`=zHroXU1wo)jE&u@h&rsVp}|=<(zVlOR=5f6Jshww6dEV(&{IiG$rIhT>WC-)4Cb>% zoZ%JlYzc3bHt;5cJ&{A#B`xZKIH3vGTWJ!8JGVOXeJjDIeQC#81QYj=#vJ!=3l7(9UB3Yoqdt5o+z@IN{gL3)kNQ96GNwN`$1qRyLj&Y3ewW*! zbN+Y3A4LQ7C)pcS5lF~P5|7_|pLf9}N56%m9Zawy9A9R9#Q4;MD|0|GZdZDT(bomKTX$OuVDQ0{5e``0!ct z%kPvHs*Jhq$*hgZ{C}iPb`$?@c`Kn+{IfP8UyHv)1XVEU?LmOSZI+`+@zkizouK3P z9WL*xIGyo~M>g$iW^Hs;7dgldaU(eTqn~4|_l^;*=sk1CZ41X;^iJsA%#(;8C1vGZ zNET$mRqNM0wjpLMtl$X!7!y)C4g6vf;?52(nbhVl>Qc|- z^yhN)@`io`hM|kv7P`O~_xu?O{qmpNGMw>>X2# zXpnhOeHSP>ZM&xr_e2k+!g8rE5AB&wC4Xw4kJw@9gFp?CQpPpbPZsoqNnd&KN{Ayk zW{xXkz03^^7azC;ViVBAL@*rvA_jC_rx zKU+Fapd1&Mu{h?R5q{sWkQ&8Akospnfg9Xz+w9^~@R@u9?t2S4z#l^-Pi?;gTG|vPgC#9F zZ-E`)kf+d0Y`=5U+OGhGZT4_M=Sm(%gyxFKbWKmcBYnfexkM3 zsnLF%oguLGL9J!vot^+4v#~p9@EDW`5r~(z$kPC>0(`RXlvR&D07Cj!FgH>}Z6kpUXOzNcIJ~d$4IG1S#W=%z#ZRxg-VNz( zqaBu!y)?dB9_+0%cK4#z?phEq9BS7sl@)5{Fk(a8Hby`|9{gw`sd?=#A?j4xYIuN2N=d}8F6pUoy2%`YQK1uNXB z65p3OK2RTjN?tb3_-GF&?x6aWllqD%KWu`p3OLzMajMc)?}o#4Lsu}!icDQCtAy)| zH`??UU*u;+r`%s*nAFD%aJBg!+HwOoM$m1 zMp^p+GDvhKWiqPe;C&;qTjqL;*q(0#gr(*|M9`h@+|#~TgK=y>z{Bi0D66|PjWS}U zsd8|^H6gbiQ*en85UV8BhtRI_36V$8!;bpm6~r|qPN?$tYepg4>$Lr%y$ZK>)Y>G{ z`iEU6E-!bj(@cq(XS=f-uarc{yx0iV(*l==RrsOv>y&~rI)|a z2>OJP#96Gm`(E)K%x`vVLPV~^A~Z+bX{4bL*y*$^J)VD6&PNEJ()+qhDw*sSl08&V zI-Fu^dlfBDPL@~I*70h+2qk(%)aW6CDV?=g>SLQW@9(Rcdqcl6sr?94fb;PXv1e(T zCG}8gIovq^&HKu%N(k&i^BcX4t`J{ z|He@@d3G5k>T(mJqa)I{9UAFQa@Q~mkQ4wd@;DeuU?)+j;z&yFlCChXs>E=Je*XNc z?fM%)zNCjQj6i7Xjr!~X`INRbbcF?IoWh>M8@=0bK8dEIe~&W?>W|j9L1sKfR!sB0 zZt1xKap%zPs!W+WFfH|S&0RLC?Ys7+x_MxxTsA!~E2M)&QLy-9C|6(bzV<=uXc|uF zfIr!f^Kw}4V^02vAcx#Iw}P1lQWyU4zD5umb6c-J|N0LLU@j#y(qq*meTq~!b^R!1 zNXR8kPUb`9hXYgGq=oeQy4D7l;gMpf4B-`#sF#))$$J8pQpW?=a-L}qkzgWku**5m z?sVxr8lP>UANGdLuc7OLCVfm67a>SoAaM5szdf2G%->J?qgR(^Ed*x>@>`6h^-ELuQDeaKw#vZgQpbaZzPr;9 z58-h~@{lLS!+PeB?t`bNozv82XUDdjcgn@TdJRZJ!8AD;tj*>VDZOR$6-i{`BuuOy2Iid%Vqgb|P>GyK|3!9WvBQP@zV z0p#6)6jGHy#6mOoQF8{G zsQOLGGCzhKfTD@mTnKsES(lp@58cj$Tn)RLyahJY(7b`Z-%TCs9WVyqa0xT2S@h^M z&c?p@>C*_V@(NeS_TcK5ds!UCSk9qVrx5zch=C38i)@B;2bBSTyFM1T%r~|4xupb( z#aMFJcYstQW>0A(RQlg65c=|)SoYC#_2S$S%&k-(Ry;tZidViSDWS8g%l%Lg$wh5H zxLNmh@YMQT5s*c^g{ zKe=a4l#6RMK_xk5z$up;Epj4*dnW(=y&{P2gP(kr972Q9pRh)NQLQk2l8x?cZZQu( zz!vcweEpI+zx#tHRJKZZv0)aNTnGR4MoW}xBo=r8E$-r$Q(wB!G5y|+7toa&0PgTb3@=KN{6?*4M(_yDvyEH0uUpyT+@CIcibcXo- z<_50uX3;5sEoI z=d_6V1#e1a;B28H+^w{1-VDCNzm*v0qEt+M(jy~es*9Asf{PU^jIFnaqXurmZ^$Dx zkXz_NIIoM{t+H6w#}cO^gFgW+{edvCwbxN#4w?=i)ZWKRAECdvwDqeGnN2w2ICco_ zwz;Yg-`O)od~NK5Y!}yr@T2+kgQ@~2n;$GqGOFvGbncDCwH;PPH$yD|$O#OpxdMPN z44_^V{#e@W{L2z6S}aDw#|9^=WcDovmS&WFe?HUIS@B^S-%q8ebYt%;j8e0FOLJ{@ zMn4U7@$mJhf6cv+`bPh5X&Z#P^UNPkV)`i+u>sJye8y;>0{b*A#Fx~vxf(QXh*^;gL)Pi_2#n-=;t`=Y7|*+oprCG;ya}9 zkS!G(lJEKZt_)6KQCQt$KgPn}`fIi@o72vDvlmlgg<%2&i2LS4OwOie*4M!33xfiS zIv-Y=S$^snoTdW)O~-A8gJnQ|c2dRJzp#*!FXD zjsuE65xN)fR253K+cW3a$Be#p3EPVxUUT&OoFqB49Xk2;%O?$eS^kJGPFr<;Z)gSV zuH__--%q@q=FJolBw#3eW1pdCoKd_K`NW>u+eQ~@_XKH2y$rg@IYWoHl4^gT*(v4& zk4WQ`?scAnT89&rZ8Iv3Gs^zu%}HXh&*3czjYgBfzd`Z0DEPo*B3B_I6Ovdvz{3Fh zR>Fx98Z;ZK1t=Kg#${eLQT0Rw4KT5h2(r?ahL$97nNIvP&x*HHc-2ehDqoI7G zW2$D}$!`R{u1mF7bD4w%T3jeZBZe3g7eVb|P!R*DX>9y3iU6nnuB#qQ!kbHY+Yzz<{n%QmH089h)mvGecgH^EV!rR5PUuA zx-`m#ArjU$0z!I#fx`v^?x_=z9Qu3{07e-ZXB-~DPs3XI=IfXZR38toEIcHa%_+R% zSLC@UP%=-4vR3?fLAp0`lHxWy_R|iweoF8s73#qi9+u!vM$uS@?IO%KboE_~qT;m4 z)E)u*tHx*RO#@4LT6jEU&u!Ah!R9LlU}tLjxyW}zp(DqSym!WyK%G1ZHTKB$UwZS5 z^!aB9Ugz^a7$M1S+ou0Zq;fd=Ah<)$pzy1hV7-hPQ>{W2X`j^R@RJ`{_1e3@&=mXuFRjru{ACrZ zq0oPjp-@f5XUw)jTLUkR!26bDKAckNZX}*8ar}v-A9Dzfy$p-y_c+w}8$^#%X4DJ3{ETgKXyiF?Sdo(P zb6yor?c{!ALiT*svF!rE2Q<;Zj!v(!j;3(%J3WAymhkAqa2pw%qr*9o&e3BAPWsWd z8w9iK=A`bUo3qK1t3Crxz8y8bQTif3a|vWlB7jdZptOYbje;I6T1eFO^q-@HPowrD zhf{3B-W?VGnbmAH4kB-tH(Id+u;U$bl{pbvvGVuz0tH>w_HVp2=iR7mEJR}Q{Q~*MYKRzUL{tTl^ zgh$Q}8zLD>$_rIYX%B!F>C*QEWDSsRf8rYzw0kgzdVAq78=cbO3#DxRI22?#-H~Yg6xEm8oMTM{ikJ zOd>#l`~ieV4%@MiEhSsu3Pm6fpT9tD5X#R_Q-Gwcg9JGstTzHo2a~|(Y%%Va9uxr< z8SRkn2gBeVQ618b+DA?btHE8EJo8*)1 zRoxD!Sxa86M*2C5#||O`E=~=Ch8KUYzi};fOF0!^HV_ewOae0Chgn{ zp&zQ=wu7mA-R2Laz4fZEZ#ld9#kPIuz9MXq7O0M?CBjB?LTt9!Q^Em!L&BK#aZg%U z2U^Ejjll8@Ets%*l)o+EI#q}nj|fuSJ2$MhXaDhOirIO&z8BDYO{>0;UxJM}kj~ zY{M+itOUPr)i~P-B4lOsyJ7Xe7iAQZ5<6@iIuox- z=lJ)zg=$Vl<3oHnwt;Zk2YB*br&vf@q{Emb*&{14uT*)4Pu2gf&+vGNd)#%lN$1LR z$%ES7HluGeRTO={ZYs=}?6FmzBt>}4CZe52{+Xo^V*SBIzDiKDZSRcfd2elRb?9nm z&iA(KdgI;wzP8G-Q7%deq;$!bCU^d#iL7tok=1X4MF2}(Nj4Mjo8TkLUj%~V$QArp_96Tv{{M^zLQ7cPrJ;f& zlN)|jhz$j~tsUAdAO}su6Iy8bQTvtR@T->0l*G|VcsinYvVf6dWRgcg!NJhdYPV72 z2e%|c(#nyB4axyPfAqcQbn5Gxgp0?F_rOI#Hc8P_Z+&<>Ue)(jbuR||m1X^{{&^C{ zgs)X?+w(R!?1$!@=!*7XC1dcZ!W(4ldM_4nkg3$BvevrFf1(o%rJZhN!}b6@VkknK zu$<=?C5}0WwHD$2?_)0%Qv8!}&`s+JfY>!RxUa2|8r7M^mLgN}^X^T*EaSaszQmpy z+()7h6!sf2L3)3`%D{(EF=PiQ-kiL<)zwu=s@Na2e&9mQ+J_7`QBf6Q!sNwNJ>M@T zDvRP8XXF%qV7#c~@TCxQfIX5$y{^f=dluW<(<-cIsR!@^9$cuk*Um%h%CT+=#yom_ zCtTX!!Y=2MlICd!#Cna7xj1kOZ8EZGm;^KJ14nYuqvH;6UPKvD{^nvR`#(b+u(H;+ zFgIQJ`K%s0N8Erpa=gRYe|2~=^WvXH@kHHl@p1xEB>HYhV@wHO${=&^zUeV_lAvA; zcDrK^rOb9GnKQ-19=Seg;9^eZnaRbp#Ulo)gQj208~O`fA_PrLVE1b$In znmgcNASE;gks2|gN?>^5Rjfg;3p|7V3Vf&~))!hlnFmY)nK`94F2?thgzxUFu)bCv zA6+J8RAPR05c#HYRfom*(4;LLVaTB5_ED`5o`O7ZNU<1$87wmXt|hvUh59sFjJA`5 z6(8ngtxTb~Z?>ekQI&udb3j(hfxpR_%ba|*{Slc6X(Ug!&w!b0)}yAl$@a1^@+;~L znOGy~n#W<#Vz$geHI$1`l>Qd%C-@t|5Nn{&9|IEdZIPRLl{D*nnZX(o`!ZeB3y~@S@ zdb(upvo!igJO?J%;*Gi{py;kKn`nDBR!njsQ``9k;)!y!2?!-n8QA51 zMpQxudEu#e4r*HN2D(5`(xG}l_Vvqui8LpH|3yqR=+(k>&B!_AbaNcYJVnNn_g6g< z+!w+5+yC#U{mEviVwK1|bI2})H$4#w+0GHSX(Tr+k4>3&&N2aZ-spa}l4?LoIdW*` zU5a&|U~QrX92Yfc>_27A&C~<{0mR|l7!ClrIp@+pTB$7#|0Z9&UJ}$6MoNrXsMG(% z%K)-3`xVT^QMH)=?XHeheV#9sIIZf|0S~F_t ztQVMCyh1f^G>pJchA;|J3)`OvP+7f7is1&(IKBsDR@C9;pnBje27>OT626K)Z{+BK zp3BJo3-XuJbB(A2_A-K7yg!(X6tU`^ft%98nT}pQ_YA;@|3_`xa;!Dr$^9U2$fX`~ zFVqOmWlf9`=WXc^ZDF7KA_90_j4`-gNiDKl3;4Mh^C$q@_?Y7@k_-^gi0M6(Jxp9_;r7h;M@_M1p|+@TLSA zkn%S8CqDQ0L^P2c_X`j>D7s~3vR_FBBDy7EYzhYDU&MnLT7S-oH|^Oo3)YpZSA^}< z&s__9^wJ6TWxj;XU8B@Jp~KT~LAtq0*IOr5+N58Ehy<@G71@{*T_bfj>*Abf1zIla zTUXk(^g)w5{JzXz;~E%ly>;&^E+WQEZfh|{o3f(q_w8v*acFOrmc zN`96>iGBWo(vmJ63evZbNK!b@0PtH`F5D#B=j0{ETdk>-#&qiK?(3n1bHa_PC{(6E zgyg@_;B&rdfGrq1SnMS)HZqx4$Eo!q@W<;*)6H{}-TiXK6ym!|J=t!{fboIl@fBf` zYtu;9lQd|--R9s(wo-qD*q&L&lFtTfO+B#taAK0(evR>(Vd=KJH^oj%UIe}92HDP= z76gn^zLm3v$58@emhh-$YzIO4@7rFXQS`|3Zt}=;3D%mhXpLg77q#olU&h%*!}p2j zMJvaRCBVo=XI+(Oq?lUZj@`29FM2;p!*~e0zYwr9ik}yl;Etb62*(N7JSa9QSx@R<-zdQEQ_g^|Y1oA_W z_}?-L4FjJUB-GslxI5&=Bt+hz5C%R^DB{|3(4}Kw&+u7I6*OhPH+;Mj?e~bEYF+~#3=19K?fQl9!l{9_$Q)>_jA2cp9E?&RbZ*_{;Dq}lOTF!#rt4_LxXl|ElqGrzc+Pypo+ z%uuk1+qd{8*;^1cm|8UzC}K91@D{t}4c3x&o}wb%=_*!N5c`=16Wuespc}Uze&nrE z)M29I#Ui5+zEK_#B%f=8AX^&rcj03g8iDzrOeI7#!gpKdeB_I@1_)UTe4`Wx|J+sA zcF8UXMnD%Y9~G59$rG#P4b7jfX{kG}>HP@3M_o?KW1(f<00QFV;;Bs38r|!GG}5ur0BUw7YLX%Mas{7SoUI<{nRbB2YM-m9TbMfq`A*@;WiG|%zHU^D7=U^S z8U;hQ(+%%8UW%`P0+MBAO}ImM4hWD3HiF>rn(d$&E|b4uzBnn5DHLoCl0x7lFsXg* zH;EVXrwt9#TE}bx|9p44%FS#qUq8E#PK3&~)!I?9aGBD(fI0W#y|4 zhzO1n$|O(2#Zcg42=ZAgTB?$XNA=nfSYO9F#U9zjJ+OdT4jnd?rk@SxIokl8zbEv@ zS+~p8e^teE8bU>%p9cpN0fu4*Pcp;?C+^H+-*R#}2<5h_^LRZ4!vSNNSyB4TxS<#R zxt<8_RM{Bf+R6Gu9SpeQ$Uic~66AChYQQn4|4altY&Z7hEQq}JA(t;2>M;yr@t9ku&*9{&pj-p=4#L@ z3mMAAT`}R}BR1mFBlrl!C9Gdw4L>JT{J1gdu!VpGmw;l1x*qY#vV8m9+-fcQfhFX7 zO7J%+N`X3XVoIuR5T~y^P>~7eE#@!hGMVhZMEFTXx;PK<-+Uy)77T?(2SOcwEkAoQ zOzkc_MZE)js$b#6+6UhXW9rw$`Z_^7l?UC(m`+eSmwLB~XnKJt+`AFIIj!2R(Lk#fx}oPj)!)xS^AeT`>-0wn6a9}xhtcM8y_D;T0!BvZ>)B7v`nbM)!C zB;V+B?!HYCFG0&Lkebngx1eP==vVWbKJSbx={^317~e>H2b14SLqM=6B;jAs4oJoC zMTeK}kVe6N?(5QKKaDGNwp_U;H(i1p6Dvk7Fs@+8K=>F0`@s7bI=n}rumHe00xMS? z@#>#J7ZL=YzJfx&tGjLAuWi8CWU6;6ChpcE;DM9Ygw=tRFBMQeYw#9X7Dj z4Ql@R=T#G~F)e!9=0nzMCrJ1>BNPI_5&)_@0}F74P1X1QK`Q1*vai=Dj#+nus?+&s z!suVG?Gj%w{x4aKX=R+RgXT@_XFc4ey4?1*ebioiesT;AMxRj)RJPMM8#fHr_PRm| z&E76o-`&Y49U4arnB(a@Z^eqz>5|d{E2p5VhVw@JI#Ci{XDq+&|uY4*{Y@ zQoX&2Q>LG2kzB2nb4##ZooEXydLvR`umNHckq_qTg{Rtjf&`;t>>F1bXZq*H^M{0; zV|dsYJ5<9?<3EKVrzsa=3SedSCnG;5RBl8U>CdQNtQE=m5jY7j@=A?F0;th`D1aJ` z2dLra*0~Y>4o6C@hTCgdtqzBmejoT1NRf@nG?4V_lXx#Y8}xh}uKz6=RH}1b9Fa*y zllt?qu`$4#wua>UwEklJ@#f5`y*O`*DR$`??m=a=A{%# zaO{aNChtGPnQctiz$~hHWcM10UnK;!N>NI%Se1%XY31e}+L_@}heR~wL1_6S0qqyx z9T>Kk8?e|FL|ST!&N!ScxWe(&7~{mF22CZtS z1rU6j$;(h{(p6_f&;0JNn6|W5U*z>X)y+%}jlF_`D%P zQCC>-e@vZqSd;(P#|a6g47w2o>5y(kKqVzdkM02*-Hp;p*8q_oFuGf6GzbU`kerBg z_jCLG{qy|Ab#aZc-P?UW=e*AQypLA1$SFKrE#QS@FM=%loRP-Zc-gCAUFx2oqw`)V zl8d z_*`v?skmobl3s@|;nv5G8><+hDsMt=XqoxlygHhDO{V~<{sj&B{3bkcSN;6}FEd5r>f}WSC)YP80a_JFp zH{7iVzS@hFqJSK1Uzjy~^o|~OEDoQ+t5Jvv-aPvi(bv!Zy^YXk(N52yK3CwG%%{%@ z{;hE=1%#xj{rL^nza!-Y$!b3)YyBLpyyvn*S*@y>%_S`9hZTP`^lnzx_}c!jru8D5LD@m<1~|>d@8aZB8RmVEHMw zu#o2wqvkEUEwELLz2)xl9QvlZyoizX-E1((_SZv;kkhbO`w{WSUf8BEqJQHdJiHky z)~bp(T)g>)xtE_`aOfro1M7ZNsb13LX?UBYTCbcvta%pyL9N~u!dK)vh6kQ;rSRPR z{`Rt{bCM6KDkE3crLg)6+@sd;5K)blHaf|U!6~yKN=-=93|!|y@wfkMtd$! zZ1V_6mm6$S?p`#J?6)xwwGr0>D>vq%oglA>rb2FVN(H{DR5<^ZG8|Uu(f5LjK@J`V zx$pTY6PsmgUI%=1phx}gn|q+N9P5i$cEzGeHLJ-4#QJ|m144tpWzBx&yn(jse6bVz3f%=9#_*tzr&(e`q`=H%7rr2D$nbea~P zsEWEjVI-VPB+bDEy@9At^za~~gyw?PLZ3^%V=jOKz8Q9AAzWvbW8@=+1x%hUdHz*4 z=X1mTGM~=PzHvMw5I5C=+g?G*7A3U$F(5aF|Wc;6H%g3c3 zJ85K6cy|~|2>Ad`$yKiHM$M82&d8C^A2`0g|It*=@=ryaaolDMeunc1Gx6-2=eUi} zA#d*n=eR9ay1M$|p2)|Adq@?49NqZWU>#Ux#^-&NDxH`&5>h@&Tfjn%7-|>4W6Jae z{PB%?n-*0r$mWt$_vs%zJmxb6=KGTV=M)nt=pqW0pl^aGb@SpF9i#9>Pl9Pd$@6!u)L_RA?+XGY)_| z|CJCS0UXqhziIPQK0|K*S3#_+cvPi!xp&pS4$7X?5qqJu0v8kT)@_)*8vf_A{1b9# zW=lH!rR4^@=3%DO{lrg`cqSHv2w0xrm3OO1BMa;=4t|mNs?=g(3 z6d06$b?AOp_4a>Rk1!dwN zQcC9e|6Bxs@;Wf5GGrRBM`JbioXw>^73g@+cEW%$v^cuS*JkA^YCx4Lg)ySWtWqlZ z{T^O_UWf4`>=TGPzvp&94AemuM&cu4mbJjkKk}^KPSReAZ2-Ct;yh+it45+)a5lB9 zojL;iA@u9Q8Owj1C*oit8X>uM|JbHon!d&yy>eqL<>pt+6Oj-w?#W+Op`Rhxj#f=u zwlO*!>;VYhA?Lhy5d3C*PKb|Mp8fnYP=@3?kCp^ z7$m*WfAWlD1Ds8YlpyLEjuJ==B<++~n`T4GMR4%qgyB;HTeDhZKtid*%@gOej!fK( zBJS-vG|7n%~QGR{7w_qjMkT*&a^J_oUicE ze8l_J=&iSD#h~gOq~|S5b|Kl_!v-v429Ic(i|Ntopdp|2Yqa7Oc}B&h-5i;5g4z=&Glt{uWw)QExPrJA=g_MNLKev zpUOI}SXa{RFEjSBciX+n^Gz=B|4$i;1a|42OW&i6SAMjQ>M|e#-yraix8?*yQ5Y>Y zTN@M+%Fjj777qZe=ErB1QR`A@5Ws?q37O&9bX@u_I##elwIlM zi=V6bBm?VxVCQjtJjeLh)qd5|KLxB5p-MbuL72(X*0=_WB=dpnzQbuDt(!f1Uyr1$ho z1X@OMZ;PA%f@Z5|YdaxN22>PGTu;>-H`^jMOR5`H!4~{^a(KseYkc*TBPsr6u~_HjHc zobS;^>#^&+Oh0*V zw<|6u=-Y@lc0o7*_KUm~vwXWPUP(Z+WH8|yHPO;Y2&N&RSPM#?fu+H8nXZ@|!&ZzU zTLK8-bCXdO)+*{&{(sf9egAm1v2W5_=)vd(zn#!;X4!CSbV0j+dw~Toj`rKB@wh3Q z;<-J!LfiJd*rBnkDr~PrLL7L^1k*2}c04z1wO3ASSoP88Pq_qmDW-$KRnDkU0Sgs?TPYHmwD(yyoDW)b@EB#%>=wLP6jYR z)fN^ zJr&&>vC>5WmSF!#y=T9l3dYQy_!124(=8mG9@9r?p2qx?pY%}&tab}j(_pV6oVABX zuJ8V~-T%q0UfV76su-gkCfoY$~T1B@5B_H3A(kc>MBf!f%orvdn{#lauhS$^l4LuSX_EZ8^R zyR#wjMd?Vj0~ns2mVc8JW<;r(A)#*K`jc#CkcE>Tf?vac`E53OA3o(l@-h{3FLF)Z zOYMPM$D_h)q50|W-HpP^i3@rg5p~BeH%>boeVd;F9?Pwel0g!f8h7w9#t<#j&%Fj~ zaTj*_YevPP$yOaZPhW;2QI05zB9@Hzv{;WYPkjJ%1ZG>j(mNk4v4k(I32aiR!nKl* z9<#+FC&za7cw6o%0xKZSXT9c1)gWRU1-{zeFFrzZ_gKabN{y&8Jk&QlAF19QHd<#~ z8Yl0bl(@5+)mNt}_{S$CXP8^Y{k_VYZZxA3{FS1EdytI>qP!~id`vTcnc|HN1*>A05hTC789X4y67Vzj^j+vHm78F{K4ZeyXLqrhw_>h_% z*Ru$6r|!r;MTR8qr4YibucY8dML63dc@>|l(%b{t*2G%}$~XwtkF}+giV6N0#u4vP z(S*#Br?T`Q;V`|=E7Ckql*PM=@B83^NoJ@0tp4I4UV8{E6cC%biMCY~`kB9ifRvXN zQvz&kf$eKAxo&{?I~u8*#$V!00l6E5s8Hh6G_8sVQhO)Rr4Tnfrm1KM3A@k_rl4mm z8zF+-n{Oz=B8KB>AoPEVW-TxwN^yED&~u8Z2x;Yf7aia#>KLxyTE3%rudiaSC6i32 z=DjOJ%ZTVWYiUs%_hm@^)y_{5+C`QC=O@@D zWFW~eRE_kDhd5NUGfsc6goE8rAFw;~k>4xx3ON)JRO=5cc6b@ro1i`F+XiA}GrcuZ zRYB~hj9B~-dVlrNtcme@%`)p(N5o?pYSg1vfgmc@sb3Ii4=u(AV_zuwBeb8Z{CID6 zMLa1l_9(Ot>564cdy-Lb7-Jz!pqK{`S*>086@^XqC_SB+t5eW_4+2-C(c0PTLw!ApC-r4Lvo zGS+<5qMTLPUG#Av4<``b^XKy~-j^n;Y3HzB1cz6yOxqTv!I&hh7nO!D^FeyU=9G&9 z#J^~Y)JCKAy<=eeO^Jj4@)q)hX1$N21b&__WZdj~9#r>lNlb2@ibHJXIM>iy4L0<+ zA?|-pu`F_hTW*po={>I8YS#paHgz^y!>U^koi*Kq)2!Usd+t+vGLHzPXph38H zT;`pU`?qmW@_6z!Bp0PR=VtAlN?HyZt5qGb3eJF!p?Vv|jgOfFX zteez^vZZEc*gU)A(b(mYy$atH{Y!Bwz-=2{B-L&!h#+cmEn!X>y}KPaLrwNaRFHCu zuD7RhGqj#zl~sY@hn=flIuKBU*gNo|I6GEn6s zkZ%J&7Xc`q0@QVJzYZoz#Eccu&yCBGe3T{}*|aCO!DR8$^o2@BeL!qc>q)d`lg!=Y zEPOyWJ2qswC9enGDnd;%RIe1gjF6HC`!^IMf|?aj+?jmf9GafEg|kb?Mji99$DSG0 zW}}z9UqX!$1#Rsc&5C1BXdsUPU(*e(%6%z6KPR?SMFl(PGEAHiP)rm2h);hk^b{5qkSlSH$=y$0L;IZIRF!~|P`k-JJ- z)o(f{HAW@Oov@LdqK+LHLcGpc3Xo3`j^_BJpyJ+&92L?fI0?IcB%rFuTKm=$8f{v8 zjnVL}NBV$7TUJEg@iR!c)i>s#`Mt*AR>42oCh@COA8t1CY*rXo<6#6`ex~SY6L*_T zYjCIjcIhN}E*zOu-hR< z(KMz_A>tRazm2xjS38}!M=W?u7E8h1XA;PtIcy47qs@#6X}p;dp2ZgWwGq?%h+qE6 z_RAiI*1-{!&w39*KyYp#RMh&-JMr{>%_+AryJx`R?1!tmYxkt4@NyEmWu7IUCCkH* zl!X$Qk$}(mZT89kcsDcl%!Ppq8R9HScE!D{% zGUf7<4Gk8)DWQ}9vtAfsaxOb81vwB1KB=)-oEs#$sE;~hH?Sr*WK}+h?RnWf=6vA* zKRs|WU|I<9zjRpa2Ho^c%}|z&vG=^S{C!SH2!DmA3Qv5D)1;-oL1i_&vg@feq=S+^ z8Vj(9j_l{fq`yXFYI+ohQsr)4c z(S}#m>QC0xRZMOqt*djl@aBjCCu?5b=tG#diO-hq%LcRKD zS|+a~1@FyVL_hi_+^uCoZ=B#ep{<+{sCeJ65bGfQXXwp~avz&SJNThHv}cj=3Svg_ ztChp5<|+J8DB*eX_S6}iwhW|y?=b~e+w)WO?Ly+^ zokgJg;H@l^@AdwKQ~&*fjPsupO26fw>tyW&pqQQ_aD=8Wd`-7$^0ObH;sFI1u>OS= zB~K7-gvhk5VHV|e<4aQC+u=D&$+=0mW4I9uYULnXzE2513^Av~R)t_LU>ju14Pi3> zJ>L`N5Y&?@jp9Kwag*dD6YK<$+?>O`m~iWd!rE0Kk$&%K5|1_$QuIuO--tPX3~lB} z)*TfgS#9KDJKMHXe;C4m5)@sjqwhezmrlh{vXvb2!_$SN&2Q(E#)*S6 zJc;x9JWB%$qo&$IH?|df7Kc&57*d1Xv%m4jEwlZGuyFg__CE=I^JWfzQk*y$h)$um z@kTa6^Q<09+23qfafp}R;8VxM40y)4 zVzsWfIWaZvo@ZIJLt_1iaTtHh)2&~?BD5G-Z<_0X!`I}(;lHlh1~_J-8hyFhfM zgIiL!GGNPtQud*Am_p4dUPy@Vz1ox#xL_L1RxxRPZC%30zp8mN4!JA9O%k&hetKV5 za*FyHk9AgQRzE_juj#bUvf3h?FZEY*FK5irBN|Mpz*?FTmK4y6vKH(6f+BIqQW_8& zv)`V#R!Q^0@%=hO!kDCN-u(0EwaJazfn;!sD` z?!MQaIU(B=A=l00pVP-RV4NNwP*$Cd9Y`aYP9%5{lyVy?s>JOCq))QNjTHemNviL7+4NP-+)udD$nZjc%QgI zU(@ShG0LltfnM<#ar%bd^$6k7%;ZkSxt47`hA5(ouSnCKVw&4ZJLd%#ss3!E1}z-l zlAA5DFGR)0|i)=YMHtR^HKn_@OHxGy%DeAf*Pm4W0v$`4xikeL1 zbZIKm{eG{ly@Nw8lf0MdU?u!F{y7k)P=`0oJ;$KgN3I#>ugvd(y}o*@+$$;pbk!0Dm zDA>l)__5R?{MM6a!dDwTB-?P*s%5_mc1S!}T#dBH@}J+mVH_Rtc3NrqsPS(3FGar+ zfvJVi4>QHCv-*!iks)~Y#QdaH3i+D7g zRYx^E`&{nG)QtEh*Yj{i{F&n-2o@qSlQf$WVz6nXd#SJ|TIPhEF{5Br_HzbQrwcK9 zpWrh|C;SJ8`3uM4)|roTphn8AiXql;LeUO*xx?i{|QK^X$A5|Tzr>u8ar6Odqx47DWchj9_?-? z3ebKJ-fBxUR6YV-7TWwF{VXaN2s`} zoj!iUe}!#D+#Y7b8rE+~*a_S#S7QpIlr&2XA=DQIVxY!0$40f7d&FeiL_{T7XLsV> zQW&y(GaYT?Rx|i2r_zxYI(v3;gZ4MycET0Kx1W4r-F0z1{6UYqQ1d3@7u`k5zv}Gh z>Z$y~LOC?(#68%3`zLp&pqVvjj0JUjv$Wdf^X6t#r6puLCQ=olsnAAQpE}$~qh$O>7$5 z*7b7$Y&J=hPbs6-WF{TC6*1QEY?wYY>LuRH1K#t^|A^0I{l#Ubir^({usehZ)Q)kp z5i}mr`)z%}#)hGvn4I=IdC;8UHTt=VXg-GBk@<}cFe@IXvk`HYRWExmxFO!vMAP}y z&8WFK!}nczC*jm($IRj}kdw~#PA)Dcd@7);Z%uqwv@mtJ7d3u^Hj&*Gv;Ep$S=Z=K z%I28`5)|pNjVA_pk2{OJCo&Braq1E%H=^HH+j(`L}o%I0F0)V{azVIu00hJ=BnA1Rer8yi3+q5h`wax z`?+AT4$ZzELJR$kNMPRS^tD9Zv-w2aWH#thHQ*9Gt|~SZTo>8-U^I&4QOzH=lrX1$ zM={NyyTpf}tcCZ1V_gm=1;2nBx-8oL?oxZ&mcm(z&Ye|WSgJ7AijMD*CebW;ER=WERFq4UEU0!rKOirMt|&5Qh9?Jq?p3x?AF9jk9}?-ETs)s)rKHKx63xFg5#h zuE7a)wpW!{h?UF17W2v>_S3o7d_CL7X~YhRbTgB(p9`2Mn#*3sFHD_W{dn+%?WDw6 z)JyLO<;tdb)SQ?4ntRe5sQ6lBl}pDuWAjw?zdW`Gt0njDZZ9Rk>{F9DWRXk1=9s@~$ms zEe{K*T5jOLP2J2Ri&r-roIk-}K6Q*Af=3@;sJNyL=~pN=l$j1V-st6~Xvbi0C&1Ve zJ;QiOw2G2%vnPoP+6<_EC-I7!i}`2%`AAd5D*}>titYNd-YN>(5EupZHBA^ABOkM6 z!Vy<=m^2C+OuyhLQ*W%i*JtYmeW|e(pwXV|>M_(a<{*>Fz$ff)B}3K@P{xMm@>tg~i2=h?33 zQMBN~@|B51Y4@4buunqT$qYpw;^h!NF=I`8wUf~08ff@&ib9d$DfbNA^(`BcKl#X9 zmvQZHl52b2>$NQ2HN>hFW#t!F$mzmM(j|)m)7L8}@z@QiKe$cq8#I)C=@U*odK|?u z+MIKk8s8B|YNbJn0!~q7Af;>onOwXqP{X>AD40ae6}|$B2ada}w8Pv_=DDPojQ@%W zj=-I0`4ZCJ4-z9C?gMmIn&Nv5wOe~vuD96Dq5^VsNjUCF_N!9;rBG9^4<&48%#7D= z{y>q+K>=dN6|dKKTY4WED(yK-16kn-|Qy_b+Gf!V;*v#$)7 z8rTS%XO)$F|7r*Bo5P=`duA)z0_xu6CJA(@55D@{>hUjWq{sx|rN}6!kS_UR5pr+N zGJ@!VdvlwT;67p@!g@^0dd}}-Q%<>RpQpT;hyj&AW<~C1qt0zj>F?|R@t#04zMv=3 z+{wZ;MVz7t*9wj`qlR8FaY*8IMdt&VKnL*2{r$wLO-KhGyo}nyagopZpiIu=%Mb4I z(p|O1!EE*<&c`*RvN+Q)>!R}NV}s}dmpBE27{1!igAO){OdlaY`)fNJ?Wz$2a)3bemqb)3jtYM7}r zB$_?ycN`H3-#Zz;R2R7Tpe!quHPc^j$|Qr-s;d4pDBesu`=}-)8*HcT2I1lL?PFOf zcB*+K1vQaXj2NE{zNz8*Z>kSt{)hKi-bvL39L^cU+v#X9>gf25 zoOgl}4NNg!gx)lPPUXVkMhGEgwDczO$L@ULD`t~qN$HFJs*`o5{y)v0lux;eAk-(V zAU^+Q*P+^!Lium!(6t2{BbZRLj-#IgB|bM|S3hH|K8-$<^MSuv#4dUJY;bRYajWPwKBC6$QQ-iRawL!Yt3*lJi?f28kh^wE$5r%5%F=E5;CcoR zBn@VueC9@BWozBGYLHz~m$6-Qik2bSW%F!mN!sk$3B1Xxw%dST*vMM1qy_)|#@VnS zsGNS(9L+;(39$0rBDw-*l<5W5zgVF>9AbPTux@QWlN#?%KuVYI{^xKhXh^_Pnwe&)ARl`2|9LN!&JjqkVOHeOy|)V z)@oPVoh?dv|MhxfSNAvkvwq-n>qR#5nv`vcRv||DKXPdcC1{*ZiKZ=X1G6nVzcIoY z)_XIdhRvkni~G^lr<~}0*kUYeokV;#n{&=f?;#c#TT$N*CL)XLSHz4}Cxu-QCgLAz zLDsXl%|;(e27TG8wp3$w%W?(R5f%q9Ky-N(Aav9Ecd~0zQJ$}PB^o4= zOvl?SZDJ>uEA>9ObOQMf=nr^35XkdJgn#)u>LI({LDljE``J`QQjt^?-$1Og&Ig%2 z1swcJh6K@Gsf{X?d8~C0xUAmozJHfA)gg{dxA*j{WTn@h&5KO!fYbbu5`jpC3(l{0 z8;+q+hQp=5mcUCu-GS`!Y(rP4{XXlC)^nI9U%5lW*Pw$GamQyjNr87J*|wo;A&@5+ zaX8AoX(R~$^S!AEVfJ2W4^=jTS`vYJ?m+L&RooL<&E|s9s$&2QylhX}lTM>oH-yUy z4LyS&PI}hnMOASMC0o9lW>cOWB$@S;G+|s}&f(;{@nx-~jlwy!H~LW6VqF#>a~k}! z1*NSl&{UeyP~#<|xFi6;t4mF93Ap6+L92IWyj9~ppEs>*_d)k)^vkh&aHH3B#K{op z>2(uhla<;!*cs+^_DL#SHktS=TFG=3YZuBT6dEQzJmX~HQz3`$LJaERhf1QG6*wrv z$0F~&YP()@xIbtrIlYjC>pkMe-cYsE8vXaBzuWUtE77Z1*YToy7Rzj!`WN+NM7ByX z8|}g{R-O@0JdWS2oj+i-10~w{T!M-%3ekxyAL5!0SU4_H2qZ;NG3j{M?ou|H44qWb zlWDe_csgfMUX8JE6A~gmf#LDfgBxj#__aPrMs8⋘Bn3n%&0+Ld36Qq`;8vUa-P5 zVT}tCXwUPNz2c}K!-vm5peWTZfG?WgWpF8PuGEWaP~2e><~#&V{U!Oy(bm29+3Kt| zYkc(_r)}`Uj!7%D^ulEnjY&;o5pUU&tOrHUZ#$vm&eFsq+YAB(5#EfUfnW3OY273_ z%wpeor|cdu$zIror#oKs+?YQiM^g&vLYJoVJ{cF61*q|H!$s!3jom&N5*By){ej9|*BwAaR0c!^Xa$;*ewO^4oT?luD3qg-CpSNR9j zniv!7=Z3xzuk@DTuI6=l81k%1~xk8 z3vYwE7%{z|!Y^4HrnIXZ>fblSqSDYbgBxLn^o4Dl^yv}@=;yU`T=Mv~p_<$gh@#dBw&Wu?cIA*opSxkE z`#I?l6+7`Jjq0*%EYu?^;?SQA|bH|4@+k*1pQ-tgD3VYarp8yU@%l$mz}eB z0$wx(T6o;svi!~`KbzayncgS9bhCs0W?3z=$|_?J_97Oyn>TO1h_uh6CakZg#(7)s zGHgRx_*n6CK=8BZgVF#&x(4WBZ6|m2rxPYnjdP>#fb64=F8PtavwUJ5j!y>~MzXZG z?0z9TmUse=@d_l`Zqa#LijQie1A?=og<%IJ;ZqilS0@uuOi>Tj`~?|1oPFtuX}AiN z{IAB3g{J4UbC_6n(1?;Tn?n;(5~6mczWIwTiHy z7{sGhN3|Ytl6CYZqJH*Z9cz2O4BG)Tb^-5{I4a<+F_7vvcb(qXN-8*L@62oSUjIs%D4u^XFrAF5r$C* zfp>y?t4gyl;>dyb9RY{!m-^rb4>sO~fU^Px>(0rUIvCOD1W&#Aybw&m~48~nI}upl9Tl29o`#FuyOI8TyrM<=S@e}V+C zvCk;gy%_?N--6;Tn9fztx@X8Ncz&s3*?v|i(0enbO%s*7SF-7G!;BO5b_2M?FW*Fj z8tiV4JhHyk053|2%sDS5)Z}FGVXm*&r@iBfHCneVlEpF7K3~59{aX|3SEF+~Eg9=s zFuf#L2$<)Ib!!&ugVFaLk2al-ujKTeG_dbZ5YCwBC7$a8WL+^pGo^iMTMu*ktdf8* z!b3DmUy;t^Ak2TUdGa;vdm>=R%7Zx#eAdwMikpDDXWeXY-}Uo~I2TYFotAz2+|zU; zVD37;Gg7d1=>>6a!!cT3m)bb8ykZ)dY%sjsbw*FTKSJX@QC_x7S09~IX9E|bz|@=HuQ5nvI9pKiC$oPyZgrJ%l;y7w zVI1$;^LyU*DAq4baqe5=7zIUHxWAX04&-N;cg4WC$n#8#+edJ@MsH9fo{PF=VJ zYmAulnBy!0=;u;#`*NuiK~BqZloVzd0+&yHG6wX-$5y>KMO!hovHURaQHXT6<^JQp z_PQ2K6=^B-0@c2+#R18N&5-28Gj=MwYc&8J8}6D1_6x_8%Qt+eI{1(wo^SO{B{4~kfNJSef#eV`c7y14 z`nE-vy%|%iN{iCpqiX+u=?p=RE2ywckXu!qTs6lpYkLaFg5^-Y*dijz$+Z0v7k{@Y zYuF}>7`MP+iod1Ak7^E8xB)TxjVmES zN=4Tmo<)yp_x_`$lOJv9LnU5)ljF&Xd%#3yzT+KNYvRhD!|&trk$A}Jss~Tk5 zTfB%6VIgmvFQw84gA{1hVS=PiR>Z&l{w0oSe=6_t-h)PvI1{D@1f#|fBIL8*%pgJ2 zy;r?1#v?YpCRRRMJI3@}%7H>|BRuhRlP6bH7ptXc_$9SM?QDY)sTW9;EpuD+xk^d< zDwlvWIn9i20zVT6(qnt&V0vRbc%{nAlOsmIi$mI+l059|@nUNLgLVbV8(UP1{Z1wC z&VIHCI5ctEsTuh&Q)exM?=RhZ+MZvxRWEi5F~oNHAXC{C?V-vVX^0jfO_`sO#3DVjXJ#vtXvCxZ!H32ga1F8I#N2FX zGb+nE$?x3{uz7~1c3%&1IW~Ks{-Nymo3>3X4-V~4A=H@&qmm_LSui&Vb?$7+& zuoxJkQPhp>%vf;r^MM{yx+wSspJL%6KET?<*imA$&P}vwFl+zIuVq~}3~@=W^?gz{ z>1k33SG81Ei2wfxw=4Iu71>hOtVjQwxG-;J8VqzX#$svBo3y`7y#FO>uKt$is~yy( zH^14J7fx@+zxnCxetB2&)N{nh`WsMVuYVfclT~^Wn3vXy{ z5;JU(B{?AG3miq_@4!Rh>GAgw;QC5j?3n^QtnKwaEtWK?nb+?E`#n0sp5}adMy^%L zLub(aZX<$D23}#0Gp7Nj5UNx35&~?Op*_|;^5nk)z5T)pOX{x3m@3PrzC-x*M|n)X zV%=>kHCtQ(+5u@Lnjz4`!@IQnvwn8WFK=yWW}AUMpzLcT)Zvc0dq3*#Beg^X{qr@nm8a0Lt7cEbe(r1;7XO2*m0$iE0T42AszI^-FbK_%1-+Ego)(SNl?m&v zco1)_^GSe7y`Y`)9QEP}&FI!OUOt$*VyAat z=*NKfeJk$`^{5*jYS)2c(jDmyaoyyr?&$Zz=_0b{TmQ&cqTx3;tA(Pi1B^Nwlbvfc zz1|RGv-A0;(pF10o-$4rL5jXavZND+9wEtCSW~=?>k!%LGx?z6>Xs>(!p}o(XJPb9 zh{kJvK;kEXWGhM*Qy2%HyZkBiJl%cz%j_>(BiT(N~9uCgV)<85XAu?Dyb6 z4C{Z1VT&doU9t>ub!eGTQ%jb7eY&4MCW0qNn}H%Jy7o06V;lVGrBoT@_WE(#UXHZS zT^-Kkjr^0#p;#-Eah~b)W#lRMNEMKqO%?RLdoijcJ>EN-PysO7X5`h4s zi}!7|-)|l#MmTq5vcxF0rK22U1N5+$as8^eZ%`>89O8meFDhyz!g2<6oB|9xDouKjW}AI2q+F@Ez3CoMZSlANWjq zomj|xQ$%QH*k*fqY(MI!0FX1aY#LpE4%WZK<@(*;UeHpY^)M>s850(WV8$f{zg@1V zPlEHF$aUS|q=ry3ojToHPbeZBh~~J-vZ)0*bs_C%lB*@7IJ6G=SLC5{4}ciMMwRqb zVcFLKg1prR`m&zA!tzZzp1c7MVp3X7W5~Jvj9m+N=5WiPhqp+;AB;cx|Y9F zcalFPc&E|7lC1Gs^VL_^ZlQj^uKw?cU+Ym#R8_?sbx<^ABAv(lu8-j8i2Nc9H|L~a zSH@^4bc^f;d70WrQX1C~C zn(qMz*`Jj3d7vxhz7?53GpRTyasAHtdcfg&kvZRPvg z`i;`(>2vszI<5As1x>7SI_IIP{I3grjK3?k-pjdzJ{WtV^3wLwyJ`UgjFC6fn~yin zlTfV#Pm}Fyd_vr13(DKkFDP#+<3nnUsNm>9-o^bG%ihb&MXl|KjE4AtrKCSl6+%-_ zCn1TuSCCHQCtD&vXo+79YX-e&jdU6l3kwIn5q<$47m)R3`7Ejn_{R))IGS7MU`l zyGb&=3f`tPKOg4#{^cu^dF*~tgJU|%Te?$9U^~27^gt`mv$Ozw;u&omvN~bEG!8kC zXhZxlwYbwY2h*LyUH`00HP{NO(JEzF3=mjl88&=J&R?y+=;K(J6t`jbgPyhew4O@oPUQ`*qheEtUJAA=g3 zaZt~wR*2IM*q^tpJq6G1%qkwOM9z<2P4*%CE{1^a-;KPq5wTgp-ToSn1YQ*sIIxkF zr}XB6Ca+qPts$?gkSTynM<5q;ru^di%z>FMLsKXyLa0evumtUre^|D+n}2BI@awFK zA`W$@_1)J?`8A;S^{~&qm|OJM4ycZK(o$g2Bz_>jmJbzo*u&b3MI8}4nwDC6_q8lK zHs)~`R9|9S*%4-&zP8*}N0yfp!5GvO*@IIOY7@{&AonftLslIbWB{X__dQyd}& zk{7t61lvq_Gu|zd|CG(1+tQq(30M^df6}>^(~o_rI56>am^#7Hv(>(?f|8&*%xR+^ z&}wDtQ0cpPHI(R7u#n$Ektw)kL%;d3x!;v#mf2 z7dh)f;6nW0f26_LJ{C(Xt4cvl;shC+LoXNH0yq};xYQqdT?U3XD}KppqGCVejy>!n zOkAi6E#Hb}{z7$_>N}*awFInPi9eM5Q4fx%?fttBKYRg54wEYBk~1fD)teQKUu7HF z*o>(QuN<}Tx%vC#|CnouMf&>J8nw;Jb?{F6H>D{^E?CU$8Zuc->eTIB(8>p>lA-Em z^|B9fOwY}UmTw03Ux(LGecvR|Dq?B%9u2ps(x@6F$;5?M(C?Qtw1A(F*3pCb9)WUV zH?U}Ve-J&y8pVya0IIhx^RSCz%o+C3SB)6`enLbnH7KYP#{w(=J^~14V1d<^GuKDR z@kJEWtk!fkzIlY-`h}VPJB7E83QalIvglsMeY5$*xG%j17JC^tNa8@Rn1*5KFj)Hz z?Sz_b>IjbN18J(8@QnFdEtnsWr}fZAKH4#`JdomSKu2U zRWc_=C_8uT+6KF$hazn#StGz5yOTt~&l~yS?nMN5YWC%g0F^sk^g{Zzr{HLVhbNn? zPM^2^USJM?!m#aD#&v_3Xg;+7u-+6G_IxOuM)8g5M;av`>pcme3X1u-`{OXDK>RWF zY-c+i0hcF^vc82e#c9Fc!zT}<)(+q2mOULzFDU8Uw%JM|f=&cbVuX9077WGX{vG%XXXQZQne+jsfL6^L(1a4PC;A&!cwDOE7d?|Js{N4| zZ0O)TIV6^qdFi;)vM0_r?;tcUYE7SA!yngMF0%Fw%AOrYTi{CC##H#OQY5K=vdT=w zJC~?Eo#g*``s%2ty6V`0CCy?v4bu6XlmRKtNhWMn^~8XC<_yIm%^PBm5I!rRbQ+b!#Kta?I#7v zF}tgk5=CmnnH>?dj#0ktI-Pi*g`k!<^0P9Mjd$;iQHku!e@gQVSdlP6WG*kEmy=NC<2d=cp zTn1mj;h;a>vlxhobQ)M9l+Z!1HOMOMs;pVB*XXhR2rvS&5!cHRC31x#kcFkUE1L$m z@r9I{3?t4y5kd2w#WK!UA7`dVDxT4bkwEESX`bETh`T7zc81IBVUtTw`)3mBDDO(`2^7A>8}Iou7b{g+WjEIEwfUs&*J?!$aTn@Z?r`Suf|h_gpXv!e=r`jMKMyC?}g*#&+> zRmeQ3r7wQLYV=Y_Qoc@xI8n=7Z*4mH?PeKc?SxO7ot(F>qf$EtWA^Md_o)f$#kXUP zOHG>ERpF}oYnhTvd^xq>#YM}=1522f za;s*Qt@#bT)v>0eak;O-fh9|+%yVLDESn%l0=|K7|4*Xmf+f(B?7{Zd!%{{VG5-U` zi>BWXVc%Nh5En$1zI;)P>`@kc)t9s!>1fIIVrt?}>x+PFLgelF%J$S_GlI0Qu;8m& zb{DSVnj?QxnP+5xoE}9i397t1Bxo~D`I>*a$373rlJkJ&MwLq5dYUI`PTZpd!v!I1 z_ABQ$*8o<*T}zO1XCH%9buaJ`S#P5#XOMX+T*tkT9R!-Of;&pnbwAiRg=;U>c8Sxv znEzRGNF~ibQNU({!w@^2Dp_g-@d7-#Ga6p*e3y3BD@Ozdm9GWH@9e9ooPXW7Pr#JxcS8#d6P zR_aIaFi2HpHS!o)eXh5B2Gjx_a?N*%LyOzKx-t-4IX;MNKQNBAxSlMi|M!u^U+V*L z7&o}h8D^SWy?p1{2+%&phLXkkZjY*fde*U@$$1~Emc{2jBTi7M{;zb&bC=RPu;q$oYZ)vn^Uw<#1O$l*e5a6i#E1pzyN@ z;}yta#DvFGi~Q+uaRX;aohoFMZ;R(u`S-y@bE0`RY}O|n2M!3pqF$n)z9bCK#`xL% z#Kl+6RddF5)|1T*my#yBHw<6}p8fLskiaF)>8}cU=@CsARTl*Gmi-rmw=GRQmIwzI z^hqB&VmtTO@!yLdrOD<^{6nIKQ^KWbI~S{U$$<$DaW)ERR+4^~SXb5H=#mRZM;rdZ zn?7k!;G|Q|;CJZzkRE5TNXGtm!%`=;G}mfAml%yui&?>E4n13@Hy*R}BdSR@YQ z%+eJw?8PbMFXEaJ>FcEM5A;T}x*PWlm?l4gnvE5797@-Y=(+G_-zaK^gPby8v)tB5 zPF<_~mC{{POSP>BEYLqzsan&QI|-!VDn661$PpOhAIoue=cju7AWy>8^EzyGUn_;KAX+ zY}@k1(3$C@uwSAH3+Z?>jqKpmIIzi%B@R>`6V$BIpCb+i<}EH-!z}n`z9(_aiY6bY zl*vFo6S~f$tc}b~&SThWkQKelYTc(-D#_z8xk5GK-R701<7&&&)R~Z3Bb&x`xNgI< z#@x5F`_GffbH@bskl-uBs9$FSH6H1Kk|kmuxMSR!uZgm#a>I8sLx6)bsd%>L(bO2DFMC*N3Jk zeqm>R8V#KfSavV>;w5KQZ>#8f@hNby%QRQ+ALEKG9mp_S6XB8C_wq0vzvs;-%6@&` zSDZguCwfUI#a2mypywF-cg4Va_{AjPPKEF3T5LSc6MOE;L#(UgH$8hPFuQEb<)4=??XfXHQ5Z7A zb)Z^(^jbbC=q$_LY4u{MY2W*n)8n}@E+hw4ii5}I$z_&-G{C0%`GJ5cR+}7({g-R- z&+2*TjfVcd71yf>JF?W~@2X~K6AVdOp|+(_`O3xBVQupE6iSJ9VzpCb=X%*Nn@YNvPjUsXpUYn$O3VrUPsozWR_hIgW^fB zP^_U~ol1S>nR2H69{mBU$sZOR;mRIywpl`L#4NxLH2pq4XpU>cMVZD5cLB$n^hsR) zm4~)-=ZH6fgLdbLBf%fWO*eRL3OwPDQdi5-oAuEmF=y3==sq1j{T+R)n?^3wf=Nn1 z)s;)r7bCkJ+=lTNI*4E5zA_%av49{=^2~-yvzZRM!jeS2{xAb-WO(U&A`^!7_VcXK zC|x+D+N(~4PsRxYSbPcBIi1alC-g%r#&6XVh#0waHAfvoHeQ*ebr~B|>#P{X`0GJ0 zL7_)%K2$!ig~h0g`ncxVOadh&3TCZ!%wX6&ytI$kk9BN^*f`f;MIinxMyal(e4*xX zcKFjd>9;kjTqKE^kC#CqwL$*G;hP+S+2|tR@<4pAc4K-A9Z*E1L{U{TaXhF7wwHmS zUx9A&;u%Pn;yqg(0`19~JPh}rnhYJfg%4W}ao;oS`NwN501nJqtns+tB$ePZYD@O5UNAAwUyvn2$pRr!mV26>QQf>C`%#y`2 zGUNfqq}bRA?+5OCiUoe%t_&dsQHYteIs^32sSA-r6eT-V9BEhIy-C{2&FPj{x4*Ec zKT9QYaMfkfM;%~kL+b&sygp}Zh!4KPDnjeTs&dBW+W_FhkyftYF=xI0)v`heuv*kK zFE_`ju@}S*r#VCkCFzuEGP+i=7(EQG7UIO2F|9l*rBD{cZm%L2>cx^Jqrcwffq^67rvSH zdaq(D=p(jD0?pUV%lGI8C|BgJQutlOtYolQ5MKe5Bky(ZR%E)~k3910KU=zAAz;Rc zjE}{xh$n}s+KpSptV^USKZ6qwMDG3Yr`$J?-$V=5gbzQPjIxF_mN9_(T}Cyt_2sIz?9&m_$M!~^X_aUHN4%R@ zIGpn$N;AN_S2(t1>qY$_A0boC#}{-@Rd9z0^8IEOj6#yKe-{9PPg~}jn~o~;dWJ3{ z#>F`K?N$sO=jEZ_q-zmO^>zg#7sj;{8v;1@p?H+0E;C%a3?L$w#K zJnp+T?~M)_%0hp|5SkZX6Tz{+l`}yV!OpU{Ojp1kx-_{}N!7KuwTrI2n<0^rP$T{) z)ok=p)Eo!{KZv~QGhOMvMs8xUlCi%eE)o3S!D4&M%isjm02mSvlNOL5*rgOb4eZ*QZWHHGEQU3z!DAFS6E z*J=Z3x*cj`IG=ARF8*E3d-@yBn>&?aq-Y68TsAHDT9E=eXj}LTEsZ?_TkM2v#KBah z0*zEy>Q--lX!mt1&KfD6x*%3y2Fx<*1!SwB8RyR***}>w;U}|j|LzR;^m5RYYVg3pl;>mdxS@jkfMw=nvny+vmB5&hPQVU#(yl>mBRR$4}0al?ssIaC~M>-PdTMJobgkEfL z%v>8l#HV`hNaw;Ts%7WAjLz&Pe;9-#zl#Ea^hIf0^TlkD`^gbg3k4eb<*VFWd~U4Q zOucAQGlSIo6EL|k3qt3O~SzSZ=a;CcW<>=Ljp=1s-hSkkQrM7 zir#Yvo1^qxECU58j%!P?^c&~+AhCf{*XuPA2(H-c8)7oplRiK3uNt*84C(Fa=bLvI z$>}~ndo6SmU$A~2o10Dw3GyohY}Q6O<-pj$vz`pg9-JmVV?EZBZv`tk)>}MAL6y1! zj-__`!=IT9X9JGJ0@NXnf|ZPiJa3)P-g9$eS@(B1L3X4?H}Bp6NfDgMKwN_e8r{9@ajB$0}VtfM|LOghMqPYJARa z&22dRmo;Nepzg!Uwuj!QStGM9r>t+oy1;YiHoe7m;rF?4Xzs zZs$gC_>EkCdDL94_>s3m=~X){vZ-j&xj zmJ@cQd^iXD!n|c;^#=!=8OseAu!5Vx!?;4h#fF;vb|)JR^~}1S*`n~Z_OU&|f`ZGs zG2;A-h0osJwI^nwg|Zjd?u$*fudLV1JsQULt6TwLa6-j+bavEtT}1!%rKdrep1bNL zzhW=zE@r*jHMDJHJGGDszGOVETAU-|c)a<5lzB{w>eyql@lY{l0G5lz z1<%f6_)E`-UH=d&j{|N;9wD`&4l~D`8)7XSCF`nuvdTfduToh`|6#%^R!X8|Bl( z^Rs#SQP<<-c*S95!Mf@J$bClg&f;$2U0X;;=V4o}9c0_pUdlvDSE)wF{%gV0$;zFe z6yn`wR`@CfAS-9tDxN!GNDBymyg7}7 z5{l5qlYE}Op~LEC`md?SDg8&ErGd+Z#(q%^g6zbvlO;cl zA2nBH8w5Rwxye#->HPe0@fXqUO!45LIYR53Cj&5#8RgBLJoh)t*Z-_!8#5jHOF|b~vZyP%unqMWE1X5O zA&EHl&9hFco7maK`t!le;)E!KMjuGQJbcxAc^rF+F6ux^RE*Z+r(VbhkV8@OUMBX5 z>E9gADdW6BUMA?(TSnIoXQA2sm#fvQ%-Gc9@T6AZZ8%x|#%rT~Teo6r-fmJpA&D9( zj@na*i}|0<2qFf)%62bBt`c&|vbN7<4SNSVk~@((CthDYu+2=tg}v*?nqzhsIYe>9 z6@M)@3)~)~o>kMZeqY5ISK(Czze4^9V@XhV9e9PKsNRR4F!0)s2yZ`H9y-|#$*4po zXPdia68-wFFoB2>J=`Z#j-jEN6^CtF9vD9V#^;nQDb4N2E%ll39HS3Os*BS;q?ut@esj%Mx*d;$B79Jq zcm2LB?dTWsi-V*SoJ0@1yjygH36jAq_eI7sWwPYrw8ZnXzvqguy~MKh6B~V!s8xgl zxWP9y1aLfdrEmsY!Ks)UQwxX*dV_r`$nSjjA%T_XG!@MR&luUI1cjThuML&QWIs%; z-IXoHtPz&wZaAGdD3^%qmkyWR(m|f#?^sQ;i zEbsdSEad}3*(Vg#5K3CXTv=bYOd8`ks#s=2TDJp?g&iR0=6A#qL4{7-$>o}_mY-yv43a%pU^3;yN?qW}?_L88WPieF|fg=zW>S!1z1h(^>_+$IkjGdd4aV>WLP{f;WR(x((1Ca+M z&*7yDW3Z$-H5W}}?MmrruBrI~Ajn^aedjOZ3c0{G@VR<(F=sDKkDEburg#FZCrfY-xlNDrUB? zzP>+akY_*Ax093|Vfpcx1q;67iWH_>9(glcEme?(k zDn3aCB#d00)O-L^>AOq$8O>CnKKFvWL08>N1pYtdyyCRE`vI{jF~IB|*KRBstp1?F z7Wlw#mKvOM%Ezb#9PjXmB~Gi)SfU)|VjShrb$#UrOxW~SQ0ZjKYgcmPHouJ&_H%di zP;(xHPrWL&K1`9(Baqs5?KRY|lWVW}A+2-d?{<%xKa0ZK*ci8xV~<~7hNgIuE4Af-MA;;E6z5DPEI!%nVjgh|?xrW)h11R_%&d*(qET#~j5B4v;syr39v4xbreL%Yvwsv%h2lOH9oA*Kpea%>;v*%$A{Vzi@ zba>R@w|=&OVRKcia*uO{u3Xr$^d;`SyN`q1E%$8ViEA9mUJa%yiBrykZ#@My{Y@;! zn!5a3uD1r!d-3%|2XJFK^#3$Ln^;)C4GalPxit5l{PZO*9Q!~X`o(1D-TPReD^J&H z+6{>v&;-uq+vI}!N0ecfCRiJ2Tn==j`Ag3ah-ni)^ja00oX#SYK$?SXdufabZ-YtR zoy^A4?~91{%6(6fwVXIT_eybmDLA$WjsYDsx~Mk_{+%XLMIx!_SfYD?^{-WweZG~b z=)=yml;rEQy-E=BDgi}9$|6S=EZTwi152lE0((M1`v)kv$2sO7->~=m+BvuJOz7<> zc2Z8je&3XnBEB}WHCTd@q4P7bf+$lb=A3~LUi_s7eyxmMBSr-I9%oZP#}m%z{E`ZK z@dddbT)YS=wHJc3-xd|FHO41^BSBU&`CnZJjwQ?3wQcaJuQH4WlfB6RVGmH@HNe&8-<%$BWs!3Y9p6B>RdpLl ze=8*9$qG3@Khe4VTI;SJ2Hhf@S%G%0csae}{w?d|rwS0s8l-E{t7T~#5R73UTiyym zb}LUK>fjMwKJc~N446zN#Qwh=0RNd4td7Egc>6MUr!RivaZfAYqvK|$L0D9`NYua+ zMAf?b(Xtv4`g8w9Bj{2}UYN}~HMWvxR+8q31S^O`>Rd-{~>m*Fk)3;%)P*q zjOqUpAUmin%HH%_kC1XjLjR%lQ)|HSO2h`6n3*NU8JUJ(Xg&~<#&I+_ukpPXdX-9~ zC4LP)dp2vwp8q`S;5E+vI2))cQ_9oXl9%0k*u+X2k9PFyzu331RAPBO`6x29w>KI2daa#9F9>g4z}~r@!@eNE(uZxg}*cH zu9&ij>`QPO)KLHUhjx8BAs&26MRbDh@8Dgd5>x~0p-59X@w%73QT>J_1#_PA5`jR| z6b0AM-5_5$c^M3P^ipNx=+HWqiJ+Ns=hBKyPFZWRn&+-0sMLHJ`}A@^7JMLFn4<(5 zg*aS60{?;sv6`;#L@cI5Cn=(w#IHaV2zd8mC*~A%)`SUi96Zxz>-v2HINxGt%gER80m>|@k z{J$$-%n>x>$){y^E~SHhY~UB`ZX27J(zTBmuXQ-z3u(Iys8|X9YJJl~7yQ-SPFZDf zWsUa6gb2Ek&|djyB0lq;FzlDl^RgW?)Q#m5&m~q3(I+o=hJEvPv zI%=J{k9Jt!`B)A873k~yU4Z%p6`OV6W>U4lC8V=kBCL=K>W^#;R%|=W2P#ZgXfJYS zECSLpsbUAQ_Q+p{Zr0VyXY=_s7-N_lcxbVaTSm7U(oYZ<8> z_i23i(wh|Dg;i00>M60=si1L0ggV#dUX+%2Wcbuo{@9)G!BR}~+o!cZ20j5UpT1xI zgl~$BaM7yq=P^07r?S|Ula?Jeudv6~lQs(CXlsp;lM?~JM_YqEme=t8^AsY)ufbf*w)G}bu%!+oAX zLOQ9K3dZep7IG8${g1eA?%PA%S_b$={)8KL&&7D(l|_s5j9Aew9Mz`u*c=^Y;SJyj z_g+xOK9wk}rH|S;8Z^F&^5V;Ded~F9Uc{%+rt)w+He7T!=2|T*{O0k64TF|PZ52(* z;Qj9RCfKb_GX2`tR4{)ByR_d$j=ZmpF)QSpc0{nvbn>!2$lcrGbj|8Rto*HUa;*SB z;efl)mf37fm)tG?!aDP}h4rGuZ_l>-c?{~5ed`nR{EMm;owXjui}?Fe(bGK%4%EDh z*C5(a((>oK<{AE&9cA?8#C4!oxSGRBZGYfFG5iCgH6{v7b6}__q%2_op-t^k-@K^nhd;SQVUF}#4#k;zt8O~Tp+}mI zzLTME<9aK6c*#22Wz_qd8n<&!enrHawoWtBElA-jrqHbDZ_kW=hvsl+uYPXTO3b&~ zxv@C2uaIXEjSPyskw2ZUq;_r)0>WP$1Fw@fFzzWQHyQiFXs{$PNNiG zMe}mCBOMd{J-VC8n!_U>#n(53siK?$Q@COZ{P9bWz-mVd-@lqqwj8n)J&F{;vWS8n z3rn7qW6BgkDmi61L?qxYSE!}qO3SaH=tpG#m_6qdb(E)&01AZ@&{_oO(0B$tdbBs?K?~#9?0<+AQ+jW;gsPfblb6{c>)T$mMg=wMmNaLUOWk9^s~d|6aoYjzJ& z1~bX#ei>fqXP@I3_zF?revG>AsjV1$4h&kayLjGo9V~gI)!jdH$s#nc0ZLr|P7e#N zO77P^h|-)iopkw9O|K-w`FWpFUfZ_hS8;Am35VChF;jAArEHqn#%0Cyk#?zB?okV& z@g*iO0a4hw7)?QKnfI2Wpu2U$K7I4hN35Ga(G{^_Z|tFw6DZr3PH+%O#_^wY0Gb~Z zNo|B}h`p`L;nzgi*5Ka)?ko~{ZHan9>uedf5w&}ZTst)+$c(x}`XYGDu}eIv&$n^r zL=Dw5b}vmoHn`P(Y{1;yBcW|ZrhY$Dzv_K|R%ih~>l1>fF{8D(YiSK1ruHwQgS{=phaBUXn&NXBesZ?gKNvoSWu$WPSyq=Su0Cr3nU67j4H}U` zLbw$D^fZV!1-R7m7+4!XL(v$AaP9In>7hOqHvfIw3^gb^gi|zIA+0XNE1h&#Q6a-X z>R;dz?PL4=Fhai=@@&=#=%-M{<2Xl2bZtiL_brY4-P|jZ;8G*r32ZAL7$9#2D}zwm z?{7j0*DQlTiYOo#Cw69dT^SY`Oss}e5@-j*oHmt;M+}d292dQqdm-uWD{`wr{632D zXROTwRmafEpO)z99begL0DT4&3?z}96_0vf&uB7(MWW7>TtuGKxLG4l@tBYsrIYFK zi_Imb@)ozxU>cb6?P!W{jXQL{B~Rdl(K3be&q-X>nKJbf?oxS0RF;d9wD-e>Hebh# z;hN^$9O?{VB1au_AD*A@B%a@TzxLvJ#(K-9v!#_}`&33QThTw&%JRd1np8k^xyB%0 zv$Dn0%?PU-IC9r6dYj>V?m`HhaT#ZNkkLo0Q_@E=UgNkjr~@aWn7Y*VpZ?J2ql38^?DZRc91Tst`M^!p%KTDqpPmUo8LIIXR}CTHo?SAU6TnZtW4MKbw?_rLF{%7~st*BUV= zmGePHDe|tO4XFCmZkSw4#m|T`?l8RnC%yTVVigv|(F&I@%QNQeQjZLN-6_603Z2*z zmPwdq80CD;9LUtKn}ruFPx8aLu@aLGr?}p|f9`@(qCz6#J11pT97u9w zIWTz_7pq-R=R}2TG|R*&%hG*9Y)H6hXI)=5-51^E^7j#dLHnD_v_yw|2RAogHOHQr zr|i=k!<<+S-u9F}l7wkay6(UxM`e>NE#5bUoQNn(!(I(_p@Ho|ti2)3%d@=~+1j|a zT$6^&kQmJ-LQic!BJlFA=3}g*7tHb4&g5(Kiv0M>LGFyco#Z%AFFfDJ_LoL&98M7z zop~9l@2AMp2V6&ZPzbFx7X~i<-j=;F)K*&3{DXOKwe{tQx9K!VKQ4o9hvBL4g=Q`2 zUD%q7KuZ4=+G)^B=b2lx+jZ;8M8$S`Tcu2VLaf@~79ln`g%LxvPBz9^VuJB!{XprD^a4olFUgONhr#3x8(NKaGW>zD3NagW@;JsE!(|32wHw+4LXDI>#6{b z)u*g{U_?n6R`)Xzc9-FNuiPZicT{va( z{ZRV+L(N&uQOo@)>!_}A@9z(|;X&4(EY)#~3~s;A$*B3wOI8b{S$MRsoIOWz9XvOp z--c1FJ@N4DCSbS+*K}b3h;Jve1`Yx?qges%q?whY`umSsT^#J>h{hC+4PUUE1NBSK9FC(f^B<1J{J}4W#Y8?Q-hc)E?yaCHj1; ziQfm8;W(LR1w<3*xCP|*%MXOlD*~5t(+phWp`fNnq+Gg9*kK(C)ULk&ad~X$u-Qsm z;>E?Ul7Rg=;AIJWfT`1)0~xytjG;E9zvL(RIC!xjMO%7^vM_boM{7kOjzN^)Rl+5507XXa~t3aDHS+UrW$Q16H^v z8?g-t+n!907;ofXMx6C}W}Z>V<6_Z_eS6oGo{t97jMyHM+TPjPojRtA{F8S{kc@(e zEu|&f*G`cBR3L>|x?WTo2;hvGeIDPmxQ#!kjOnP&MajG*9ybS>XsEOCt1~Pad4En`wWoZuDef^cYow)- zTC`v(xje0gnk1z|>9A`N)nldKmH14laS~t}&9otwqc)-p8?0H7)Kz1nzQ;kVDU=_G zpJ{}@jrZc3kJzWghuDaP3~r%}cEyr#D~^Ubwx`0Z43Qv`whcWS4NrxVA;@Pdr?=(S z$Gl#$!+LGcAB>;2oGY8Y>^{sawHWR%{Pa`H#F*R5Kz_c=j-c)NW+|Ks%pK?WH34T5 ziOpPe_S3&fN7A4s@S_L(2T$#KA-@U>(QLn~cf6ofUo?)O4iZe%5Kxs$HigI^K?!gG zvN^(O$q$#Z_^E9%;$5A#M9{OHJmf8?7QOV%F(-T7$C|%!sy5NsT4bFH1baDn=tFg( zTLnpltsiq$fNgH=Z7@nSm#K#KT>*<_HVCrp$hiELJ+fUb*zV~V&Y9;$`rJfuTujeg zJDSgTQ8aE%9^;FyEL-VRrj1t7@!&S2C42K67jHsl+a{Nh7r4wT9~HAF&-s%#Lb7Hy~c0M3pJ*tI%gGCM8XbfOn4C@L*oP`zaMcd`!#W#0k zln|=O`*B8$tXX9{r^2$KpgU$wT?mbWxhMczO(Yf;@Y$1&OXvGdaxprM{+v7)vaM|3 z{#G;p=4jJKzx~u7imcYrrqOQ^w@)sH)fgFbvhOnHJIPj%95bX7yp-o3nCX#XaNjOv zmTx1(A9H&qK_270%J%BpFB{$zUd#gt!{NI(?6c~8@g`8&CCR%Ya-&vRwv4N9Bkqge z99fFyxT*bY=n@~1zrl6qXuXjUCo*P%A2oJLPrK?EWuKJl*d6g5M_nGrMZGAO^j&L{ z#*-8f@_R`K8Ue*ssc=pTU-O7#@Itj~ekg#K?N5C{#fA0y<7%2-J#(CW=xg@QQDf`r zm0{mY(p*AVd_Ai3vfJA9jF|UfMa7BAE5pc^iNY22JlBdSi^Bbs1LsWi13hxZ-~_Jv z3QjXc_2%8TltN~0Vk`$|ReSdQ63`6Ya#+7QHNnwUUMPu0I}9#J5g~(ez(}eaAcGak zO#MfnQ0OGMFja(8j3NwLG_S=rbWw4kL2*y4#p=+n)aCY4(=+on62T94kHMS`Cx`gc zI{M_EGr#;u)H468ob3*GZe4!!_m14Ji@2l{c%+`B<boye_C}k1JFvUDf#BRx zsVghwgy)fAGY!`>A^4>tCcyFXr_FdOGUNN4^=LQw^U9`lZ@UBGO|4z7e%?s?yGCgg zP78m>BH_!gOn(<2$PowJ`ES77Cvpw-|5%y^84w3%cc(FD$BY*gj+X4Cr*MOxw6~Um zw4Kcz*a`{^j9>=g9bGh*>e^@g*Aw$-JMOB-D9Vgg=jyczN<9n5h5U~ni4;~X%m*QY zwgUGm#~*J*MXt@Cm8ks92q|EWmG7XA!%EEEQ{J9B`GzdqDOK1eP#M$}u#8)Rz~HZm z+bJb2B#jE?mW9;hfnLSZgpP}+NadxpeUrZ2HhCE*szotfm|`{U4z2uKSzu|tR{p9e z*oJ5W*?MH*>?;aI?~{N2l>Gd0q(P}^K;;(U+8f#rJ*~R!?<1Q_;Nru%0~y_lY?U8< z^#*6`DS9E20;RN#(>p)eK+V1a+iU5A)#hPr{!x~CS)+1wsZ<l0k*(R5N| z6~DJjgIq*9srp%`ls{{epfZ)3dULt?Y$jT1LxX7;X2r=D&8rBuA)Vwp)Px>(KUOd_ zFbQFTSv$HA5kApIgkS0@EHf3w@Cm+O*vM3w=^Tu5iyL{n9!YY1$+A|>(E&qzk%uLC zhMp5lr}oDJabLB`GKGnkC}f`^-)0o#j@kyKhsT}#Sp9c-m*+bI+gR5scKl4wnJj8_B~*5j619QaKfe*|YWgQ+liZMBK50j2p4`5| zUUJywFKz$V8{cB-6(YbAXGGA~&d#IB@>`ugY2y@eqLB#LM#%a2xd*}qe^<3CLHW}7 z83EeMrK1N4%EB4PJxG28p&uY9{UfCF>uVg@j#BDAgh7&=@o4m?7`ym5geY@lHYG}oWDSOg`&!t>a-iaMQAM*{E?IDla}G9E;pvu zXsQM=XESTBU5>UXrdf06wHV3?k!ZsaG(vj4v}}h3Pa=rbZt^pz2gyVlvf%%+C7d&0 zQ5HK<%Y-0n+&m175EgJ-D`y-v$_src#H6VJ0}70AjZfnW9RVJwZXmxm72>R3{sbsl z4yJA#)%x?M(Pr^~%}M$wEe}bz6|rlySj=uQ6+vj_-_BN1J-##1GU-^a{rcLz{x_cn zv-lJRx$Z`+Z}8#poz2#L9*v4WRr59d z3dYBX;dBK+$mgjH*B3aJd-<<2g7}9TCC33iG;?{a6YTM~6Ystpe*s|Q#6Q1<^B=fu zUe7N((%;9uk`u<$U>OD1X(3lBoDt$&aUmyQ9*%PS5^oRDqK-z;)Nw9?kgyGnF#lOT z<4W1+S%HtYQ$_|spmJB`icMM|ZZwl;9FUv5rP%vWQ;P^C-p=Ag-mZFT?|W0%p4ZgZ>ZXmnUtQKuAnRc$13}a*(jEqm zt#s;d=V>*x3oPK(v~Dz09<4CI@S(~6<)_G~t3oZKy$Z|hywI8mnA-5%Uuo( z0nP4`udwfQ&t{rwfE|u$rM}R~le$4&&(DnR^YZL{G&KflG*=;)iomvOy{1P_tlu(f zA#uQ+Zk@vX%$70!N`VlgnnBwF`r$Rf^?ZfaLsH&@rMsNf#r$H!d9&AHg1o~|Es~KI zH{O!~)@5*2pBQk}*z^-0}3Q{1lCwCt80NCq2Lx%P#9K#`f6@+p#MF3Q?A8LT}ZG6x-g1Lx#B0XN)a`3vhx4_>43x0{<= zr9;<2IUK|CA8nS(|Nhjo_b@yeS29Snj0{$o>jb3+-j9E)CNu)6Hb`HdVqW+P?$wnJ zCiG`Q(9sKf;>d5o#Uyw(5Q|*@(2~i9|@jya>*m=HHlbzI%bvBwlyRfwYX)fhGuNsSGp342PMIkysJ zsreK3;;+`Ci~55q3m8R)TloFo*%!_atujhG3Fd!9AkT_`I}jMSTi6mEWh7zR8jrRc zL|tS221_GVY2hv9LjUchcJn%l4>d-@5hIYyHk`Fc=Umc>coGY;VW$9*6J&?Y!p-7V zuJG>|SJcuZ(5F3D(wqGF-&SE9)mDTN7ztPV)6}=(rR_Q&us^?qj_^{siB2rDO6KEu zvqwY~2rbki*w-K|y}OZrxHN&ym)M-zDX$WiQC{c3P;KzP+LRoTQbLT38RJ9c;Of83cvH8uM9L;56XX{(bm*H zy-Fx=B}c(rhYamJEWq7mV77msMyKcqhw<5G>6eUK3*Iq+v5ReC^2TVDoS8dU`SSWt z8O7eU*JaU+lruEjrIB2Rd$ZvD3P|n$tC*M?%E5o@uF!v$!RkF288QX6ptl ztoXwE7$)3Bm=?KY@$A*fvLe-8UnPBUvK^fI`Dy!exN4LX%Zq}&|M7}s-<{NJV-3@- zanGXX7VvK>C)zE!wwjzbhckoX5=|zk-}SR!$R-C?il^u#dNxm?7!bg#o*G|@5+&&O z*e%4FJeO7GwOA_%;NaHFA91j+S;EVWINwi45BB65miVIDX_)PoZRawN)Y9(9Ut2sV z%S7|eah_2dWX{~%3WazVh`WhwtD0A6%SXz3Jj1cy6B(z$h_%7^iZYr>*9v+V?jKH~ zQp&YJ&@tzxJvYt2U!7>ALnFvP+b1Ks0ai+u3w+Rl+I>OUIhA_Pd>q`c1dZ@#Y}>TN>2?gKY?7~l`} z5fnJz8L}eJJZgVGMWZbKD9DZ>kK~j<>%>lJo4Z;9NwqM1*Ez4AuY}F<3vOwz$MO z{p#^bft+~6Dus6rwU9C$24NEAWngQ|crS?v^r<-`5=MjChrv@HUV=8i3Pk2ipfDoz zOIy9Zw%G`8C$ufi&Khe6!ik@TSgNyRu;9jlH{gp^q`M8VWI@LAlb#+h%ls_G{Op~M z5!kM^BEVw%zV`Qhe`XkMl+uUiHGU!dp}<<4D;s@A6Jf+2U@L#kE|UVp0fzs*{K{Ey zmmk8J#Z>ktEpbb9ajsn!+1ju59ee!(_+NM_a7-s@swJJhMHHW+IwlGbVKm6zm=nC) z${p{U409qzBhx9<5~mSf?Z-*{o|z~Rt1X($mU|jI1wPzmN*~wk0)9`oQb5?Y3?hK4 zr{=jUt5J-0LE`Frlvi^UpKemX1WLEa#bj*WSN6xnqXf$6{MTeK@iG;=#2$O0!FA?*il%xe>rdqQ_|1ei=RqX5;-fP-qB7#W-Ban zWP$$zQ1xLBWZRBvyMY0Ewb_PG(v_FPmE3O@UiN74KSj8aFfBSz%Tp{8+J=L9a;__Z z-~%#BiK7->@AfEQ3aDUA;ur|G0gpUThv5hRZr7f){6CKgmTVXm8I+MY->K_kY8GrL zXz;fM<&QW0+O;v~`CmCf>Ez&*v{3a+haHLf)K00(s54f8APn+8>cJLrG)ZskrnyFzKChTg$poT zNMMxs!Dvc(&0DVkA;Nb!t|S&Gbo#;jM=h;=Uuw)_(Gzk+o$5NsT~*lXsAs-n=QQRk zsJ-3RJ?4X7+(1vBi)GJ?K~r%PTJG<-sJz;k0mgAv>c?xnisRC99}MKj0>-8TRTRrW zR&lf^KR_$!8q?HepD%v03Z@$5ptb)2#Kg7NIyRUsn6R#iU^tR>{{GD%aiD*Ve0oQ* z97_UW__F-lk3yHLNID56bhj0$IIqPi5UGX-B!yr6q6w0`_a*%hBads^k1T>#gLH?4 z`xe`sSg~n1*AN#$k#4C%q7 zq@EkUzw7yn>l(Z6-Er>sdB5tM=~lJcZ1y#?+7m6V1#`7pRN#7Qzh})WsS5*qFG??k zqgS*^WwzyiL`hDPV#uaJpM#Yb%&ekDlPmF2`lc({$0h`{JZZfp);qMo)>@iVaS7Ph zP3^sl2g{v#@DsA{=uE}z@X|@$dg&g!rPG1Z+tpZanrj|)h2CkjTNNtMh>6lq1298g=oA9zF_dM(M)wA8-up!n>|9#I>MBm3Q~VG_oKmbxi6^VQF($|5#8H zu@EjZ+sf$($T8=`x+us4MtHYPi*l$hH8W{VU{!;Udh95I5Ys90r7B(9=tm|v4rtzumo>UQq2;|cw?ue8Be4bi~WT;KV zJ!^x!Ol%}f@*5@Z|Bhn}ZknL5g=d%A_W{?%|I|0pfGYcGv6dSbQrdRThl%N>@s0NU z&vUPZCo`73y4Lrk6qO|3S9UPif|jG@p;Hg#$FS5{T621N_8#3L@&Ob^>coe2>v6l^ zeWWcR_1Q)xG_OaCevWLR5itRj_0irKXhQ)Jd&tg(8H2LMUA0ubwQ)>n+#c6EY3xgf z9Jh&Jo17J^i^)>j!>Ml4a_t@C9|Gd_gVpX!{NEX9&Rz`3L6ii^Tfs@|m3WlQ3%);{ zEaPimFkAY>?5{LgnUfjiVMEuqwrNasl!su+hfdDxyYY2*Lr-FIIa6nIR0ZP{1h%=} zavW{U{78KE>{#?aq_kV)oDaGcdLK&q!+~Rc`0BHW7nYedkZ3aoELNl1fE^G?7mKTrB_v9(rFI z1Lp<)3+gwxr@RTK?c1*8`U6@9N;ZNb(ZIfZ4moZ4wCm9iLrfARf;yNs5n_KRQGs%e z5!KEvAR54cFA(?$+Re9@Xa#4RHqt%57T~#D zS*F1l@yc~7Pp`3Y#9eO`-NNfW`LGcol=iG`<}}ZzGA{6Jub{k{(SiZDXLF{cFt7G^ zV1y5EkIKDuQva6c%g}!Fzr;>bLs_UtumrvQhv_eb#jfHRvCXg z>TL%3>5s@Ajz_lG0;YNGYl>P)nFKkp7q^gpg;s*radQuH%JFt@ehu`{jHYtO8+n3C zsvjnwRiy#~*O`{!5FdzT<0cxRwBjmpcU+>GMCl4Hh zkOATX={b-*$UMR-cMe%kJ5R+(4&a%rZ}t`rxG)iT{@DIxAska_6HyqKf)n6_hpzW@ z)nWCspMUeu?mF#`oK-6@L}`gP|1yMTF?cm3*gut$7F{GM76S7+|9zD;Gfj#t0jR9Q zHNK$GW#~}l;$P`lgPxJtvpfF(WukxN>lx_5Wg>pQ&N%gNxk9g!?zZd$9yg#(MuY-? zvURHJRg(Dec&{A#+zEW#ROIx7gYLRkNkxNz)_ zfajI|)2Q&oI|uh_=#e1TZJfD(3!5>cb0o@MN4accfSnck&aYojG4-nuwd>2!|Hy|> zrSGopE3`PP^2nA##EYdUgzMxHnxx@d{g(2yHq@Nd!er0N&XoCDIm-Rd?V|$3zdIf2 z$cpYYo?BNbzsdxEdQs9BtIff;h#YBH$cW|i{=fr$`t$HXsvAobF$h}Zte?9R zz6IiXcqQ7madcXH@bz8K7MIeSeF#JH&eBgt<#j-a9OX<^l!HKEp-oMN-uH~2WCTI7 zGMCyi>Vehcm=^sT{5+rL2M;_9sygyG<(!i(9J=A1w-0jb662ScDPpeOd0<^3HarbX z0s=2m64XV%mGq-iyzACN>fVU=y0W0I^u01i`0QAkkM@s5t7X0_2&l_f~|h8S)19nEdtbQ#Gdu260H6H*O5uNb8FefoGq zCs2_^CQHpkFA8PteRZ$N+w|RCA}0n*+ngAzWFxCt3i(_+APXXAK_rE~&Gd_hDA|;{ zy#xdZITly0iK!?E7ckd_?wKv{ju-CS>iA%#(rieE<9~y^-VG1%Be&`E!LR77LkYR- z#F=3;lrF6blj;~e9hgn%q70YRwZ7enyBOv<9hedy!*t3EGG2XHz63j;KJAW`?p~+P zlGmh2x&r@KZlO$Jaa|m7_t&05=6}7O)o4hhF8`QXygE#^KIeS1YSn7mgk9;s=(ych z+<>XGpCj4ZSCzxtl3q1E1$22;X*QRQB5r=7rzZe z%MWJdmmNBdv8XsKzw`;H2t9GK5pp_8)(*`BJ`+8CAxp_c$uo8{0eD?Sh?`%qkVo&b z;=JePu-_ikJq4d~-RzWu}IQ;-F!X22YV98dLLDS+LAI$F9 zbnem5czx+E3U-+S`{o#Jbbcw3V#6$`zkFljZQZ#C=7!r+2sYuoc##9gqE`qKTz|g! zkY4KXLtS9~@Oqt%&XeAFCyWD`Cgi@gpMA$#KYYdS7s7v+TfEyrn!DsV#aXC{I|zME zM9VN>{HN5oD*DZA{!t&_0n4V$E%JUcq>B267ZV(_7G!xVN6pcAG*Y$?evRf$G#QgH zEf#c^7u7ZTmJp-n4tgU-<;oZ~CoT+4h&lK{jF!S#^*-W$%!O>YOMcj|UwEfETTLhO zErQ(k2?25`DuV#4b3hrbouCGgKze5SrJOGZf`JU?7iGuV5cii%J z{jC|T;uBIA2NvxHwJM8-5l!1gUcbaq#2AhwF~0_pVL$LZzoJ{Zg_@ue<}~9hsS9iV z?C=zJrF#NvL0`>0{OaTam%)4UQaB#)4Au256(a3a+g!E|ra<8lMmnEgwIXVqC6P-7|+5XDIPWXRx{%c+wTvE^p&w(MC!7LjjRBdH(U8dXwMYz7>M9AB$lAxj**MdNAO zxC-W!o&Y8oYz$!`!UuAkMRw&y-*q3Y9(Lo*9d6JJsgUpoagD$#DVZGCKwD%& zBp>XvNot+Pt9mgpVq#mqw3)SnZ_(7y>xkt2qC!5Hv3HEwoX=hXoiHJIj*p3JSb;oo z`GS>ox=pE{+*YYBHq29APuy+Ov-OP!#wCY|i$?lKnU)U!C3PDoXccfjjwIrF$hD)C z4FO-kz9VJ8E6l+9{!u{-#f66|q|#tAD99+U&_aVeelI|nC}z7)Kf^czm9*N$&`C*fh zqsh9ZQg8Bp#Ago>u-rWPwJOYPGivxvo3mO_HGe&+>eyaeag;nQ&#oB^iQxl|82mFB zXJR*^K;{XzjUBgxC#pPd3HeKh`s-jn(~te`aj%ZpU^I31x-3#=(_^wlszwru4Q%&M z!)6Oy3{jFnEpX5Y_P57s z>@xn92(%gb^DgpX&$afK>~ueKAH6&O(Gy83Xvj_rbYb=)TGSl z2eIP@sXco>x}7b&%h_V7iz2O|q0D{X?5sU(+4FCukKPow_ZolRYlvnycp(>O#uo z=rVA0ZHhTl^5(t4gig$?^$C*sZF(El{`#tWQ&R{vyw@suQ1fG8ssUXELJalp z?z+3Fr@Xxe4#&Ip8ifa1X1HP>t_fS2hs zLW)S?Lok1ryPUh;Chc3*60>l71l$ZI`zGWkn0L_QCbSL7#2XFDe~jnN-9UrOd=SMoFfyRn7*oIHQ;bc0Zz%z)7xUs1yzO4EFtpo&J)5W zazct&>xE1;=nCU*to4su`roL3gr)N|(y8BAX~QYLT~^a*4(^_w9aLVnsI#Sg_NYgA zM6qnpCql6-V9e)lsdFH%Z9(BgX8Y?MZyz97rWY4nQg6~eOf)-Y<@;E!#_$R)DUpeIz?sF_?6B`hEU^Sejzd%MfD@tuCf~3ky?l!fA88&EM z<02abt+DNyklih3^l$+>+P9L4RNE`$hYh*t?*`1+r$ng4ORGEea*`)hPip^=Gx}Q# z>`j$T%|TM1XYtr8{8z$~2Of~jWfETLLJrnry5<7|^%6EuX$M%D#zaI|qLw|BtXtOn z%)708A;}BliS8}32P!0I&nLxqv~=4E;gmuo4&;q^NhXr)MhJo8K})Vci*(<&b~Gsk zSmSjgHN-+s9Tw#*c~2F+kLfvPZ{MZk#eK|jzX0}Liy&~bPQx)ELkOG%s=+7rA5%+> zf@e79tjiDcfJ^8KG1T+qa&!)lD+=tE&&X+Eap|?;#g;d|cisU!DcLkE&#nnA4x={) zS=ov!Fh20?)9eYzWh#QkOzB-Vm(eMZ!D2#Ez4vLPv0G4k@Zgd0NM-D!ATXSelG2Zk z272<)dp0ChiYWYRJ?4i!v+F=d(L8-YCi$Y{+%HFKNV_r6Qn#S0M`cgEjxWWRDN;pE@yFs_Rb{&)vp|RPf?7E!-+tJ)oim zhzlkSQtjJ2$1;JL0qU@e@C+K%RJmY0sskG%cXE#)*Ery2Muxt;8xIGQ@oK79JP=+v4^ef4nhnJb) z+qJzuvLw!k=dM-a9CivFA$Q|O9%_vAQ(Al)QkQ+qMGhE2Sh>i5y>nG{90F!nwBjEu z(WPwjkr#TGOkuD6{-&rt<@G2ove4s(wGhcW4ldZ3G9gwl%6>OJhJ_6ZtS%YLSeMLL zKeygC=6&FE@pws!=#(ED?=%enfoc)t@GiMo8gg^`#i%#Pt!if;Y}CIR#A5v69wmFb z!U3VssA5by!59Ta?v({`k?pF3ObWHjQPE<)Co_mWNX?5plQKq;FYk0@_!3T~wQERT z79(|v7lyTaK;y;Uu58~-b@pFn`iyP2ZOeBH1B3PMWzn^^$I5;%G2)Z^hH#_pZt(Ce z&u=3!YPb7aDM*q-cfj5wzIA$=)nc9H9m3z!G9v0z8F>i?;(iv(F&~Xa-hDnE)pi#a zdOW`mjuZ=*!Jw;jCp_gVQELx8TioCKR)S97F#O*MVboNDc&$ef*~a3z)$^CW+|`Gd ziM&Cf#qh>;FZ>}+dzVCkgZk2d7*BY+4+d#~YtJ^X~PvR=t3aN(@M@0WBVMvcb*0CxRh%M1^- zW0Un$_l;mi%H*Q`sg?i(5KKr{jtaS>i1?RRJU6nyS6%dOE zZ5*Us-?Mec{=LAtx<1Y2&4}lk$vJdg3-8P?%!R4By;2hLP)pbJRPA{RH?A3|5eqr7@R1@H zsk#ZjS%t0C%lTD!jqEbUw1ki3kwR7%d+E98a%qJQFj|cLg=9K=7QhA5x9hmE>7^#*BkIGtu|Zdp9~&SOf7c9{kP(s<&p18HLeb}q7ZFYs1fVX#W0 z<89bKj4Ig!kwNcFbPZtut1{}XbkXED=i@3BVk$5kb#Ti&DW%>%F0b&j?xzMy*DgG@ zB-1N`dcnRJpg0@whq*++n}KbF0j63c<_%j^OH5Zy=@+#t!(@xPRWZnobFLl9B15b2 z9tBmjme^^zkzcc7oCd%PxhZ@$M1hWhMl?FU)SqIwvn^jWGxqk-P#D%ZeaxF3y4yDm zZ|d_c7hW@4v?fhG_LMI`s6unIa04EwA6WQXYm;;4E^20j&$)2~R_eiocFX-QjqVqzVW_5Z z2!sUpUJJrw%78W`-|$+F5h>?P_Vb#TFX$Luzk?7cp8zLw9(`<>DQ|F#Mxh?7eH&%2PUx0 z8?VAmxwg0)xy2$3bqXXNcz?79 zm@TggX@KUa!d^UQs;Cfin>?@&pw5l*hSGg2%np77gw#s~LEb_D-gt}ZH`~EnfZe}a zmEFQvYw4{R^F1MeLjk+VgLDNy4j*)zrztZCVsSJh;AMR=`0U6kMsTG3o`_qM-BTJQ zV;J^JRTe1x5$S!s!fk-Z0y7k8_oO9C70hxn`LW5K>4?2K?+e=zpc;$!gw({PP$oDm zu$^AKLY?w-;PzSI&fpzxN3IF&&$2)%IF|@+mgn|mEo2kMUrT^ThAXZY{~dS;Cw6gw zCC>hg!4{*J(f6Vb^J!0KrAW%AWcfsc5z%3*Yk%q!ONPEzCnN58SP%RB-E z@7I7y+u{pn8i;3e*{D-3HbV*=XudcG0w0yf?b6Qd2}!+1x#giScyuo~8KBEpr6c{>3KTtEN?jTqc4m4QAe%VF5?ysmJMaB zIVAA7y)_jZmlCr8*?lCAcS%DK5Mpovk-H}CNi@7m#;rjBrhTc_^|I|ma7XROK_6-P z>#B~*KUmwyu4EDinb%i}vzb*vAfT=u0Y1tIoPlNAUK~GOTg==tkd6YIb<~cYljp8J z3h;x-mnFKlTrd~EcS5?f7#Ur2fm@&Pb4!pGGP94?nREQ1AMWm7OW*d6a4!lAI1-`kX((f}TAdq>?%haR*K9dH%XT zWwNRjeVvqNkkb+^+5}}n|9&;_(4A&|t3QIKOD0=QCBqx!8$t~Cakgjy2%$(eaF|$wT_O_TiuA)XVUNQi!g-Q zDk)wgpDDw%uOg#T?*oEbi<^`DwF5n83a=c<%-A4Ig6$1PN^}HE0sX5&tizrEK>rsS zAq`E%Tq>(16x@Z=ZYGf?B3OQOt160NUcsqH74f-K07}1Z5L6@;>Dx{Bh>Jw?=mx|? zNG#yPS058=2DM7GF`NbiExJ3zzyFx8eW7pQzlNXXK@Hb!I}b~6>&d4R;Rh3qR%JOnq5ol@Ze!eEtqs{x^0GaSFA2gHBKrHkMMh_2>Prj?W2KMJm0uRpiypSemNR z-_UuN7NxmS5tzCp;Gg?thNZ8n)QE3LA!8Vkq-Lwa#&+f@%F$QYGMGUknmAcwBNR;Z04ZlL$tf}$P z_@;#)1y)&4{$N$Vn>U%)d>CJKOW-T^^xehz)@(@hxo>{&p4G+6T#pDn<~Fa6Z2z%W z#cA9BH~1{0JZ3@7tADC)&wk;XTBPt)^7w-9O5wPB*WSdVvB-$CS6a3$K=Mb#MpNnEcrv-WOoSIG7RJneG1uZyCa znBL*=h-~y{Rwd8O&urPH*u0Kige& z29I4MvAT5Rqkis{*Kt1@NQ0V|<_~f+p_5QIm!E=?3#@}XEL6FWnunO%TL9%7QCKj#d9D3v)2sZ2_!dDp7_bDd>LM$DEJq@O+zBI*k}sEd=h z{3V&Bd=lEn2zKI9Ni#r@zBU`gDxAYr>6U8|K6fW*vLMDeI_M~KD(^ZdI5uT#Tlf8eGIwSVsRP8cb5vG2p^H5J@jlwMg?U9Os1Ik3Wo*(Hr! z`SggG?@h7-jF0R$yk}kylh1+jSh!0p3oh?5cgF|Booc427H0@wSjN44>=D&k2#LqiC}NKxhE)+@eRsH5dC&?Ar(R0gv9tN~4M6uROS56b}I$pJm|r zKRh{3kyNaUDeL|nEszB$UeRY(4`3h}CL}>@_@5l)st?;4&tU!FolD25Yu)ljDchC- zn0deGZ!j^c2Jc4BFBpjQ-WT((Uur#jx6FIi5!&Q$Q)Q}y@5q_?uIC@x&wZb@Fi*2O zsB{-M`J@@A+$#EQyetey(7PReRY= zlxhBk9bcurwBK5&{QYCOgK74qOZTEX>p5uAwf&_&@?ZCbb_cF%h80fXYPkzGl-!jX z59V(zH_pYGy$lZ>JCtbhKd6b#OB-ALwXuFH{sdM_`0{(3pRrM}u4&cpO>CPXdllxE zZpNC;kaO#lD*oEr4@0VeTCSzi-Ja(y2w~pxcXArZos0GV^Q`w$jQz(cCw*5g-bxd? z6=v^?_#DO(%r+%n)>@FBpeS-D+t;S*+s$xTpDWqsgq?>6s%|P2b&<6YOF6->%*mQT zP5P=v$cm(vmd@#NSV!}|bxhZd?M`Pn0&v*DYdV6_*E{9Sq7J4+m%Gt|qT$yZ?KgJ0 z=nACzFB~j@TZb+O?T(GrWj#RT19<9}xm=wOLxp!H>Xvy6R00o|%n&w!Wo&gI?)Ik` zcwQML^Ivh{ybREpH5T9@tCE{sNW}T5C;)bj%z;R9{`P+=I0V<9#*NEF8j6}%%v}g}rvg^|2?ay^DZ1-twXG5P6VUpHH z(Se`HeDJZlnyAVV?a%%{mV65lwYhUs@k`zLgN!dt zT9;S&mAB%a_oM_y5B#n3PIbHYRidz}=-$-+PuKGIfp5}o4!=pCMY#ey+ig1CXkmkd zf7_Kc%pY>yoRq$LRbd>Pp<~+qa`o*+C^l-@-Ld;Nhoh+L)b)e5IjUd6_enE30b#hj zy{ji$KI`amzFWf2&6&_Z`;LksNlNXB?rssfUf#MTY3*d;LWP^%!?}mG?`F;gf8_+d zfbZMJt|DwyC`{A>@t@rn6eZf4E)#lw)LMza;;6rvI*loMA};Me4EFKkh`i&+vGD8q zs=Oc4sBV1JRfXvAupzEvmd~rg@WbQPx|gDRy#q?-uHj;u8J9GcJg4)o$l_3O#=vFQ z5Bw#ShY#w$eDB*?Z~x7}+Ducb*Q_w3rkL_Yqu4`vaqBLjdd7&}1J@2jB+uF<-Zd=? z^(m1(NIe&SeRUIMy?v`%$}V&2?f~1Z1MI!2bk**{TlK(Z+*D^d;gzD$3s(Ee*AI56 zH_>s?4=duY2Cg4d-AqbUnnjm8_NyxIHr3{uJskPqzaQYj#%&|V$i8f+5}*J|378of z{je4^e-kaq$YH*(xzxY>pb!VfteH&xx5L zFwgMYyP8Q=ZTa#{Qp$j}i7p-!%`Hvcm?c=Yz=c#+OP#e#%>%=E6d?+)%G897&ekh$ zrhRxLsvIOOfuBVj{HUrbZ$tiKnA`ip6nHUM;IrNL`C$$BO{iqJo#|5n)BWf7qz>`*q|sgAGRK z7&=0crI-uB-02tZi`mWaoeO({QI=&x|77mr8`ng674M0OZ(6ftAt+_1Mt!!R!YNS; z9iiH!%!+z-N-DHiYXZ;t9I@9aN^y2!h`Vw$*;dygW?qw%w^50gZG0 zuFg3A0r*>llvo)Ch>5J-(FVasWZdg$e*1R-Us2vl;XjG!G8=4p3N(Xy z%TeJ0)D732&hE&4C$4STX}%SJlT%*uRr&H_e=9Tah#WtEuIlSo}8W`8GaTBec3AI=9u* zFJpK5r+qQ5cOywCe&N*H(%QpHaK&3?t#D6sODybhf#PfL={)>@*H5yVMVo5&;E$Q+ zL(18QV>r?}uCTMi$~ldWia_?_LV8VZhqDr;F{U1J4GO-rnCnRNvqW5CW{Q$3)z|tG z$b3D3q?L=DIf%h?zJkOh{7+n6VE|MR+W@hjfLWQeuViKtrmN*yk%w|2Q{CT`P1M=B zkZF3J!TrM-1hp_ZZn#Cpe^z8`t}4z?wQK~@b%rDtN#V5}!4YusgXWoy|7^`M>}2lV zbN2QbR|)hWS5N|i0S~BCOn?_21wW#`IC^E_JN=r?N~ZUGAmRmTn9R@31V0ip;N@J; zDmMX*Gi#pDZ0#`uj)5H4QWIxIu!SYJncz|9Y}V<<&$q*O>!a^uWdK(Y(1S>wDao-- zpa*G~YLNt-yT`Y4Q9|k|8;A)nRD1nEEGSJE?}jmKv^=M4Hp)<*s~|2NE1q-i7T^$E zJ%f*bFq7Kc(Lm7-f=IC5+PHwU-c@Z6yX_}+Ht@?iVm3O0(8b?LIa2w~kMs@@V-Y4D zxpN8>^knXYxeYM$Ehohv;N;@fp!vu(MB!oX1BowTX&Kl))RspC8bWQy)pf|dhgJU} ze((dg6fzg4DQG(`?(k>puiUq*o47)cy0PH6_IJ-#WnoDXd&{Lcs$pbT`5Wi$%kA4z zu$$_8qV`s@xbU-*3iVh-h}?+-&p+OY<+3f_eKgefy}Q4*2fH{QVk7C!Xg zE#<*saqA^GZ0_M`_kv92yx7}EqGCvwCW2b9+rv|E-LqRJmY?ATvMVI}?^CatZFLMp zyWL}k{Ot6s{c;4dmLDd}WEIMY%BgjwmE9-P%uanz15C=ZoEK&`Ub&>9d@szrWdFxO zKFn1>deTK%ir9|bkgzG}y5Z>kJR0&_63SWPQ>(fwC0{P~iCR?5_FP~4Y4%7HJQAlH8cVV^K@ z>d@yS>Y-Z$xodvWwd$+2MxVRE=MNf1h|8|aE5AC7EhG#D#M?a2s#{MQw;G8_q4oe= zxiU>q-@eO#WC`AM>F3(|98x9&yEpf$M5i=k^4m<){;!dbENkWU``bfhhA=TVrGULD zFfXORi;MDJM8+xksl9^mz1G%9hsiCih@XGLLd`i$GTFSwXX~0ami_%hXQfPkAj5Tsla#!&LFV^tM(ZO?G zyg~eaGyO%usEYBzifU1Z!Q6lQu#nRzId(gRPPyuMu6 z>0KRVjJsN&Dlf5%wLMv5_sv}eHp&SXF2cIehIkI->Iiob;E0?lOe>d4=8PTRc_e0C zyHxPEhwPiro?8CuO7CTH=qpLQ2LLU=D#82;;H$9@^<7DgXFMTqU^YP*(YxKdHfgyJJGw~^(cQ6RQ|t$Tb5lFOYWz4Z~d4a zz@7yLA6>=jT4>q=OtB$JVmib%(iUQ zdgxj|OBp|eb*{JOJh&_COkJ8r+4_l(A*f@$PgNN%4``)l_Z6$a$XhqN+y$<}a;1TU zKw(8uJ|_*AP#81Zcm6=6A_g+TM_V9|3sI-CEqUx#4b-*=-O zx|>RVkKh08qNKi^tv?wyc9)gCJBrOI;ieN1IKa9tpVYUnAYZkuan=&3H;GBLOVmnC z;Uf(@{Vt=)E=@rQ1H7tra$;eeg-XJ~(BPZ!a4i}e!&QeZ~ABL{3^1{f5mp$E#_Y2#76XE{+HJe%#>z4nl0Gtp*IZ9VVCwOD|jqt zypy<4)gLowG)ZkDgBTMT9xM4yWN*hgkVicq;C3t3eQ63QeIb#BKnEJ^Tz?2?i%4#4Tkgh^Yx}){HL{N5O#R%7s*72KkPFuLA~2a3141B%ao&xp2VB z3{oKhZ%A}x@cL^`{nDxs!nQ5|4;Js4gVw{l6s&FR@m275dXuu61~yPKPW&{Z(26lz5^ zfm~qDqvIv`GqrE1YJXkgIcUpB1lD4F$3J={ZO9o(N{*+l%5k6)0jUtNj5%}Hk1|5B z72@VKCjb(ayW}{psgGH5?TN4*0Zwo_L57I~oZ-^xvrkm)9U#uz2qK0!gT}f{szu2k zHlFv4$pknIO8E|Q2U!?p7_8k2w|!ND$L^1Ad>nq}p|d~iHLOHi!xDa#J9ew=jOGUHDudW(yF;iNIDQXGP2wbwV7 zdaEx6nwI~8@cge~(C0m!*(zAq=7`k!b8h+Q6^Uy1Q zKza%3TE`7oO`Z(?yhDsTbqcFzG`<+|AD2`81+myWI?uG&hbr)idQ;PZ--rkDNk&sm z_oloz+4w7~vowxJ7t|fExRoqy6+Gq+aGAS%S|g5B3{1WI-B|GqlhFEXIO4UxXYh6b z9Y5`UionXh?93)Xrz>(dh8Y+l7c3v~u2pGuPC9Np@MMP--Xr%;50q z6+gO2WcjsH)AA+Y{wX1ZvFO2M^1|YVkQdwjcjh+L6oviv0O4{kZP^gj$i8nBYuZisQ_bUIzGSAIk ze1yI?T=Y}tA3c$acdvh8alrR6~w7n_lFjyvhap8nVUZ938m+8ynozm#1vuQsW z1Q!fHlX9{pWha_TJ-OkxE}<#-z(3f{W=mZWqnmPXjc>%gsQ6dIF_II_4}H1dJ$IPl zhuxpQf@}KN`?>E}^JA`he*i^XiEJ+VFgBw85wuZXti4^VqgpzpPhj z;m4_B|CNCDmqRa}GACEPF>Ziv@mCObJrvzzsMYPFE0=ioLS(et3KNm)q)DR_60n@7ZPI-qbFGTQXvgYp2C>e?qZe?Zjl*w}u8uH$m;C z@nlBl-Pfng_j)fp-EWly-Wq{Ny}#f8(ff3T&QN{O~%&X%~3%p!BoxX~5sof8d#(zUlJ)48Vy&Ge%Dj&Y$H}1IVo(v-cG4kV4v!1l50#O(7y0vKGfrd4mAED zjm$Y8w%kr1Ei##2!Oe5*MEgZG$6D24p8IJgz!gl>&{vt3pHG;spXG�^i^NyJ8pn z*U7I$JZti9UVbqEIQRe`ym-#pmzPhLY7bA+^=2lOF{W)&XWFCJmGoO4@sy#I2I~iX z-gIG@ReFz-7^ISkVkf{7ItAf@Kzd{tMA~Am;vs8)rkn;R1Jv<#l4r@m90yV_vabS| zDoywF+F#DzzfJD3D~LuJG6T^C(r-?{`D_sM^`+yrDj+erd^ui9ICrjGz>-IgRF*LVp-gt5B$%qxcn;d`CNavLx4w!IpYm-w+PDU`t`iLt z0_!!d-^^tuNcMx01EK+t8C9pn*#Z{!6cN4?}cUMGi31fSjov>E$S2MH>0 zv#RMH;JzkimJREy9Onfo)_CPyvyQKt9$hBBTb^s%{M*#w<5|GI7B(Gvf%oYDzu5N* zpmfhaaYe9kuKn1(2jG#_X){TEsT4!)+P%+rIEDAif>w>k! zJ(ztMWq!6A>~&xHMDOT#!q$VikAaCZ7bhHZKf$+wA)#i?%`b5F=F5I!v+|?4yu8b9 zGv0IS*I!>LdTenK+#Gi#YdW4#gQyXwVR`y-B~#*gvi8Ne=e@?npi6H!!J@zwg5IhZ z?b!dzC~dlcszajCm$i%Pg|Ro^TEY3`aT_W{l(YwP`{Zt0OXm^rso<{SdE8}iV=C(- zV8WSGXgQm={4Wk3L%15~WGDSN8-Rpk?I+Vpqt|f8uL7sS_Td4m-3m3+`j@}=I;_;Agv+;Ps@rNZwW5(7)ER5Ng)5}$a*7yF(50kx9t zp70y@uTt-HWND&G?mL&Qgjo+|O=Sv^4HC?AQf3}e9TL<^5PxaRuU?>^Y%wh1KZKFW zIW6xAMV;Bx&OsckH8G1z4he3iO*rKns5BGskdm7!KNRDyq=1Fgf6trV#feFgkbfo20@X|F9{`!Arh;^j zxoWSVgqXN^5E9fQxSbA`5FT2w^1RY<6+96DXFNq(1Dw!#c!k0UwqAp`Qy6D-5%E%FrRYQeeJc`)4 z6`*8>(apO=s<+YC0OEBmW7Yz-Yp;b3wyIX@SBD>+{x$J1CR4pz{o%O%&y!L>bg1g2 z?(X>{#)YJuLX6yP(EG1eH@%(FY~SpkM=xjE7~6}mGlt^}_<{jnBWxGk%JYrA990Ta>F9~lyWeMoR{ zXmbkR9^IMSi_D1F?@9Qnx-C<1r=H_LWjV`qL}zA0r`kF1b!)uSe~w;lm47|o^;3V(~q$KQ-E1-2NEHRO(=r`R}HvF&^p z9LNuF5s~Yq{K6KwP|+wdFgS#0hA5GKm(IhY6)`QGsz{D^#J9wccbZfN-umv=ZCaPqdBzsE&% zRmd=L{ZN*ZIBoPjL3c=L>qx2wnMfrn9c4cAEIb+Y->kEI0Z|P)3|n;;f@12BgzV|8 zL|kg6kfa)S5skAwo2D!ivn}ZN|1$4f$S>>{tmw_AXex?jfbhpTv$uC}*X;EiZ{{-4+G|B$!hJZr{HAUda6Cg< z%>1)WBwBYbA5Wh30`*C(q{n?SGnGMpo(5R5AW+Or%6Jbq)rSs;wI70PJtKl+!{5TW z(ZN(OEX9Bekm>*;{|_Zp1;2y|Qy2di?OP9BZV_|MCG0YCxJem=5^xSJp?wdusMfbU z-eol+ym8ORGsJ-am2?i1{WS~DH5>LAV<3rFJ-njd357(qKKjjE3p)-a=%j(7;Pfm&JXfSY%>s<|4fBNg zIOUJpzDgnnk*`F7sz0c-`}_T;(Df?WTLcZ~I9-1!ix%a_r(ltKyxVnRg|U{6XnSvW zWxBY$S;eS**>{0K15ny+U&^R-^`}u!etB>XNOkpY%CYrJI>5Chs=NTButX-ea#YJD zJP`j#h)y$fls6dDt#KRE#TNS1x7K}Nin8n|ng1%2h$I+nmCs!rOwT%MdGPX`50I79 zP=9z&O=JvB;k*&8WgpnCxVbNMrw@V`+ zp`=nOC7l9Gs`wS9r9-+~=}rmh?v(BZ>F!u$sU;R9c4-!t&Uf(l{Ra(z!p+om zf^suMr*aZBLRdFnrS>F0@h(>8rgF{d=8N@zM?X`j8u_oLXz^pMg227`U;4hGVyGI= zcmFWVg{?7subuwW{}Y?L!ce6NH59!8)b@Siunt-gO%m*9g5BED&d{^Z+5gp z!q;larE@-G@$;A{4o!2iAMP+jO4Z_j-8$w*QXr$7f)ddLg5AR0B{r}>t{Xs-tP8Ri zX6tK1#~qGn!y1*ce~Iuo0W%8jxP5bJ`|7OCIk9U=mnL zh}68Tu|z}q{$G_uTNm-qC+q&&+mzMa@naOlpI$z2Lff+Wy`;|foD5(B`@GpQ!BGZ9 zM9J9W);e17bc!;cF-ZnyYDk+l>|r5^{s#>CI&c^mKAF|p3I#;>%B|stttNLd{4<=1 z*qbP!+Z5s~6Lrt<67-e_eT%p&5eZaGkDvfgY>@+^3GcSPOPox%w111TvJVWxS$NnS z4i}~^TCfCpOktqN0I7)Bh3BAvzM5`FlE+z!kf;emL_u2{?sN+0u21b3_9t-DhRtJ+ z$ig6^)LE^%>it?qFukxvmTx!>7GVubzX=e76}C;Q zS{yGz6~H0p;{|%VEa?!Vv3NT4NHVl!03&LWrhd)i8}|R8L>I2F7`DONlI1CY*ejyZ zM181klJdwxkLEP%X*O^6Wbe%_ZhPlJVMq|hY>LpO+t~WE6vh3lB3vmIwEN%EJO2fN zo9oE;swmwX1Py97e}KVeU`uz0J`%*Ggp1slo2E(8EIoEX`sR}8aK^u}i85rvMnZZ4 zzf<2s(5o7SXkFH9MbO>?aid+Ai@<=QiU*{G@sUi^_M_;7U9>Emh%XP~hi7h$>AFrL zaTw9^(p*!%>)2hS{C+k4fck+e?Zwu&1$2qg(T3kfWl9OMNEov$iGwHT*-2O3ijyM$ zynAwe3*#dZ?2U1L?Z%ArX6POR_&Yb2T!HuLv?p4byQW}z*h*GP3N7d2S=oEbpuTnx zGayF1J)2(ID=PST1|S(NXUsxuVV&WbmA1;o&V94O9m7P)Uv@wyRJ>jaMi+3)N=G(c zC!eQzhcu_(&IZ}PO?-ElC|jQ}Q}?8ORBDL#ZO%$OE2DyG zTA=( z&u5Z1@X>dgz9>sag48c6UI-ty|+$#F^8eX;gvse7EHsFx{;^eZFM~Ow8yR% zOL9*wcXb#S6T8kKN!0E+szf*Y{siDQ`C^-5T3{l0?Q>K_--id-l>dX$OdOL+3H)%~ zyqRnNEp)1jz(O~uagE-2O-g=q<%+KOlUP~FfxjEyFWEG;^K-n%p&9iVaI(#GHR$tU zzfF-mJ}`uKm2v`s!b5}b<&!k>4=MWBN&jSPgl*nYmUrc@KT(L+}()L%?Bv;HHli3cur|B>j^6 zIRp?vh`X#rRyP})`2nNmXT3HMuJ@D>O4mIeOEQL|D~jU}$$jaZQi-NrQ3=0~IE$h={GmDl5_DFAgiq~dl==7wwk|f>eJVn?CVpw#A=9U)-SO2# zmrj=dwc}lbL(3X#GcbLtL<@zAcf9W!y-d8yzy|vi@|9#FEQhL%1|eQi(Pxye=`d8t zt+ARh#vWu*qa}lOtJ$>ajL$9NgK%L~iu=s*rLv4&-VQrYSS?&?gk}Hw<2nH=j!N{+ z>sK$RAvM1`X##*)j&Hnf&rNj^T@`mSPFL@1zb!1lqTm>SlwEg@20Z57(AxZi)kLOn zd;o^@m}7q%rrU#iLZ;mQ?|j9V1|jWphrJFCXks?h=~(&yqzL>;tAGcQxYEM_*{6A` zv*v#Hg%?@s7;9;iR>=p7hWE4dI5YZy$p^Ty@|!pMH(&VR(|+1yxIfs?-C3x z%bFBH?(|wO#r1uHb;Ddi%8+OOoD1L@q%w*qA>RZt;lpcx$*=mmG4eAj4le*9zzRiv z5 z^7Kk+wS}5%!gWuyHK^&t;$vF8|u5s^bjeM4w(re_y7O^*3i!TER|cR#uJNXTG5!jvrvh;R zTa=3}oy@Hj9y{*q^9D=8l+^M8u)U(;kRs_P*6gb5ODU@C=LHNc7}|{Yi~)90wR7d= zdEP~?z{*kVy&sUNW1QNpu63)2>7titPW>O+I zcFC%X^H+)s$AkakAg!}hJItTEJKq7#M0<k@p?wb7Ipo0X4nKLX1^(LLP!o z(e8e8`&=T$JFmv*&ve_1^E2V?!@=ipbQr=kuk&3rPt_TPWtF~H`!H+9f<4SO~L{|Wu4ugoG(93|lv-+B=9;+39r|sIrR{mZ+ z(G~)*Pzq9jN$XBWhCWUj%8c#jzN%uG3f!dQ(dT(4!JY1CPlHi)H4+;i#!3eO9X_Tr zoZxi0tvsze^87wpsT3>bGX}TYYOFdi8K{;dy@CXS;zc>s99TJX+~@0GYL2 zNQ{8~r&16bBg4X-F52%SkQ3IF|JHhJ*69(_iD#K2^C`83j*2whjgZm3iv=IrS1z?$ z;3x~=8|XB+^kQ+pl&Ngv0TrBE-AE1`oiMM^QxUb*W(TG>K;5we8)?;xEKQk#E>N>V?d>zi9P;+vWUU>XBl@>FYi8XJAZG~68}{D zEkHbr3I4Y`R)umJxXza!KLv?&wM{EWWef%M8`D(*N7?-pRlJ-G_-M|gED*~@7be3= zQG||JK5VH~OCE)f1RG#w6udQt&LXQ9RG*pX{hlRcq(ur4158Y}l4H^uohSp^fmBv_ ziV!#-FiiPeWc$$)McuIZ^#g_c1?ZQ%))yDe(miAw79)CIxg&s<{HMaSM}=xD+DIkN z5-%=Y$iT2ouYeG}q~G0eD^ceBU}Bs)fRjsRfloKd@zCJM4sXbZP#C{sB6zKGfY+cr zobu3Q(u2%q)s`;^6TBPWJOn{x6B#?uP(wJA<&F$C@xUw9uGUWbk>J%;+K^*YY#mZC zvbrs?VNf1MCq(Jvks(2)5r_b_%e|iT;m(NLa5`zQh zT%gJC)HoKqyqH2|p8msyludaSyTttQe}4sp%D^8r6EMUe5ar3M+kS&$F0-G3V9-}n z_|FncjJ#JumJ9qa2-$*|G3X|Fz%Tk1{)HqgO7qtT#12{A;RXIf z-Ji5nPHO{|nRE#(^wdRp)LhW)<18N-?hkz`jt|bh`Pi{e=|G5WR-uK$vSF+@iP+mp zP;|dOiT=dNhk|ThuxZdSRnG;tNt`v|(C!}h1AQzG-SvwH@>FKY^H-NC+KhcRa*Ika z3+-%|q_H7e=Q3n!%_Z~u14%J9cZD8SM1QR(&(a5X4I%nY-BMGkW~ec(fZ4(Kwyl7E zJ#%6IZy^4;4DmHXyH0t~)2DvGl0;&Mso#|D_Z1sS`cI-q4p#vrnjyCrO4Y)B|2>BZ z5A5~i8@v3@!f>-?|Hs55zL9rg2Jw*PxcilC5>fy>w;h*w(7@l+&ME`ItLL_V4_)hl zx7H1;)V%k$x)wx!LIZf89;P+}z%4K3J`}htJt|TQxYM>qAqw}d$*9)f9dn|Eu(-EB;0VZ4N8@$q#+p7F*Unwv=sP9AQ@A3#W+9f@7u zq6z=Et)N{p0W?)QmNo=@_F9aTfIh%X$HhSa*`(r#juhoLIPdFXz+^N`J2R02%!sU~ zXoCDQt#Xkd*ES(&6vrQCqZtqpW9wg^X%~#<20`lPEq2V- z;LdR~@SSIJ`3MM;Zo7&g?pQjSWg!J(E5DLpY6bPHI5~MPf{U7nU&?4Jd<3v5rha0D zva}z;WUZ~rGdc=gNWHW9_P&6}quImii18zXak4VxSO6^rAb?_KI;mI^ zMvas@9G~6oMDyGvYEr$YfH6qcPQPafeSwm{zr7(PK>>D&{J?YIaXAtC6%+}=x4sE|(#|SoK z>r-N4MCmdi+gVo`0P}cv0X#eS3h1r^`~{jHXNgOUa*gICUdLj0@Y9XHWNv-!CYA=U zhdXR0+v3g=HBhuZv)E-EJZrow|Dog|^gDvX$SkAm&C}o~x6eHu&-~>i-95P9kOR16 z71oN2eJvs*3oxhMB70V~+ayu4a`Mri4J3&uc?L-Y4ZNTv6P6rgQ##2u3W%K5z=d#< zj>VfIJf-uv`NtS<1r-@9#gW@$fA(hKB zlktmkw&A@i>v!buouiuVvV}#1Ty!)KK+Ht6sWam*btJF#&;1|?4QfQY+71?5?JQ!o zdBG71aW~!ce+OWj3g#sv7^vR6Hd;j-TTjqtb|HY=Wh_Eo#ZIe8L0qwr?)8+I`yI1T4tCDyQiI;`_rJ`3O>=gmorW?JgbTE(#+F&?Ie`;x8 z2(~d%P}tuRv9z1MyqG64vA+SglUm}q{xH5gq2}P!V}q9k{x0?L)B26CyCV_( zbRRxy&QmcT)UInTbB&16Du{L=#4hwH$^lCNvs8^Oz7>l(!`UUmo9k#o!kz)KxS?TY z+FoF5v<==h8l!eI78Ht_G3BSbP#h#`jQBpE52$O-7Hg~1Uo>8J&l)%iH7J{95T$9L znReIF9|D}Q@#U?zBw`V3=w0q(53DlS(teEwvA+;NgTMqJeAo$#TW%g6nTP@btyL|m zijw5H0AlJ-X=hfW#vy-ekkKJ^AAE->Wat=wo!gt=cX9kEz1+O(GDVIB(9$ZB{$pzFF-DH}uleDzPMfh#HkK|#^Z!%p(he-p&`6QN;VgOnCi`l7;p%!O=_G^Wt5w7Fe#XDU0{{v!Aj*QQ znFpbp#Mc761XZi!ZacB@Y-ptWJb2UnY!NsqWs&|)oWT2f)tIzB)YVkS!~6U?ql>^v zVKuVJx!0&dGmEsE1OMm~Ohp|y7---JLg-EGzNY~YM4iIq@R5Q=o2n89lnjXz(LE0U zAqT#343M&nVr|vwB6%i2odJH)@kBH?_l$!75ipx=r;G~#)erx2GT=7|w`-d0OaxSx zVg!Ws!)D>SA8flDwap0zf{S-;x zq5ZR+yvPO(UGDf`KPf7H!}W&T0EQ##*IkL5rSR(-c$qhE1`M4;_+$KV z$W|R51Rb>M@mv7g__gWGzuC_4bPkIF%||qF+AZz=0z3C-4)2H*%KmajcH1__}u=1UY~AOGi?fj z?KFVDwV(bNcqx(#SW1n7ja&)6cx4`&aDTi6i`I8&u1o>CB8eBYVu;^fo>D7*WsI@n zhWsX;hblVR`i7pnzuLxqrOpod)3AN4T0|rc3+Z3q{|;!mHL@%f7wsNwZ27qFSSOL~ zG5+hq-CKMP7Q;ezf1y(c#pN15w+|%^11pcwl6yW?P??e)-fT~%85FhZ*Y(Hqe_xd` z9y7E3DVcXh)XH{buke=$ua}+rEMX^K`fb3Sb?=widQC)Y@9oLzl~weLwebE=dh4pY z4cbPCq|SwxU($FUxz6^sw4Dyw{V9)YPhFu)Az!-aFQ+hxB-|?7iO>5J@?0+2F<eRUeP z7S7ANHzv^g^<|9Nhn_WIot1Bph!h}5ZI||P59}o)G5#2onSx)s( zBXnmpwm3a>{h?sKd@Te{b<6T^L*Hd@lCzrisQqy+iNb100g5vRL-!q}U7LB*V_`mk zioX}FGEd*MR_&Yfv9_l7jwI-I&M2>aGNF0ap^_2=M8j!SvF@))CUF2qEH@Pj>pI|; zs)}mgIre2NNz=DS#PmP?=GzY56Efg-LPiso_}q^a2zKmhskt8qCTlSU(~4ZtRr0i*u^*2d z_fEpUHL72Ii)!fP`cIb(Rll?4T`q1%<+DCo2R*8qh*t|T^xiSR%2oF~j6Yq+4{w$1 z6VK352}>(|wP80hwOH$h*D*ZNYO$P9SG?q>!O1DfPC45)kwl+dd$(tQY;wu(wvZ!k z4Pn`(R-T3OnnL|W6XUzYYk{ql+U#6G`Jx27ViJAuNywDK>|f>COxL8^$4)ar0EK!a zpnS1mqa4mtzhDe}ZW>6KA=3l+Pwn4MdnP*uTUOrmH*NDabsI~?x2=s45&#Bw>0|2w z?qHM2vgYSQ46Eo^JPPS`XGUoN@9^m0^M~K)@OxjR!{-yrA4#uoa?xf%^()e2LXqh> z+Qp3t@I9AM_!YJN7%{fg#OeL#bp$u-{6N+&eU*(zfy72f3k{W0Qu)X>`!I8|{N^pE z%Q~F{SinxN!gPt|80$JV4gIV&Dme;F@ec5l`fR??K~7yX4(fYFg#1Y0s9+^@klJX? zwwFE4r%NZY_snRJ=sKN!@17T)Q7Gj~6GK5W3+$m@N@$5touNsAY##{S)Mt{a^S&rB z3Ug%A(3jqsx2PPx-5lic{0?}+e}?y&DYZlW9IsiE;{jjCAL6GvEDw6zEen+QBb(-y zo;wI<(YxDLm#Hgv#o?wwi~Ahd1n;0Gb%&!}Np+`kHnbPyUoFl1qjh|v9UXfHFjFDC z9wk3ZZu4p|}cUJIk9a$Hwfivi_AbB zr8vqB7pj6EhD^{)Eq

kmxCAYyQ}4K$XU) z<;b?^2oydFPl{|{&A-Ul%=qDI*Mf4L#@A(_$DAh|WIvx5#4db|st8LAXbk~JGkq2N zB(YZZ5#tbI^jEuyTIjJPTP@wiFRuwJiEl!CytQ`RwIXAyoRoh;i#Q&*{&O?IAbDb~ zIqNU8N+#HjpGfiG&vepj)n#W3=mDnGX~PrKX9}~pR-b!$mdzLOIx0dm=qJTwZ6bVr zJ2qX2m9c&=qFoc8umpy6H!iU&hj}g!%+oNm6v&*s{2T9X6u(zg@?}-|OGP`n3e4?0 z$>&|eH8jK1e?4kiLtdr_jl5g|YN!kJ9SVnf74C6Ex16!^0y8atBiFZ zMcj*EpW^C!4;wlt*#y9(N|-Sb0&bAbyA705$s^l6`{+4DExPCX1?UT9?4VmM-#rfx?Q+>e%)6(lfApgFN7%|h zd#45cpH}G3_=9dwjq}={C}0W?J?s&G8{VHgOhm3A9#EUDKX)%~1>E+kB|qeO^XzTL zI~-Vp<{T9uKVy!1=*ay4&>^=4`Y^#Xb#_28v$;)mJAp|Tyq3>UeWiJkcgQP)WT)~T z6c0zqM|K>Fc{>{p)tsgGZOzO$e|Y9s`!$RB%1r}*>yf@CbxTu zx0JNmq*{k|%>OQ+^Cm(EmL5YQb(i}>qb*4K0aC)u1}9TahuTz8|wr8PzGU%pEgaei*0D(fg3($ose(dZ0C8eOtO zH?J)soOE!F+0HsJBj_fF=nKZ0{CE@Z%<%K?45yF|(YsmqSGz5pGe0@d4`@Jul5`?i>1*w?ae&ldaMTn5AFwzQ*$LPuA z_1WF24j%vHZXM=jGc(@x0X?n2o|uEa#{FHXk|zP066s$M`$I!DT8H4W~VUOLvJz&-f}T2%a8WDe|+r?9wl8 z880YaFD-Q*?iN)R`3kPUNCwey=~T$hqyF1*>4TX^$PV)a0tzQ@WDUOVlgcNFx6LXGr4K2&h%#o2h-CF0J z*=ME5X3Ivi9H>6`_ykCE0@N^dG1SSzdB*>~zjO7oGo*a;AfghevC!{Fg>7iQ}E?Q-vcPBB%cH}~Lk1EbU&{!Y#+?rtJs8eqkl$Eyh>hP+5!V9n zwFV$*q{ytF;{KZjWT#=iG6(}Ap|{0i~Evx{qhGIa;G$JsR0 zH0M(*41=}EF}2#<2irt5RD&&sk3c4#CspIV`}=RU3!%Q}Q=ykj$#zY?M`v%p`-CbU z=Da88Fq)uuoOM>Zs-I7h3L?v8Q)x;TV*{)RDCxi2nr3;0W*N%@CR{r3I`GFN;w;P^ za7p1?c%4iGSDik!+fipVKpZud0C-jTx-9$|1*A-e2X9()>=tT*z!F;bIyT~Bd)qLT z8WlzCkIo-Xw&IWK4!6mKSB|>Zw|~0eidCG+xbyiJDT5(yDF8gtCFGt4=pI-37k|RW zagS`=i^RM)@S{R~KHA)R2q{XXZ8@`GeN?q_-5GXrKG;@ikp9QBP7(YSk<(UmnjO-e z0^^hXDyZAud+k>RW&k7`S%Q;|3X2wJC#{>?#PxknZ(57Z4@JJzZ^oah9G`sVRb+4s zHON)w=1ALXtInZghg(VjyO_g3mcr=paUD~T+xzDOki*0x#V;d{aB)bO&0R1P!crwk zah7(SH&cez-ZRUt7S)5HsLiXGp1cUuE8Q7Y&(~#uXGx{lshH)XD=VkLKLOybXGXhc zEX{b|9dCt$Q6(rp& zeCy+W^&7VC)GjVrs2Ee!Ik*4iCCNujJvq`tjuPGEJ=?zd1zbhB(V*Dx3mdqA*oRpZ z0E>zU>wyVSrmjfxwPI&IQ{m#Er8SskSJ0@e7i1M$J8y>q67~cI#dfvmpTUuwJm-Qu zPJaEs(GuZre=I=j?`$k_yR^66nOM|$6n7)6yUg@KSDnOtL1BST(96y)y24hnhwAud zihgVOm_?#*e?{!LtuwIfJiJ58@?fRK<-VB09LDeFnV~PDKU%tw=X=uDE^c2xmn`dD zea7Eg(8Lk8xI7@AXFZalq81airuy2#?wc0i9CC(y7lh(2`k(W?rI|t5&mLUjchgiu zk88g^mH{F6@-WeO0Iali*k&|?u~4+unlUI z0)9QfiZlBy0g9rzEmn@~7Ei=C9YPPXh=3FkjR0@>LmHv=9^kk5+UZviATC4ir!x0# zM|0mD&LG~7xZ}T{0nD$%kcOmOpITTLuZ*K=e6A*%bL}48?M3P$$^kn9wMX5eidqO- z4Kn+TmerpanA|r7Mq60CyR5RnEF`L=Uzo$;gZ=g|>f6Wy3iF>F(^UU;xJyNsf5}MW z%-ncv9XA*G-`umI&qwxEzOG-?%B<{lZ7V$3&brBuKi^jtnyPvI0%w0U^gOYjx!Q1- zDqD-c130pAe}CC<-x)D%{^D)lUV*iAjDK*@lo+lUzeE+Z$ZsJXuNtMZZ7I4u!#0oL zXLlRc9s(`p4!72K{_@&Q4JAkQg6TbBN%tuA|Gv-IQS!s}opJ4{msDC`W;L&gA_Da@ z$L~5eJ^Xx^h!q&Z&J)@kqmuqhzX<*|aayH97V;IEiP8okfUQ{K*NO6AC!$zL(k1Yt z`FY6DrC)~QRTx9j{ugB_VFb>#)J6suV#!D{A_Kfn9E-a1CIMw|(0IQ8)_eQXL5~4( za{^qP?P#-RNJ_*^e`m9g0&cm<%RmK_M}u0<62(=ijK)9?zM&Z!qaatU?;CsC@3ckj zf$!(TAo~{O4+>57F4K1;fLS_7vU6?d&*|wO$in5rb2$+i4QoTO_8(m*7Jv(?;vChz zgAK@I`IqTmA=(`#7S(A$ww<}pjT&7}9)3>%e$S{28@lWPz8ykwW9!0r5h*}1@02Ip zM|PJWta%xU2Z#(im2Cnt&^`a1O5k977RnBUxXu;*0n#<<=VCsFeya0!@d%O{uV^Bt zt*E$FSW)Vnv9-M_vbW10YMv5BkULC7|H$$s1gT$%hU|iH%hC>5B*tIDUsPXAT&_Jl zInJ@8Wo<~S-*kt1kfCV%xUOV!)`cN+s#|1Hv(9#@{>%Y{K$1%z=36%V z_L~_jmBJ2JAYAhl!}0l`5qj_2<-PFk}d;~>h163#hH90J8qkDc{-7%qq*5LoBvS%A+(4W^gDtUHgLzloX6m@Mp;{YL^u+urJKLM>kfl@{~OoV9AfXal~y&r}R*klYCqvdOT z2&SVUXtU+M90&mcT2n3KzZ?0P!|wG34GD`GFvQKEWn$kJ2bibN6l+Z(vR zPTR931C;^{{@cnQGOM&)D!vbcU1$h&|NpHQ^3YoKjpp@UTJPnH|kGz`b*$AVfJ(kN-B7Sm{8bLKU~o8IH$5_g^{9u&Zl090Zy{;gKE- z(1Dj0*j^!u&TKbw7}+yq>e+v|^v8JLCB8S4Qv|V}AijzG6uUS)0lF9wOe6-^_qaP( z^6wku8A~hdaK3*~WR$t}Gj^IQy#^HTA++t{=` zUCtZ2Gf>gLDbN@E<-em}!YG`q|Jg+# zXzHJ9+>P74e+1o{ z-@h1Zd$jxJlWx+OEz#JRtul}mw1+Ns+(p^cNoI-mCNICy55Ae_EMl;S5YPtz%Xoh^ zs;`QMU%&iyJg{$%bl)p~kucspngq_0OxLa3{2_nZ1X~onXdc%7(zf%mvS==B(>I4- z#QG5%9s{16$vf5M3DgOX36L`sy4L}?JFqt1<9aNC4pnVvj_z)%_`-E<@0;oDiQiRk z_+X2e+*_3GWBnmnyM_XMT+SK>`J@b{}mnP(;0Q~x-GGap$R zHCz6A`&a}O8>Hp(J=kjaYZ&i3w?g8iigC?}axdtZi0Fs`h2ZbfmbCH*lTU^64m?zU zs)wO2`#>9dQ}qx(F(55;cv2qMs)^{buSr+^1ej8bxp7orwt;~tWu(+evN+~D6&f?u2uLZ!#Dce-LB6#kvM;woh@!dN`!4M zaU+fVP+{l7rLSEEYtyUksL+9KtNi}K!7a&TAGRFLVP(O*+wp3*Q_(Rb3ovrh2%+8~ zE3WVj5Z_Re&f#;nrq^x~0(;c;ecSqM*P}}st@5t>NLbLho+X&M^)jRW@%1Ph=VP+7 zAqJ8&tGdoO{X#+2N7u{I#giIZv!q|dDj5g*q1>#qdyv89C2N0&9>aLkC6xHW( zjU?O_aNpiXw+i_;Z5Hp`o)bQzdJ<>Qv)aWQ5%BB1D)XjagoL|ci_p864{)q^F)!b} zK#&}-Vnly|zhuN&-+YCRh2_tJ{^1EPlF~%UIO-8j)eSfLyW>Y@ohwbARqeYcm1^xR zisxqoS6(gFhX*qaKBr~+6{U18_9neb(gy%(K)&_1Xg0Ad#n&{lL+gkc)tF6JFFsT& zO;^g~cH}RWpbh5a!DzG0mhm~Bu&FS0%rM(z>;M|M8u$1tta`HpV9;klo}!hVqc$;q z;1|QOHyE?aUD@9*ZRIQZ6^ED3CO&RY5)^$z$}&^z0Nqm{;`Ht_1ybB4GlcJN=MQwm zBZfuvOuSJUd|H<*7UR*I7s%l&hFItQ`1dBM%0nO!a%cpK?uVtdpkLrIYP7sZq3YKz z;a2P!>4J+Hht6`|1?d6mpdc{bQ19R9^+mj@;IJeK_P9M#XpXZyaU2l9;!aN`4^%3K zUfiKq_cG!;lw*8MP-9%-Jm9Len>@CdCRtv)4=t|{)*=~D-k|_8D@6(oug2yYG@-?q zK-@FVT7nuFn@=qzia7U26I^_y$`w_LYhS$CSc1SAS1aTEKfZJJnpYF)+CSLKUd)-FO9ymAH4(neNNU8XqfewkA`}A;)eh*&* z33<{av=pvqz&l3nVXXR+%!S;uPIVjLx$w)Hwq^R$@XWFT(`VT>y&5}U3B>LoZ48h% zql#z|VPv54@rx}jef$4QfhfYg3puP7Et-WoOO*u1-_Z=inu zGf9eW+9sJp2Ua28^aWN$t(})bKkDr(l=$;&Qg6gH&i%n%%qZJ!x8;~V*O-!|&gvB9 zb@GRe+g?L6pG;Zg5>Ky0E1j8(#>5qqkz{!(;JljexxS=iuRkyEBl$zwi7YCmQYL~$uV~g(y58ZBFKkAwbO}V34E$HjjM7`i zMHW>=E3M*{_@2Kw5~pm0!c>#haDI;(oqlO z(RN-+A>VTGv`GVudlJY&=gt`^53yUhd;W^kd(EyQ zVV+M;_P#*-3RU$TcdSC&y_sIy@1?>l?Y*^*2UV>Eb1e)y#kmuo@)b=EZ@sRnTwmm5 zsP+I2DNvIcRZS!HVwaQCG>SVTTvIYKk>FKzPh`@rXwu&P->{U^_5IO`f4OUTISOzSJ-Tl&(Gp^~m*AXT z<}mk^2(C`t9BBFRJVj(a;az)U+H*IRkc?%2lbvsJe9mYY1$L`HX*uLTn5)g)tfMX`yEj*tI;LVG=4#|; zI|YnttgPFTWv7qcOzGTnTmCVHKD8iG=Jc=9a+#=9{LQ_ygECHZ-zz**q~<{C;f1vt zehBm_YD=PF(fj$z&d+(B^Q3O-Zw^tcqwp`h;|$aSV;HSKE}zf)mD7|@$LI?KKAk4@ zFNoL(Arr_Z#q5gF%6A@7in#!GWgUad!c1NInTg8nrZsj}u(O0z%E%{3w4yGu1WhOdG) zEkhdcs}+yg$80+a;xJYX6ME2KI31RAo9Ay?zm2d4QpN##TJ1SyCbg#GOQzO8KEx;H zD}=oEe+nZnGnPf|R5fs+OZI}fP59a{`xs?FLp=1E{6B+Co-NC)k(V{oc4=)NP3E}v zzwk{aonuB9W26DeRk>CwZ8#S4U9O3zNeq3!f8NsLx4oCb63cl^k}W$Xw6B*#$k-(* z&k?i38SX3zXSyu5iMUm+H`IsNdAuWhifla~KFRIMex-p9%@^VF2SWjZsGp?!C!|;q z1sZo5p$^p#!10=}85+q#4S0uhm%&ul6d5TqeS9VXv%wrRx0DZ01y6tssKs*og^Hg{ zP<)B`s`gst*Us~+OCRq9ZFoT(U+1!mW1unVx~J=){W1{_$ddwi&25)!%3^-G#~4IJ zzIFVWa+kgOWC+H6ie`N*81!1gbM@yD4s5RjAnFahd&6D&DviY@JqjJj`gH_Aal8BfG$QPh6J^a z%SRQ9?lBjaRAt?lp$K%joNoQ+FqZZ?%~2_ z=Oy{QcQ&#i1tQ;}Z>7-MM!psqV8Z>29DuR72;~b$o%jA#8l$gN#$4U3!cY7_8~%#? zm&t!Y5B53UZ;8`mV#dYkFo8C9ofOOAAoCd00nEW6#GGA+M!^D97pqm-5uX8BQ+8cC zvk;|A!_rrt_IMT3)AaYnj5xR^3}rI-PVWJUo7?7 z;D~U}NtCsHM3|0N-Ss+oi-=w#6YHlA8XJ=p$Uf0*+|EQ9?ogayrJg_&97H;2V z{;ScBrXDJ&DZ|TXF4Rt-V;*=H#P+TPR;ziw8%#erPpZWCr1_9_05p6X6_4A#avw3;PJUw|LOK+=9f1f%4pTexcGGCV7mX`F*epjC=AFCER#t z=pw*{hm2EuxTL|~JRBY2nChK#PlmtyIQ7B9yPY+ z)kMTj`yoZBd8p-edPb&pxr|?vfVBAaB(WHiJ|O6n2_zo*xL=pQX$HwV%(TclZn5m> z4cz=d{hnE&jVN3maxlgZ`jwTEc~q`_3e4&)+3>*cdUkMLy@TZ^f{{mNP4{(gK8fsQ z=@8@TDSCVqwR!$o4DPtvdCE_KoV!aP?r7Bh6ms}O>s}D5zPIB)0wjTEGM?_xQ3#5O z@CHm93;Vh+#k6rFoB@3lu==mdk3}U@VSIklX8KU)`Q*u}^)hTtc3yHe%97B)>dwEXqPg1oT+Fp?n7 z!ogR>#{Z}#1`RD?0)Xku6#Y7X?~byzo)@RL_s{VY+49m-zPuO)c%7=1jio@cK)h*c zwWhcAPg1Ouad?0QM4GQ;8D7r(V?>^lu`FYATScVm7F9i@e$DE`v3wq%HWzyu-L}hQi8;@_FNoI@9{BkY@kwADf%0>f6RdLl%S# zNMbB{)2#R0>vqGcRwtoyB->9e71?+_x|~!eqJ8@|J3HOydXObv#i%Oj%d__Wrjo$V z<5~O>7nRIMw@#y@fgxVjubiy#$t`GhY)U#WgyT{TTB*h;-F!rQ3B0T+`bocFg3it8d9n~Zi z*8oNDeIixXbJD;`oB8*Fo<=;>4t0w^2n);@OPgkQeT**{)>+aDO|au$T@+>(ZDa-( zjrc=J{m{lYeh~s|^Pw3bDO_=7QsyUTuTw9*s?bd;c@(;l(erD}-z~GJExtne+ZrIa zlH^3+m8+-Kk_7r?RLiaNiC-B*N5k-%zOO1wBnW>7Cwy-Ii5}$Oo8ar09gURe&n-rG z8D#79`&_?Q&Gr6e&_y!cOxWz}yxKK4GWjC~>7Hbe-ET8#!ox2xi{Ln&z6$M6lgWkd zLlhcss)szZEV^taxXPUvA`XEN*sEGPa%v^j36Y>!nUSYArz5Xxs1Iwck?w|Qb)i4m zxI8(>?+R&;LO;kBMH3}zKR?^e1N^FFSKe~o_ULiia@v5hestzCH?Cdk&rEfaT3bFc zcoxTDpt&RDd_hVJ$9hZFR4`?!hN)v34tfG|$wu90}O@e6h)w#so9W0QH z<&I}ECLX^il=0gnFZhk(1^q_NnW))Tfo0y^o1@~bBpe)d`%4m+&3VF{Euju&!Y}71 zyhDF#u36;-Hf_xJE?BZwaxm%wV^XSEuo@WZA;L;?ngUo!OB6LSflqM>P-Pj#Tph{F@)PT|p)T-#f`5AAVeo*G;p>84D zd>(T14{~0%5K`f|^vB4$wz79g^5bNO?UM8fq?)$pVIoCP=iWr!3JSmdn&k1lV02*0R7orufXr7fW*8Q%uDgHjt za|X4GRtCIKnZyNNdjaOT>vbCT{HwoEz9ZdeaAfX_^g=>1&w00v4|Sj83CAdToOJ$V z?gYiYoYS{@>`h2*`lF7^!NN}?u`1yJLG^lWNmx+2v?d45piJnL)b^h3=& zhsja1+6xi4u{Yy|+dh7M$ylDOO8$lU10#R)w%iV2bd`F?lauPAYm@pNcdAPf?XwLM zP*Fq7h1$%7lr{_3L-sTd3%i|%Cy$z zuK_;OF}jQkenSYQ<6peg9}{s+wtmb3$87LZte1PXJl}Um>Tc`zyqm8M+rWx;O&ZpA zQ;%n5%KAbcplHstIYX*}Tmka#Nx7r8jQ*Ye_vSS3UM$RiM)^hH3B*GI{#I#|m;kn# zrWD{cTv(R6f-aOK8RCls{@-<_yux*UfyC}l&VrOf1dLrdF5ds-c7z7%022YD4nU^t z&p~7EnHo${OW>0_8*`-az@JS2z4$;d0m@Q5-lE+}1!m}|sbdc^6cy9Es>v6#O|fn|skMH1=tC<)l8W3ZXlHKce@NEY9o2%a+-)XbuxN zO*u+RgVon}pH*XVC+O;$rO#6S91|OID>j}op5mI{XU|JW2NZrr)kImVJ-BTaD(xtv zfGK_-_10ur?06T`4LnSJ1=b!H6CFkL7LV@YwEsKExs{9;alqX)OkPFc`8pp+OwD(> zkgD5PsSO^qt3}bH@~nQ)KS@hGa6n!D(X>84u=S_f#ezm*m+37C>g+ysPodFpQSsg= z?_8r18|^>%U*8A(`&0rZtNZY@<4KcTw*`6ZniDGp{FZ9@znqT9lKINR4&Kp@+&gho zqb6YQE_UU@Dni*t-@U;+!V*oG|oB_O>P5#5VJtppmR=>vU zCgk~dYLgHqvYMr3>=52_d;j_ngEX%<-(9uEk%NEZ0=YPnwp)iS<7re&m0ehJFF~Nskq=J;W6IA5p24M(Itl4X`Lol-Giv*X0} zhlXsCcH9Kn&Ko1C4>J1KR<0JF{XSPIMB&D_^LS0G0nf>A?3pfOK5H+`k5V)AEf8)+ zOCA^12bwem+&E>E{KbDm9Nx-GmDQXjgVRZ~S;RoseoAQVHydY?pS7+aJ%e==M*lfA z<1O7Xr~F6d6ss`12 zzAv3B?B?fcn-M`JO$5XKCv5+}z5>k!+IGRKfo+ekJ!Ycl4(^zE)31SwY(kfj)p#!R zHn836P=_cEMG&yG7HlrBWa+3}>Bo%H;2&w1TPcwUNjShw0~A3jGD=6HSDcYW<}QWr zH-YJ6s|;l;z;f7E!sd>q$&G=z+?!r7yo{pGljRZSEkaaGa*cRBE zm(r5QP55mO9YT7hnK~_B#3U~j-IofinGo9wv2jKLg?QY6MsgwYh2?Jcy_w>q6)^`>4cM%am_xKEk7oU>YQ(a(M`B-BM#(cKd{x&FGKB=)|}n zf|Cxjsao=5h*T%tTXM6P#@%;7&A;LaV?HAY;|pjY9fLhV2*B$P1SV7qqzJ$p4|WK2 zDlWz9*Ztf|CoQ1u$Caod80OHN-+@25{X<*VZQ}Ng>dGt8`R_3LyWe2vyUIpzxl&@` zU4JFBPMi|OY<8o)&=&(75Rq{OCCyWga*9ny&2^t9%8pV1@zpVM2Mb+KH zO{mGRmA8_EkaZP@$#|m^yP)XH)1yA0GJrC*QpI1^0mb*#iTej#(^YB0QZ%Al@3*Nm z*ig=LJ>~pCsFwxH>*6$22K^td1D1?CQ%)Fs9nmT_#KH;X<0HdbSPbGKRyJxnz`0{KH zI#JKaTWhX@xKHe|Q0jAWL~oP{m=(P^c~U6@KalRfj}e>JEWzj*3Rqq3+o!3o{NwHA z04xwm%3@yL3e=@L1=iLhjh~wU(&0YPFUs$T^XkX8VMC_kU!siaGIFbImjtO?o}-rc z?FvYe_Fm9cuL0Lv=1S**#gfy{8_@+8n|U^T%`IZ-^GX@T_;afQn$LlD?9~bVy&;YD zn_lP7HzJp?Il4H)P1CAI^hOek$;R&sE0>DK(;}A=W2&W0ry}$i^@Zz4!d`7o4`;D^ z7l(;8v$xVj=Gs7$HraVG`~5pD=k&GX$WxogLp?V3^KN@AHbQ2o^H2Dn=eBVJ_hzQD zFdLhf9v#CAUZzNCwB#xbu^G!cN?LW<*8si1PTqQb4T6&*__$?`2rQ3>Fxj4_g( z9M+_bC7#JY6qoN^B+WIkwrXrrvXy0TVODUIO+YyK>SG_TdgBHTRGchTY&V<~K3aLs zv|Tr57jBt!e`XH*_0)YMZ0IiL&(FX>n$8z4+Sf?bk7esT;BXY^tV3erj2x`+|=Xk{MYBt zI;@TVQ^POIV+Um4T4-IZFa4ND_}sv)nN6;01F5t7DZ`saO9e$LXZQE_e(ItMm*l4I zPEow*OEMv*&~X+6+{t?Tu+=;aJ*aT<8Y+{Ms^{}Riog@x4es{Xv(<;z(;eKLVOU+c zk*vvRF|_sl_lNzG*r@&XUarV*1d$_X#MJ+6slA0S8N>kE`FJJSR7{6qIA8JOmHSUY zt#`wTEk^SepPzrT*U>P0cJAOEf@!G8x{&@%h}L_Q%$58fmj|FV7#&LkD3;MezbTIv z0O-+c#Sgia{k%z&!ekXgQJbAJvqtz`0TUfr-k$-C*;IFu(Ta=l{)6T^)i0O&9p*j_ zNH_Tk7bG3b6h|69Z#+<2eoc%XQd$E|*!(A5KPq@GaQ(NdC&vGympL=s+&ToAZ@XVCXj)wiNXqGzXb80tmf|@3`4p)Oy(MiLYW=tR!T^$_iHM z__DL;4$g2X%e$}H!0(L>b($LrrhGzH4}YjAxXVQyH};<1%kimEk+U;;h4wbC19y{; z>DVj`gRZn_n@4-pA(Ue?u1+T6e219U1CP5+Z057Kp~5E43k$2XE*0lOY1_CXt>XXK zRUO@W)%FFInw`jD?`_f?(>9sB6cUoABh*qlr$|E;=gBv5^!92T33cY8VrUO+9*Vg5 z1(s>BbE*K|0Tf4L5w~t>9reUs{N;bPV>J6E&e(RlBKO`Pwqecx7i{Uc*g{m=?*VxD z#I!vBVt=pqF_v)c%GAb=x*|p>3T8m8UcWv}M&+zo_D%MH+9M|pboLJ|3W<7<%HDB}WkXUGN(yLa$oZ+ik z93;D#?uO?%0Ff+MzWIF^yn7X0c=pQR8|(F_&6b*cCmSdjq{8P|Ctbaa1M$CsMCcYy z3-~n@>g%0sdmye$oJ1*78%omP3qJ=vRG7Bx21acuI&}8=7dR<*tBQ2@!PgzJfxFZ0OvhQs*EMYPCA8!#@n1|%qSj@w6fJ+?Z zg_Ihxz*!a@9bs6a_VlFTdd5~$#o=CGn6A^GHFbXYb=`rQ1yDS4^A77@#pZd%x9Bhf z3c{X9vUULV6FSTVXU9|Z!Q#Ez`jW(qK)p#ug&$)f&CoZK>e68=o|MF|0Q)DqA?@M9 z*f36N2uW&anFXGDR-RXqWn#?YK%0EOG@^uOiD!&uv8od&{31uz7GIC50ReSbvD+95 z&wy^Q<+%bo;L*qP!fEJD&M?TOsJc^l>gyz&u7frC;l-f)v+C_75~V`N zyLg}P)x0`$ilvv8*TY&Vu=^DR>Al_U_p|P)_9ipV+f2MgjSOitH6o1C zE4j6M{;@~YH|U**VTY(Upgg{Bp{I989XYi&^=We&y*b#sK!r7f?QvOydGDkDSij*s zEOVkd?TQ;9p>iT}Od~1(<@BHyW0kpDI#mfvx?#EY8MU@aYwlsIko`4t6P&U~w)!I_ zqbqhXV##GSt5@)Br1U`0zp&S94eJ#w$R=%bBSHf8eUt7IuI)U}QLq)o-A>n{jA&*1 zn7!A`WwhDyrCZ|>nM|W;(leJ|oEmn@M*cT8_pKk)@~`^EQ?+djcj}I;GaSYC``TT~ zC)Y}8|7hGI*1zAMqE>0l9viG3mXchUWhF^-Cw$|gsH zvDbW|hOE#wG536O6m9i+p&)PY_F=H+6f)6ZoZDLa1fuORPf-&gYdV$E3Q&FPxr_PAhauIo7y5pKb`gt^sqYhzp zCK_mTSG1r1ZG}Sw2G7h;ZXb76vFTU4T${5#oO!5Q7O1;Pu~e8|kTh;w6Uc;z4Q%b; zJjz}Qx}|-kHOLbyKxV&NM%z)C$Y#;kQCfsVw=x4n zz#hnw9uzBU+m^Ol0M`fIz%rD++z#`BIT6=y@qL$3k=VIsXYm7`Mll=%__P8a_BFmmDyf0tcOFiF zVVWg1;O&)Rx5bD;CEowq_hf{$VOe^<07$0PR0b^`wlWRvh&b((6HYQJK&=;nbHa`- zZydcCw$t3-oAYCP+sZ{M&1)(zNF3n+-_ISf=G@t_-;tN< z+sw)E9etg?eD@8n-v9{|fc(u>9y+k#6~+58k(Ny;d50Ak=sa%-HRAj_<62@H$toic zJdZ8>#q&;EE1lQ_TV$LgT~>tZ`)OlTH>ZB`m%p@YIZwWI@-2KEc=F|Jr{|~SQK5~F zB(eWz{I)J-hSm}!t_?)fiJGqfp)1sP=u}xq%Cz!OleO3tI+2`*PKBkl*E)IDcnN1* za95VmV>HN5k`mJ0lKKdu&zDxJZgP36J&7ycD2HX|%ZOdQI5)ecjQ&CR2tru3^42G& zVmje(F5aFBQN}}X@HfAQqUL8`#@?0Mw)x%AB;3Kx^4Rxr$@{%GXil^G8o7W-r2R|bKE7fBC;nKSTq$D+R;qs6-ME{{HUgW)i_ z53mWT6xg9U5S@0a1+E`Qfg91VEUitcstHdRPe?s?20Is0;-U6f$tW=H_2ey<1$$_N z?`S|oV13IlD5@MCNC^8aSEZLyKBI}|_-+#mqBC05%lm*Orfi03wdw=DZFvbD(^tg9Bg)NNbq7+~yyi_m{rD>cJTB_WNfJtyb@!h+Uu@$)^{#Z-|mK$U#tm@dA9 z|0u^lSkZhk@cZ$$z++OE1oRWau4)*Ir59e1&M(q0(yIXH6F*G`6Xk@nWs(~nhkN%n zZP!&_E|2(>%?|ecRGBqTQCQ)BKmrlui#PLh!gwZb>C6B_V-jeJqz>F}EROeu4!e~X zvh%|n3ZvZ49Q}cfH%@(5=3`NoTSqQMrCwE~H4I2cjxO{~IX+s?uIN$;$G2>p6lqWVPJMpTC*|#>*n|Q6cs5e`^x-< zpY?q11|35XKlx4=muEVa6bhPe$iLPPz_VcYI|Rz;NgZ_1y<6Nlf)Mq|*nI zY5e5>R?mxd8LRWB|Fy^GzK66xHKOteR-h(7>0J}P{Hfq^qYnQKxnCWBDbkKEM^3w9 znR$Ncll5SATcoypJ7enX|JrV4%=ug@#6Pys zD*qw~?MBTg|DRw9qa~lm9iBp;^HCM4g{Z8>bPd(fy}x-ps1Az60zTjIjtzhdt$47;WN`n+u`{^Ft+ePoAORNO z)WrpWS<`FLQf3F_6C`PZ*$~jlYy*%{Yk@BVpnEsz9MqJ3TePTR0sACF7Ivl>Kqy-DClyt?6lH z58_guQJjse-h?hAz6YEQ?^jw6f1Xt+&e1Jd;=B6Uo)YK)+n@!0)_)hA;(E+yfV0v` z!OAc0P`$@ZSMRBxlDgh>znE%4Djt|8O;cx{ zCSPAW?Y6lsWInc3&E)Sz9eeqEOoyisflYA5^1^`E^>D62_VX0V-6=kmv<-~zP&=3T@qOQXu(Y(?AG=Lh_wM#WAU z@T$*a*`Gd(qk4*j_$mokIx!5z8mO)}YXpn|Dqw_Vs{#$jo9OMPL@du|5Uk}xS0+8$ z0>8)XpzdJR2L7D`ngh4Ce8;=fy^BWw9rvzstZP>oNmSbfcHF><+0U1uzW65e<@T)U ziw1(Jsp7LHIYvzIB{ke`p)ve~B-^IP_H$Bgb2 z0}YTO=3w5-BvvBnt|bOG?Sorgdm5EEOAqt6!Ce?6T}tnQ%{)L^-Q*#Dp3DYw`+y&1 z!lh;#LgbQwceHm(BEk%P%FOz8W#P`DYfXdvH!?$PB~yutLZ}G3yBbyNSr zTWdfs)H?L1lD`4ifGqVDvZh;EC{qyc;p@1bLjke3?-Nbk{)vX#lW=?kC$y-1)*_Pp zS}~s87|7tDKNQ&f!RzxAX1hMHMh7LAsIV+tlHrys-HEzagI!d3wWGb_P|$tW)qrK; zC-xyl+!ZI_M*GarF5bL{;cyesiaol9+^#cUTCSZ#m{gUhbH>%rV3_*MOLy=Mgtf@$n+cf^ z=|b224zxMKOeS@7J?9!9;X3uV7Au)ei~vLkjP(k-ziWdHG|6rtwi8PQB)%iRv<&GU zZ~1QK_O@ZnZ*y5Q;{1>2rZ_=fjc0@e4c}3)K>9g3OwA^Zzd;J)S_i4BcVN+1UUuNE z|5vHu%FPZMX3K`gffseL{ESlnXoOUuw6akG(vDr#w48zCr!IEtd2!5caE+k=zGssp zKv?haYb$V>Qxnnh1JW8Mt61w}Gp1Id`^dmG_lGS`yZ|0;Q+|X;+;_MbA>!TPaA2$X>#Vd~4B zbF9`jzm6F}#eyOyB0K&ZS$UV*(5L(Y8Ha;7&2t!bcy+WHs(VhKk+uIshS{2BuxcWU zB8IyjRyI@k*X;o*=kqTg!k+u6qpx6QqQH{4ZjOISy&fI1>;a)aM{na%=u_eDygXyv z$Otc(l4&0m30f>kfSw=RI^Hh~Y_(py!&Gh0YG?ywCkseT+x9K(xs;B6u_)%`yOCAP zKk0G7Hmpjch6z)zL(9i|KYZ#;Ox(u6M$P<}$D#5Lc>{)x{1%-GNQkCcpNdpr_FUgH zs!v*JYpvqN#_y!pJ)i-2D%80lu6ykJ$o)}!6)JN_u}|Leq-*zyZRf0Xapaj>v2}bp z{p#E5XL;hf6Es6x$%|5sJkE*~plK(J<@W^wNLc_CS`Bo3fD}t~Y|yHfO%HjL00Kkh zLVwxemV_;8+rTI$j}~fTl-ePI1<*e}lt}NG{&1@FFahoe#MDeDKCT=Cb}YvvD}Dwm z0{@Ac*8zPZ|0o;_8W;>jZ@nSY@yKY7xL*9m-vgFNQc}qS?G%Hj{;mh=Qp{Yytma?I z^Jx|3y*}Sv=tuzS(WVx@KpWlZKQ%?HlBw(AMHtphzXYVrRPz>nzr5^Qk~DZ0Ue3boq&w!|ByIkWM85$&YcwFP2Wrfo>H~)#W&s$Wb71a;pd5XPD*$k!y`aVgw`5OUnYxx`lgCO){Be*fGKhd*8lLvAsCH=i2uD35 z1$4#o2fbx|E!HAHr1u6H$SBY&?gRO`QZpN&%9bg1xo)CTh)#{%mu0inoY)0Z7-wdx zXUZ&VAxfgUg_&x9qS*9NqmO}hhNm*7r_r3l3ITk;;W$`s+cKew!qge4WCxjneW-MK za_q*PLHs{0qSzQ_q}IfOpkia2IdqVuHu{Y|#^Z9A1TqQ-M(BqpGM7zdglsc$b4b;& z;HM!dUGy)bdGc*#R?cAoJ0j!SuDb&1rq`aym1?hxyCGA5=gkhx zkRGG3sPmpaX}E^=c?K3*$FU@zfx{8`Ns^sInUDvXiWF$aWQE7k{Y2u6m%`KXkaUdk zV0^gs{I&D)!Eo)+YYNQAw@NiUd6K*;=)2!~cfT~;5|$$aSB%+GMWf@+M8+n68Z?_^ z`BY!{h4_BoaiCP@L_!z9VjU>324cL{3YyS)*?%+b0Ls-v+rm(3ArJ zQwW$cQ4&>mrdUX$TafJr5_&YO;p4Hm?K#i;dppQ$GVFewPCHO6fK;G=_+J3Pk6a*N zMnCX**b@q;9FV4ZyB-0TP~bcMG1Mzm&RK$GuTX^pd^absi{`Zz>SrWxs2niPC@`_3 z6FcHjF$jJ3pn&ls$77ylpjUeC-v{dfzY_}!eA^KB!~VHpI-j#fpe>jE)86TP(=z@M3^a_;q8 zzULc@v14mxl1U|Zn*#yb_oV?W->$>nFupOsgIll{;&76!^KWhVwD2)euJ_%ROe##C zPaB=rQK&bpuZ1&1I{$PuFOn!Wa$V`U`dcM+D>ta10U%Pq`{8z0jZv)5bp-Wl1E0@p z7ooYn!gvCZ+~CNhtkwzZHI?x2o;$1xsvqavd8yywHR=rkJ2T}zYgsL_*Os#S4UOdv zJWn#OI)er|9m~qGmJh$T!Z;WD*Y0?tKPW(}L(SZM|K5GJ<3{#?YhfJ6L1xF?j=KTn zj9XLEy#~)Vxz3UAp{UJXt|>nQtGGCt@{>kqGxm~RvN5q?Y|DsVMPviKq6fYo*GMmO z3@$3HV2j`BV~KZ*NP?;>yJ?Ca=6tLqA6$rC`L%L# zuR6=`?bhW0226)232B%~!e6s0;V0Fc_sd6_yS~jQT5n#Pob;T#&RAmDt^;yQi&xBR z0^_qU|GQ$C(F}KUv8t1g@3aL+C~h4cei6k5W>OOBAts{8{@Tbb8nm;0#(rvU*orn_ zol8oWbwJ^-{<^ODX5*H8cx_7?m?u*8{yY$=;8szPe+GTuD4N*6E;0W9k3+UXbXnyC7lQa^*_#F=)#+EHq;RZ85Mwi~a&4nCuq zF89speb{xH9Z$r{l^FwcvRirD`gM;g_N?PqD$Wp=t3gI zUyQNYrQ3RMVC2flJC)n_^nL=;;sn-sSdZ79{97rgoO;5d^`6iO(zoB|_{i<<@P-Fy zBHrt11s)i7j4XJ~QG~o$BYD>*hMOMxJ~+Q#E}k9Zm1P6n-BE;DTR#FpBXr^4p7Sh_ zf})MofsPYYn@UP*u+)omRp_2Uiey>5wu{J`H~%Q8)Ayp|V@)CWiucH3D#NCC;i|$v zl6Mxa2%Qy|07les7~z7jMiUEcP&0<-!r=Mzq(@YPtGpz@QKnz(73nwdJNL6D3{4X`+Gk~ z#<=;ho4e5*csvS6U%rdE>?Df5oCF5SL^Q#^7UOl$ zN?K$5cd|~4qf?<5h;-gj+E3ejUxSJ)cDPjgWq8Q{vX*sSTYkL}nz)KqwbZwct=6}jw4IyJBXr2gwLwgP$vu{bg90+LYOxu32I zI_qVe5++b9TZGZsud6lhD&Q8$@-HmQxjpa4SZ5JH0$^WD*nmaSc{?HR&nEMsHT|v3 zLynvuwK^-W=(p@>1zMC`iV4l=hoFYm)TnRea5n=AQ97?jv@^3iRH=s+yjYcV5^pc7 z2~Fo*ceREiaMI}2uGkmsXYq4g7|YByOc^p_A+O*gIP@$H_ z_zV2x$Rs-){bwy2_t3Naw1187o0~57?{O;fX@_n!(lS7JJ{s$kjN%^2B z$s7a}Bo_x@t}^{x^rAWBa4Gh&X1gSBWyAA0Fr%K<-w&&9eEDwt^bPU`2wG*m=miEQ zBoE9W4e}>Cxavs?_i@JPy51V=xWA-+aowzsbt2(yR+XTvh$hx8ee1d<3p1%y5cyyL zgpoihQ3KFAV(n~7gH}9UiswT6kUYt8>`VRx7Mecgf4CGITh;GkRMkHZF9V>R9o5dE zWMNak+5^=bh8%c0$C?|PRA!h|>bk4swnm&d7TtztiX}CX(os`4+#y?a^(|$hb#Rc} z#UbES2b7bI)0bwzdcw8;~2Tuu0BzMXo+&`#^C{4c|(6a@=H@r|!J}cdu%D<8n_Nr8f7B83;r-Xc(ZYI~ase03yZ!e(^0T&v$^X&Qa(srwp%SOkOw0H`jX3 zTqqVAnKqc>9}mclaqV&}fu_k|=jmwK7yI?lS~#?vIe^y4IQ=_-87j$)5&}}b7}OuH z1n^n{m5*|!Ug*w%B}P5qEZ^j>Fgj4bn`>r~+dBh9;77gzrVbd_&ChPX7s~Hz)PoeG zytu!3F@0HaV=rwWfVDdr02_5Ia2IS|z6j@C(y+)Sns@%PquLH1K8p(97Yo#huaCe5q{FVAr5cx}D^m@0?0k=NMj6nsiAT}ndOfLKZ<&7k94 z7IQ~62;G?rDvOyKJR=K~^-=)#o5{^&r&yM6^~}lAjjG3%I6shqm}su*XUT#V1ix*pX7&YuEP80f2SjkO3^vl5kLy&tMhEO65aP_cdDg|9~UadZl8 z-&8XKYK_%*PxB`-;br`_AT6$Lu~kDlsNdl`pd%p|NbHdK!6;8%svt+D1GG0a*fhQW zsde#8^GG0lw|V9oPQmb9E>3HJ;pU|$&fOT}OSBGdMkN)f{P5Gls3BR950l5K+NRL3 zc-We6{4uCg$Pvr&OQIA#50Gp6yrCRhLpDUgJ9x4zUBP}Py2wgbO30EV^#~;YJ%t33 zP;as3cpU&4&yP=z|EBJkGR-7!RbHGFzcikETI-HCBtD)8eEFnN_Z?2N~+$daFX1* zUkYR*I}XRCruW?eYe%Uq+htyT7!2uHHp7PPa(})R|McSkp6cszr2=4pOtiO}uO$59 zx4!(yix|cL9fl;$3t$Ov`oiBh3L)w+Vqic{e%t8Uao#cYn0Uw+_`*k;q*>qwb%P0M zRlD%>mv8@6y!uQGCUspOi*AC8_2YLwpf~cO5mMCK_kh)P z5sIjHlJsY-|UXve$7Ci02I;z4^aFZR?wTC;`+i9ZV{0f($fvu71#`u_k zGOH0jC;;fYelsic??I6~fe|uYz}wgYobg}_@mji@Kw4N4>es!(F0 z>B-;f{rfjWPCLly?hsUKL89M4@3yMHe`&KN?n+p(e?e?ayU@`h_2Fr8Bx(^d-Fzl^ z=mRjnPfO!N@7RtiMg>~NV7~iAqxzzbS+;6X&P1;ejTZp;vAu%fwrpR}%2j?|BtwhZ zVO7lW!2=07J{|2k%Vny9fm#TrFiV!2U=px`;{&C~=1Be*e^NXv@yllkROem8`43Vq z#Ua-z3dx{y|B3(F6#dm*iV@uop(SZ0Q)@sxvRv4(MP;@+&6W zJ@!2x0wD6kG-UHYumG^VS4JL6om#^368O`Z~J zM*z(C-x0odDUNgJ`S}HMVChHQoV=ro7d4X4()6inAZpC|i}=+W4S?RPjdJ>NS?PXa z6DfDHc+AxCgzreHNEVdrOd4aVS)bi%|9l^FRz?81GDkiCj%U!JmNgJKW-Z@Y15oFP zRyF*VAafy6f-@AffC-4rT#%QU2wkdeSdO@B+zf;V;Mg;K)#I0ey*UyMQ|e!)JnRry zr1NGY;F0adz3=7tdOdAOa1$5-^x&eyt+tDA8>xe5;+5cn=T}p2yY@ZV6Aiv9Tn^`o z=y>px3VU+&sK+v^>(zlILG2obXx$SlDWk|{T?*)q-sU^GMYD<($wc}gK-XF?0b(Bg z2>EgLX!K@!@_`wPY=qEhqNxXBcGg*$nFJnxcK3~*(E;;u3%#&~xU|(z7?IHLbMfEY zz3G(jn-b|jb#-fV_>b2MQ6JTWkG0+ct9J&$TC>FQC;8JW64Vc#T1CLBjaf=>L#Bsw z97o!A`5!+)xe{@E1b#|B<9l5lQPfm?n@{0mcIVw4oQZZg>pqKSv?bCPonKaeJL9qj za+DOL8vSQQ?yF&{@vKHT4Of2i4!k>;w`JSfX}`x>7qEdZ=6BvCGXMI=GW`MG^dw%G zn-4h$9hLTigS(HV^hhR7exI&_9&^Lj!hEqT_y1~nCe7jtdvuT%746Ib zGO}f_@uQTq58&Xy7@(%sq((Gfkf7S^Tci8?E=*T;Hn$@*<5zuk~zc4}ALR>pYMxf1nUo&X$0tu+7;YX{@6ag5)>@NQIPaZUDkC#9QpubVl$IH=k2!*r9To^)7*diaVQ6J{->`$v#ks4JbhX*4`4-lD8dH`=g)AzuwAMP1*d6> zkT(xq+vH0oHO^+mrA%Fnf5<8v^f=}qL{~wNxOCsKzHY5nW0 z#_p24r@2xtKj&%+zW)ODzKhd`&Td&MKt^?eAz5%1>(7J4L_a(If^VMS!Ht1-EdwQRykjavkK#DHB$arH9Os-Wx z9iuqr7_*1(GSw_wuqLuVYs(r|&|i5RHt)%G^!YTKj8-j7_do&On#*Xe{rDEvO#OP- z438vF;$s0)1DFW;uQN~Uv5`t)CgQ6z&!vjosmXTVUEoGV)A*EM`1l}AgIae%Cc%!RorTapZun*1mDZ~6f=Sebkt`1Vh|4Ixy%mwTg zkJ>d1l=P3_dQV`G&`?R#d|QFi&xAX%{4JV?QH|L|lK|#?EO45wGFuA){ZW?E2!Ho749)w1cGDv9CWU< zuGRNV2lg%9ssZ&O;3V`Yg~d{rS^4wt!`0qZZ(+mjZLX+N=9P$1yOxm;S7TiTB7UF0 z8w5XX$WUa95c@IVQR21T*(CRjDuhyQmz2x1WtaWJZ~xrjRHHN3H>v1F;tq~V<2~5< zD`s+9q3Kz5@cI;}vP{p{TFCdd(Ht6lC}{g7kGWNfcJ84i zM5!ouMh(Izjc22%w1&uS0zFoJ+)VoJrp+tu6Vc_Tm(*&?ze@VhT%u=;(_qar_(6oq zvlML8;3#G{qb0AhT%P+sx)k9V6{(VsY*Cm?<*5zq`@?wL$nf^>Z&duw_nUf#BwKBC z(gJW6aK~mdS;b(?F%G|?f19G1uCN2Dkm8=RZw^X&A^xt!nZUF{UQ>FGP$I_g8+hJ* z-bMmE_ujC6<4P?PP|YuPt3E!XJi3m$RS4IpUt4-fuC*O?g`iP-tF?XX*bUQZx~^yP zzX+k8ackFre;pH+%PKv){_oVQD^cNvL@&v{$32baoZs}Zod}|VNi=L@Oy2|{qW@sn z`upL5;4;LgqRI~@%T8aP#__y(EHzX`!^zy+tN8-{QR!4GUu99nwW7?gc8&0|ZBb(; z>Ppx$=r-@(&n4(I?n-alQvu=Y6y%+K|KJ&P9%iJ9plta4aQ$xPxLBxMTf_gxx{kVL zP6KY{cSW@#qL(0c+vTBIq;iX@f>vJQ*ZxLy%;?Weq$vCDkqV|q%j+MaYZF|ewQE%! zj@;X0g@4XHTv3c{+Oyer{dM`}X|2EElaHEfo6j~hW{$7(eje<3_?4b{ zxXc`VC+htvESvf09zJsle>ro!!~Dat;S=IM1m%ipNoAyg%imEAainA7pY_-Z37V7G z^_Qvm^?2D%RUEu4KsE9B*Qv(&k=z-S^O(NGwMO~$gNSv|{XO@_xjQpREJv)Ye4P6J z{a;PKhxB)%QPV0ET0~;SYnxgEnOT0vEvNf8;KUo$N8&#&mJLps)MqMFA2xh`riLxQ98$@kKJ{oKT|MK^U7@dO6B|FTI5X+_i`bll zcV*haABxuaYis8mEjN79F=}Oc47K0X!+sNTUGsquJZxGG`yD=u(w#x zI$_+{n>?x;(z}q)rn2as)y7d881LE{EYToF(y3`>mR+?zC5kllW(Jir1FEijS*By- zp>W5DY-ssb<9|LiYJim0>+l{Lg3A3PK&+rwbRFs5cAt38VUJj%P4@Y3%~Skk_&#wv zWt0w%DV>js4lqM(PZv=m=(!1!j5wbhN2;%xpn_77(GPtQZj>RW@ttybR2*dR8k^wN z<9^uDX?M6Gty9P%A5=I-67ac~B$A+tuf3pX6um(8r#8@k{>70iMF_@S9%JUWHjKZc zLhsl)}T1v2{hD!^|Gpv3wAk_}?I-u}9L<&n0UQr4Az?Sq|)I((CEX-7Y8Pkl9y zg1AE!w>IR+6HD63zb`$Lq10#;%<#fAZY-r8RPN zv3fL2B}h1pE4jF1{sa+pF^zqUB>G-Y{zoD$8zdXyQrGtj^&;i%VPe)ezVxrq3JjY{ zCp!v7AfrjbE2$y_qgMb`YV`FoP^pq1;{@r&0D+)kF`F6LY2^;5XNjbOTV#WHav{~F z0>b1Sky;J&z&S6HQqoD!_Z)ny2@L#cP!e$3-f+L9(iE%AciLYV9vu*(l}==Aj3@)N zRF({mszq3?61ro$)wVcf$2i;A-XLbA)f^8Y@a%?O*%U+OqL(E@V|K!1(k6?%0Yob~ zIs3Bk!CS}|fmo3{Neq6CJ7_=;zZpZA*)BOgU-S>Lc8hm?<;FFZIWcz0-kw0dKBr#E zy6{101^IV*j67$awFmhf;|qxgY3zS`4B>0vs&%w@T7UBT_@*GhscB^g$BY|^E$dpu zsn7rgfasJg${c-$OMlTeV-uXNzv{7t^z3KG$i zpCy>zUyqjTFqwst;&qp$_uwmIe(`@1_~DafX7+OUn?~fIU|S3?L;c6YKoI2%u zH%@&&xIQJCJ0{W67fUxSSI-nCw?lKMsv%=)SPL*^=iEkhKC55)HC4ddGs2pj&yD4~ zJw98SQoLf=#=vPWWbp4aFL}}Ek?Pw8oOT-B7amY+qwPTWD05CEP(g>b%aMxOTIj3V zj+Skxo*GVFiId-E?D|Lk((?QyfO^FeKTQVc^}M6>v(4YMZCPie2}l=C+q#3$VO ztL{M+sbUb~11+T$7nnwL2>UrbE6*Yh_Mrb|b=*K@PvyvNbcuq?FM3hHOrY?c|G>rm z7JIThOap_;=!&d=Xu}8IGqty(`vB~Cw{`H2%={Ly-IvW$r*N1?d_?8+Q?1=KSM01y z&=q~Dww}@Qk+N)StTJ<^$9dm&ppx20{-Mc(4YG6Zs|8uj&i9kJ&ArcP|KPuSPQrt( zO_W&$3EH3k%T7`@9>!Wrw%c&+RKKwq*0I&C9sv;-@hd^0?wv@ifCHRO^;hc*he+ zb9_v*D8aK|$Z~FSd695=+>oT`$6mq0tev&en|%tHjaZT=-T$5V0Jv@?H|c$M!~SnE zw+m$u`enPJNqXNcJdIK-M>YT^qxp}3hf&&v1s1NbYlue%R{ljAbnGd^%wYSf1k_9J zn3N}gAShuH#r=MugZ#vO0f~y~>1pItuKMHh3q#B(2HBxZoy)O>cxmUgM#QF+{(jc2%{ zVe=E0@~Tnw|7bePu&BPb3lq|%D4j|p-Hjrh5+Whptt7$9?2nl)yt2%gvIkv>i{%aJ8%Rl=r(RE?Dj~EmXJ$#`2rB_e4+V3Pd?QuBu!8189<^< z!JT+638AL|T6CGUi%-~v>*K65fv3`wbMf$SD+}~@Y>N}QnSfmyt@Zrf|IF!Tj?U^F6%H9%Owzd zC5v=y2LXN$onG?B){$Ge)X+|J?6%FIEu?crSkfzckJOa5&#K7@UQ$-0r~Mol^rH83 zLIc+GTop;A3bQ%agYvIMagC+6<9jSX%0h=lCK{b|xoyhbK}7d2!?WIHq^<$s+J0iM zMh^>wiASad{*!tvrKG{GFpPjA<6`v}CwR?qN~YsM3*<28HO4K7!l$-|8qWBN>SMdg zm#G&It&F_93h)X)AFlMX+*%)Fh- z>u!#Pc>6sDZC|uIyOy)Gs?^(pEE7ULh)nKDBrEIFisbP$9w> z=#!Phz9+r;Lqcr&3IV3{*G&&oy!n7xl^QPJ85z1~`AA67xbC%qP8~qq%9{+ch`J)b z^z0Qc51x9``_fvi1!9!VzL-Qybwnuj)0;#h5F6h^WyF;h?{RJ97vToZ;wZ2qC>NV* zqIF0=0sU)n1n!}ZP?F8mx+}$P<_0*ICxO=>(6AiUCBdu=qGt2npiG61(^FoAYYf>E zLBs!=C;{0zxOQ7uRG~tsK0yNy2J>O1P$5Q@<-4dhQ?#A+g;@GwLhRe6WmoTlHN+jn z5k#@Pfwn_o4~=-X0VE@tbDSyD5bclp=j{e3gIifwzkZAIo2qf8q;?^=IbOr$^a{PRyuh!y)WnbLYKPq(<$P@O z7n7L{I5FuC3YquJ)q zUmZP4JPPW1FPD+B5J2)6l*z^5@akb(qZElag8sMY^*Fk(?)|XHC13e$SZp%qiJ-X8a`c$X2g=qAc zVETxlZkt#R(aa0qS_`z-d4@a9GXIqgr0(>Z@c!C)FPoxL-!%aG&PLI0>`OIHZ_SLK zZ^yWBL15(kW15zKWc9MVcA4$mf?QuW`<-Zb(`2+ipah~iR(+_^vJCRhy#L`#ssZ$6 zVk{EXO0^U+2h02C{sW5QHtnOVTCM|t8rK-$Q!PXZHGRU+P8>U^-V@JxGIUDsmn}U{ z_e8Q-q7whallpzjrsd~M_?4!hp&diygqlOKN9wp6uKv7zQ;eN#@AX2Vm@iJ9K#(2^ z*1oaI_5rd~Xi(Ho&G}CRxMEZkc*|>~`7Qe8X`>k)pCfwg;j%NJJ4Ynq)xUT@G&lo1 zU8KOq+g8`&^Es*kM-Z!Y!mB;%S^Lj;U#V@H1s#?fF7W#Iu?%w(t#$usyj$v8i=Eb* z;j93*VU0PZx)?vS?wUL7aexAgMoPy+zGlsqi$UAlLQy02eQS5LyYq<%5|D;i1L7tT z=RNh2I~PgISi+){^JiHa3L#+~2c$Mg&5|BUUm|&CQS63^h0I$+qt)(0CLsoB7$@?D=_=`&_!HBjw zQXkInAp$TV-p|j{f2!Zs{C^rxaRDKef^nj@zjap<32!Xz5gcDD#_H7mS=!X41puQj=yhHt*DeD%9yJF;^;GIlDkSj|~<^=7Bvtnb$!9hr)Q9&a&6 zxL5_pyxcvm1yELpv&K(GuRHUynjEvd$+u?C-!}IWojuN?^{2h}%_eU%dogW8#}@huM;dr5?HbRLGd^(HRIVt# zWNL7u*sLBGCN%Fj$uv4~QkxS^*_OyaSOTP;13$1aJ-D5m%9Z|=d$~3H>3-N@*2*C_ zmc0Mr#pZly>GMIK=7DR+;{g;+!R9~i?Vnz)J)EjZ2fiP$VIwAB3cKhJkFbulo$CJ; z^A>Q#jF|V+C=2dU`HOJ?3~y1NR`e57P};Mc<(_f|D=1o z-`YW-dUR&zweh%8KUFPN<2HTRcKe)D7GUj*1|uMgnR0W|y?xYIWj;~SlC=7B767Hq zcWm&*zQxN{zx)ifD>d_$1Up6*@qJMz;g)dXZW{~pw}--d9I6cw&+GraUol8LU|jUQ zq|I@+40_$!qtCyi6?DgdD?ogVcfSPEai(=8VDPHMLrHfV3qtctukvkdH4^y>EN}By ztbizV<(DKDFEtr)_t=0>;y|;zW6&y&K5&H!-^(-GA@Anq%!71kV0JbZC{;l&?@yQ0 zr4B~c*sUGDt>@{D*R00HFaaG`Rc6YRo z;B@kw=O?rD-_gedPt6^zPATktq{ShSSV3XcKTp^df$m!5@m||vb_J_AZP6l-!gnmG z%PJ87x=8di6%{z|PK$d-TmdAqk+Nt8aQy6TfV|!Hcgrc=Qud~j{ABFW?soLT?!b?N z6cx`o=THRULL%T%-zSibf!>!;$IO+7GX*!Xvd40k7GGb`0W$YNh*4iXDN~yC244lz z#VVMakQ4*+yXaz|kUUwzXpQ-)j=I#T{W|%>Sv0E`y0f>qmo2u3UT1X@CL3V(wzkme zy`@-uji#3o<=xQRb*$a}sFNiI7R9_&8f)iJ9_BsP4OArRyYfm&U5aZv?8vNE$s4KV zbwKOr0>)m57GxKi<-mT#=o!Yvy&*NjU+WG7k3O!v?BN0m;&lFIO8svjL)53?5sL6G04Nt4Ql-(6()-tIHhu0MstP(^-jK;g zlVNv&BMWdqoaogBd!wtbV$wpbg^lYX# z2MrKu@`vgJoae4D$gGQ4Ac+DOz@J`QGoNXBZW=N)8GXFQK>Emi~LvxU91QZ zKl-Aj@;{br>t)#}Hv%lJ)vH)luGzK14{yc?L@X-+L?`uYd=eXT1(VFgABT3oV^W+K zoyMZ*9klTx)R@57#I@ZpisxoGOU(T!z+gZMjsr@3JtS?HV0 z;Ht^5Ux0IRzy6~Xt%&3SbtsFOPVbSjI)W4@k4(V<7{B$5F-{e%E zRSnj3*m-v0Gl9K>0Cx0pXCl=;F9g22LXQ~0(1#WPE+Xfw2hSIaJMQwDaPV?@9!1CH^EBcroKMBX+S(5C;}YhlIw(nEJsF z@?RzKXv>^OI(DCDTZW*sZ}7T+0kVhx-D#v0Z?@FW!(RMZ4b3jgL9WG22`Ar-vL}@D zJqw@v_cfU7UhU?Y1yJW7cx^6gR-NBXFStBZ#BQMc@rq8YMNozVu0u}6Pw>ZQ0yrWQ z#hOp?2;asc)%wBQ*{T~XYC7y|Q&p*8-7A|%^QquhQ%3r8JTsBwTR>sWxIydwL~G8Z zKM4S<)j{7^`#|ggGey8hwuW>=xFtJ}o7by$UaJ4q7Ya-KOpPp^A5UxB~y` znWYM%^KFVOA%C$rknzsZ`QjY%EJT-|?0 zUiDxIv5nNF%LeB6-zpj7kWpIapJQtpRW&V80uj;nA#hU|2XOP36Br(t3j+1cIV6C; z1^baQPoSaTb7U!<3bE3eQ_u*)=<5D8*q=xMj0(j$ZmU6>y=&OC<0rItz+1*W4$D zaVid4FH|G2courHsd1p89`aPYl6_Sxknm8rjL}84&oqjpTZ`nL>Sxfl3JNJkH9Y(`f*oD!oDJ!VJA1VrzEcwRm8OfN04U0qR0hfA%mwT5>3*2#h< z1#lO#2ZI1lqsyXuGC}h9U}<_vw2@(ZE-Ks$q^>wi$=yOqBu5yA+OLJ?GR4=jd!Wk- zhPVe-B+=8WF;gv(TF^dw4Xc1AIEFgp18Q44Q=YM7%h2rAUzO^;x4Lqz!+ydLI8{GA zg2!1C_;MINPCL8KJqubZuOWJL@7RPFw1xu^jb5Mzkg{Q+(X~Fchnm$F085EAMjNIy z2V!{!k8dq%jb9ak&-Q2I7RUfHq0teNc@`h-$91>t9^-S43T%%`GQR7$>&?a>pEjI2 z9{bfSCAsJ$-K^P9^y7SKbbqBB6q!`L)`iCYtZsqU)ZxPQ+q14D$^#iam@!z<|EsWzO)(1Hgy}>ekCJ=t0R7 zOV^or_z^!HmWBdu`&GP*(y3wAyE)yZL$1t-M{tF=UlJhts~SQbg=Or)gfCeTcDfU=0Ax%^9o=E+{rJOofkIjK)A^Kl$$EO zpfy)_r~kbj(b)DMYn&P2s&IaY!Zj9tUUc6M3nM35@etrp|J+TA;xm#71St7m|Hin? zX^I5Qh{$RoGOIc+A@jR;o)X(4E0_ZaHQMc}{QWnmbYaI{G~WJ(XuXE`i@m5gJ}960 zMFlo;8yhXGc$W!%WNGpI@tj!)BNd=UE@GK^SDH5}CA&G?$G$e!Dl0mfnf(H4)#Qw^ z4}@y{$OPJvxLCKei|T+cUU(Ei13iSstKX^}?LS*C@&=xf{=%q%(SSWr0#oX8jU(ta zA#;upuvD3-cRmdKfc{~6L8bq$I5@N;0j9QJvSK!%jRW~GsEk}CG989UpvOkCg& z*Gy74%Z9DW|6aI2Ut0$y)!?*%9Bq?}R*J`vAptfTXxDF{fky6xVal(T0AmW^6iAlP z&Ib57{Bb4!n;`HtysauU3;>79^k9Q_=udnJAUn=&02z*0Cu^9 zxle*7{x?UMF92w6=F?%?@Z0sKipn5i4Ix?`DqopDS;EYLG^?h}X|OMtt~+lJ&5*JWL81`q-h7S~?#6-jw)<`10A z*{xEr2uZqis_tY*Pw8*1X&>ckYT~hLQl_52Jv=Iq4kQ6G22d2bQG_|i?K1s;$NoB`HU6Fdjb!7u=f^|wvgO^?Q~5K`nl=kG(WF_{|O zWm{@P)EdVLaT=p16mLZJ3*SZUG))G=lcLXND#r&E9YYC>H!wvKstNT0)F;(3^|{;z zFMzZs`WVzziu7a9UMk=!qxf-sA1RO+2R_459%KZ6bS))CH-k>#W}>RQU2Lq??|kYu zd2kt4{d!xdOS+KswQF0dW#Zn-!MXF?-`}^pK;XtGc8<+T`ooX00x4?o#DvsUC<_oN zn6vE83cr|0e-`+TdPYK(vbKyTR?QpL43W$9wD)<1%L9bMk*3MbGr>|1zMZ`2XcPJhuAjZ;MBs>qdTh2wddE5G`nP(w#}*YMRIx7siP^QnB|{kJt4vQ8B31- z4Yu1hMqW&gq4W4PcA|(w59(jhUR2p=i=^QvXC*r(yx*q<&u{$8#>H&b_S!ru_9|Dh z_O}nmi<_IvbdT?rI_?Y|4k?={!)HZEim^mnqz&F-fv<{4hnh{9kF66&jb=X(0n~U} zL=)RdI5E5oOA9@?Lk|&x;$=zydu}Yu8}RWhMJEnlh!c2R-K#Q{SlvN6vD<0n7T;)u zkA&6BW$y5Dob_(rG9(ww$UKus&OA~XX*^W74#CZkR>ZRcTiv+}jjl;};72;*iyQ-- z#?=PjRd)GK>Ehs3g4O22W?YK%ltrpI;NV^#g+ka#eA%#a>Ex*2#(XCH^lu{PepM~+ z1Ias5l-Pg>M)2wgkQQUIR5?EWb2EeNYd*9K8)~-vFI&W23t}5^=D7AMqa#A>u_>)Q zYK)`uNd85`3P-V&>RYQ(JSAD7AWZV)l+M~JCt)dTG>q_-T?!w~bVvQbY&FD^j&_hY zI`=+IqK$=056jP9SUsk|gYrOeFZzUm?Nc4B955Ugk2PBhcgH71M|}Gz^XDn>@V%Y+ zhKpKL@=npQQrHJ zNFv*D$p{Pg-GoF(Rjz77c?U5&ThYbq^!IIQb-AeZhkQgI0ntg>{4^!JThjNT{^V`C z$_N_<4_9Ypdm;G1L7F$kvy1kHV;WNiLf(19F<_2 z>n6-VyUY&2|106Oubg#7wezxz(JDDLjjWPc)!f0O%;ts?Mq~?kDWtqSO$d|R`{ooG zZQc_}m@k>7KYabwoX~mUT`H0PlA-~2?kIYI*gP3FksJ;g9&OaqmhL4Qty*yq5Zfi? z(pOP_^0L%aFhOuK8aIApPe`hgq@kpSt%Zm&_bPxUH+`tNYno{^Q9-!fU9m`~*?{sR ztr!0b5j~c4R_$-j(vvdCDN;W%LP~|YdNaa5F`+NKEtN@2Y%Aqr+FinzheF z;tL+I^w!Lvz@F}5z_VOqf?1UU>}cv!c68Rk$dHn}tyE%9)?2L?7^?)J>g7!$wF7dr zAX+c6xdw6J$=i0C3=Q#4+p7_VI#c6#t9-LWSscG1DGxehH4fW=rjG=wMN7Nc0Q8Ld z+eP3nJK&FHt}6O2eJk75Y8M4?qOQk?@RBlkQ401VBZ z_{ZS%^*j*zvkd-b3Gj{VEjSmG(pfM8sX`pKer52~jX3~3wSLdtJ!Ha=OAJ{65>pY@ z-HQi%Rtsex7#`6Ip$e${j2bG+=}s{F%DPbpwxbw=Q&i+03SfG*whD@R8^G@uL|9qGJ>B4i*U+owuI5@t;8~yx1lYVv4 zo33yy`*;pnkY1AG=g7*KJ_Tu6-%IhrSl(5P8zI9prNV26J){w@1XDVdr_ zP~LdB7pm+=Cozi#eTK}B0V1;G#}>BzLEa5uiI-A2;hJKv3TeIe0mzPx&#RRcs9Cm1 zU}*+UsB-6HG_w>;3HU<{~D{x^mkp`!>WBH&NU4iAB04liv&LF~}z za!v!T%@0eJvE2sS79$y6#PzjilDXG>d7duraB^>OylfWt2HT?~XwvV6{;yoQ=RvCPEvHh2$#DZbIv(rMZ zm}c%9*y*tTdTNN*ah>!s@$Ys_?E_US!Q;cLq3h(zrZ#U4;aJY-xvD08dcM=Pu9~2v z#WsPa`;V6WXILoxoj|B~B(oX1JUSEHsbz=m7gY&!fRo zU6$J4@U=@rP6^%KAqcIRM(u$uepHhN%sbeV7Jdgp9n32TZV-gJPS%Z^A`42gFIsBN@^(e9(PwjvVe*Y6ax^6OxRRW;3ey&qoC*^zfzA25JcmZ_ z=cfWI*0?xI+umUKDh=l?`euK4;7yp%Tg(rvnk4xqSFi8@Dv$Jww2!@)e0S9N^L&SH zeBDUL_wO;Om?p2G@AavH@gK?FJc;M#H-Xircy}#tGgS@`1x)>N)l#&4H-neN{em|Y z)?z;V=#c)-`@!@fCe`?|MBnZJ0N3}WXIrm3RnNDQc1xIbiPjGV&T^c+LmggEqWACifz zS?Nf3bb6iZ)i(}YK^%ID)sr|>x#3rd7_`z7?+y=ZJFmFzd^^$31}|wEJ2$be`~5v? zd&&I0odSk^zxV3cgJN=`)+n0&KG?hLTpdNwoH!0#ac%a;x~kk!eGb4Jw(*3Ey7_v} zj7O3}I-IT*qL0q+eeuZ>e`75Dl})zOLZ6;uJoh`+_MDcaXldFONtNHXNwr$ZDwtAq zv0m@dX<0}Ys^x8QD5v}!@+(hSdvQ&ztN6wb?gmv`q>)hjsav*6@BG8*5kR+E+Z?wq z|9l2%>&f((I2bd&dohw4P-XqYX@$UelK-M`Ou1Z z0w#>HZ9c^NL^&(S@xplo6?~P? zvQRrhwch{}m-4Eg8Udq^s2Dj2C;s#oUMv7A9zN2Cf3S>R!_9MXQp+Vrrw1F1B;ip& zOqbFZcO1W^qtr5hB{J_7W~>t@YcM53mrBrxc+}NMp+>bWNi)Q|M&@V0z3eQ#Z^7+j zgXFsDtF4&G3fiFp>(98q;tZJ$Xc!w6Uh3-P^9mj0=;*c+3OQ`yK4zYTEnnEj4p|Og z?>mBn@94cEMQj%VVN=5j#3U=ok?$Y}ALm|-7tZzrc{Uk$?jXEhBqP8HxNrzfV+kmO zq&q*B_8k_xME@!G--ZnGs2B^d3g}rhFlOqAEf~G0VjY0>n+Nkj!1wm9R1G~e=ffFv zj|8gDNr|2CMI6Mm2$Q=+T%a~)_uxh}i{H1-M4D>s$jw=wpEO(nM?KCvLx$MsX)Rkz zM|zN~P=2HELL{^%hBubhH>lWL3~FaQA!PA}x8?4AF53O5n(hdH4wx2w{IwNIYqdZW zdW`H=aAUmEVFT+AO_YwWY`^}y)=A7*?4ZUHE(d2DB%LIt>CYoZXzaamh(8MaL%jG0 zn?s<5*CZtQ7IEYUim6#66>C!V>-L+6pf}qktow^DX63F(c4|NGwV;Kd_yLc}NcEA+ znBCQO6JSz#{?^SE>%q)`seG-|8GlH35)0J8iir)f5UOI$wZom=J(ifsI`nOK(S z^l1gavlcjvCPypv&DCv&^qZ~yTtS5c!B7+`&?OK5STj_ogBxi4$fh}kZi-q~vH3LL z@w80%g2FG$76?tP{Y_sL^F062zl;gwQYSbrdYp~X-mW%0M%Yq7c~segU6y8n z?5ml~pPy8hp|b$_u=TQ? z^jN;2D426QJss4AA@XN!I5M*5_6x~XHFdF-kDmHJ zk$YlFuLd{%wQ4Sv6;)C*DS~8y39Q>l;~rsVXwKOPrZ1_45N4JjP`b-meJFUE>;sK< zuU`oT5`2M*5H0qbr)!_&+dR8!*Rqy?zj_c^aijjY@a!=PQg3BbMH{?XjU~~Ys>Yt4 z=ExPdgAR=k=FD1zcV-ee)QZQ5N4!IM*xLM?_wP0$%=8Fqvl`v}mE1+=v5cI!(&b%J zk1q?2WS~wl&V;-FS{G7vK44<_#p)WC^zMdF&$?^+m5Rmqoo1ri-ygpdfZuwiUWb`u zgqM%vfND3#>)t;B%#db@hab;AB zl>n^xCt?Nn>fA6&9*gb(^BYrrZC;9Qgq=(!k1)j&10D%^jbeSxQl7F-+^%h;v0fSe zn>lXTIXPEpoMp^@&&V}0ec|gR9{s(|MYSMW{l@}U7fwI*nfCkM3hD?Pe){s-Y3$Mc zQdv!6eESLZjyK$`+Jqg--1&;Vnl%F7uE#>k=Aw1`BpL@X?%hBng|W&>b$exod-@t) z!YBcEt8|**{@}QXDpuZX@cLT?iVcpG>QYufimkzM$s-vOGZ3RAuqiTUw_VD{&}X;3 zUw=CLYmz|RBIn}S4gUOe3DoAhbCpDH7A|u~UK%&aEsnI{%-X3zBF_?C{>@Fk?YY*C>!%2`DK4!ny|dxk4L~_aOWF!5B(}hOmA85 zaYPmba@--udo{v^D`f>BR+)F7z=1;LHgdZ++v<*n#<-^|Yf<)AAacW277_GfD}w`> zkGW(Ney%g&w@bGN9G$MzDI{3Y2pypDL_oT?|6%Lt+;9*tYR4LZW$u5IYB)vYa{Pnj zBjZECC!s^}>NpZY&uXby6pF-|6p9Mj9ty(F-iw9m6(w%mb%@aBl#piSe6N58Ze};r z&c37Tl;%q80W(X*78(vM#;Egk*Uqxo*S{curDdi$nd zS5W_U*T_4;%&GcZayvdyg7Qx7((9>WroK+@ODRgnCI7#)j@e#glG3HXWE_i#J=~>- z*vxr@xh|W(91R{p1vd8YX{-(L1AHe2t6<9JJNHHot&$7ZB{3u_hXfGm)6U4kbI4*y zW5A}ugEM5(_~JNW)9*9)u!@62u=I)A~o?~rS#kujueau7vKcT-<%qcncfiMT%u{`(B4 z`kD1DKiA#T^j&9uAVGa9f|}~(=FCM_VBB_=+mDy+OmBZ!rqkv~sZW2BaQ#z%6w>VrE-Bt`-0g2^oQ@OQ_R|nz5 z&o;csr?FnkZvRKKVdG7zqCQz)a$yxmRlqEPgTFO@cWAxz1oS`>@kHQmS6bv^rjeSr z{PUL4g9=ty!(CU?hY&>lCG=+=vzb=OwkS-$eDo-5tNgG#p|;_m(Htjn+z!hR{xgyZ zdJZ;diB>;0g8$@xN0u#d@u8hpDedO|YjfehIM0-%QI!AxA_C@c-2Kp6CZ3hHT z<1B9&Kq!B6J6#E%5BO24O2XaBojgya_Mt@AaIwoQ4XVwChMIMLAc1o$@Iq!~*zY?a z8_c&N^lA%oI9ymezCMKMz*Da?YQjN#@p`_@1to;z4>%ya~`Bj<@wRh?>hdD$TDE- zxwEB-)(8D~B}(jfF0RasE7s9POIqUh)lo8*YhSzRNu*N0Fx)IL(Up6=lW)04d;n)a zMS83@O;)W177@If;37WR7XG-x_;hf7;u0sKXO1J&0NBvJ%4}NitP?$h zseTFk{V~K5wdp(ZoXbW^?#O9xm`GTTGWz**+Le{Qu$&W_MtsAe?s%Ky%rj|W<_q}i@cYJ zE$%H!*|3dMGAlk4N#svAl=a_AeR=u_Xh+m|LAR}F`jfxwIK0M4 zusR(Igljougk6Tb^9xFfI+9F&&7*Pm z2_D$~Nxgd0LY)Eio0*65-^dF_Yd=C;d?2kGl z%VCP&3E``W1R0HlX-1Nx!!3DWh}Q_7?<0v$GZG>1V_u?tr)u8h4;9mS)CxO+JH--fP7yF_eK8W?U2AI6t4wUio%+@iCpir z;>rN%aRoM&ML^Qtss$~I+k{x~ik#}b&Oo&%^xv^?mXE`*9KDsBe~o|fty!w$j-~zl z#V0|(Mnj?4#Mxo<3^M&pebb~NP(7XbbTi@a6!WqD1dGu@1 zX%5-VNp+S)jWEC8Qh7y(>-Pac@R3n7A=;g%UR+ev#r5syRqM2uyxX>U*8|fG@6G4> zy|3p-ccpyC7t~EiZC;A)wyRp7Rm0jvwBI#L`X4v;&je~7ev&z_016Y5*P!DySEgi* zHId&oH(-k)o5tdRH%iCiHHO{-tfP|{Aq*3}GmA0tWd<&2x08DUOf;6O?GAlH%&lCB0ui$>X9qGEu7B`Rz{9gqwik{sIsPgnP(-pn(Tv!)#qL z%OvapB~_efl*^*;ETYGnR2O)xmVl)o5o0KxVusYGgD01~{A=WPjKPgYr-zaz))Q;l zoUq6u;hCy=u5WVMnBiXuf6%&o{Oqv`EwD^8OanWbKse9ef5ZOuRZfXrP81kqqh}KC zR9;jkd|uAJxIy8Q4a;^MoNn0vo{HO~Gd7(S&iiqDqrZArn?HCBusKhebjjW2;M6TC zFO4+z|J@f8!_xm8IXF%)(O7*yks>WZw`L)59p1gtrLWbYtAkpw1pPt1>N})mF$x80 zn*q!}HmkpZ@$p4}Jp%c203|kl)K6gDMJXqSBmVJU(yyn(gA4~g??nw5441i#aHhrm z+_QePpc{Kd%U_^FjP#f~&4+t?eY2y5HI@LC%K^-{LHWU4@DigO-z@?!RUu-VtxF?a zf{$Xsz$sy_3pl(w!SYyt11(B=6d$0E4g47OBYDOQLTYi(O_GWZ*7WD6i#;2(z+4ow za%jju-Q8W$7HDg^Kr8lCX^EB3IldIl30z{k%jKTaz{#Cu;n>;LRLP&@iuFvc7g&^v z&82K#EG%y@TIp(PVWueNhOa+T`uk4Bc^q2k zai+CmXU`5jC#}-s4~7H9iR%I}i?$`skI}T!uZ4u-+y3Qy5#Hx6YOY&$M$AwD+c!8+ zeyl)0c;_FZfi5L7824v{zrZ9>{T)i6ps@~eQ)vEr@#1AR7_~V*k$}7= z{efuMv<#Oe0U->Jn1@}-8TKx}v#g@FONobFjgLTPN8ZZpASzG6wWk=A$!P6XQ? zM{%>oWqve!Ee9Z z3CH;Yoc*$n*DPi_h&ZbQSxN&v@y=Y@pZ*Tt7aN>5m-b+4rr**B9}jslGxDz)U%zSl zSnb=+5fP`Qb*0PWgAa;-cjL&Wv;2GN*n;a05}EdIW=KG1i>u!B*oWm@)o zj?1L(!};az`6tSwqjMNyCNSlaaK}?ld*C|@^MXrccIVIilKuhhmKdIJ_>9f>^6{R5 zsZL=9zsJF3J|N|tZbR*sW{}TUiL)cTzcVZY+Eqn?QOCNcJfM*A6*ZtD-L2hGUf9xh zC2^Kbyk=;=Q41eml;B}Xy};(Pc|Wh+#Je>{v;8FuKJ!#|F4f#JBAksV^hez*T~3WN z*JbY)`;9D3%ElC&AvOD&vI_;hE*de5s$ZLvv*v_-WAY^f`j%E!{Xhtwi1n@-oRr6- zeqGg-+kUF%zl>QO#}(!z<>oGONX67=C!F4nd$$dUu?V=+sSkQ$kIscT982axcKpn} z!g{J54l**;%&kSA=+C>V$CJkdq*GZZ@QBgrV?~sw-n|)c#pZ8FBCdl}3$;AA4i6yo z${1*#J9z>Q)8vC}=8*1)j?6XKm1Mgbv+zF3amk?CO8T(Mk2(nKeW}NK+nEGV)5l#w zEZ_il+X2_l+H=$Z540JCn18V^Ri$6Pz5K!GSoibL_(83p#CAjOIqYdS7Gs!3Z_$xx ztfKhv&C7mQ+Lyeyp8X~m_@A;|GX}(()~wW3W*$#-Ic_@2`IL7z0Pp4;f0l&dL)cHl z5^k-*CSB`Gc(_~O+o`>c$m&OFA-pK=Ej$I&x{$$P1tdQ+xJ5*4uocS;B+dd5$*6B}kOyhP-z^;B? z8DCmrjDY{;esLrWk<~3bSG4f@IcR!}$xijpU2>x9y{;uqfO2U0v*QENAQ!3X&7u9c z?bEahqxVPChb4%Jbv5E{{Tdu>Z^rBoUZ4=$MGtq$ZPti&_I`yP9ym@)T?=WO?k5fe?*f)KS6$$zeT;Jgv**(aM>Zp<)m zLLn#Q5c5Qs87kr<;?GEd(Mj8mbHkw{b(R3b(;AF`>vT;kH%l5hh`2d#aqawgcmhQL z_rTNc=fvGAg!mdOPaYX}nWpwkGyox|$4TVv)j_TfM5OwSv1(4!=#toofg$7<>lLE>9!5ScT5xyPJQ2aJ?yjB;_7lfWqXI^-1J&~@Q)-Kax!GSSH?fam%O%Z=Rvr| zpTQSsS~hY;$$K2I89>_$uPyA~&$|*n)4$32)3-$u{cGss#bcgvZEkeYAdiX>DRIk& za}K*|k1_Aso{xGQ+w>^82I65SJ#n?b=X=mL*ApA1$&$rKc#k>A&Uw<(;PPv|LZG8# zTbvC1b{*+E*z*~al$~4Ah={Xdx0Y;4xPlqgyS+^JC?8p+1$D>E089l790FkaI;mR>jnmGmBP)XM}$-Y(#_p%X$0a^0Lb zVEbburEv#Elh`(43@h&MF@2s?Pw;tBf0ZFD%V-6%7`Y`X{GqdL>VXv;@J##(8*LF6 z)|2oGxc?45TYR zjK5cHgDpiigj(ld<)P8*N@x(Y?Wb=JoSfG~jDItPM6pWnR(Ci7U)&J`Z>uRP2=P4r ztU}>EOIhW1t8_rvJ;7vT;H8y?!7wSn3xFSc(mNME8FzU3EZ{-P@*7NdcupQIKvB z7@qf-% zYQ-ZijfVGjltp_w+B(5&JAFg;e$A|9rQ-eN3C!}-pfJ#o?9EXG_^=lLhAsG^UL+hx z&T^P5DPt1L!RehI_^yB64trzBjJH<$KC4QEP)a&YO}#7&@2tAHSIY6mL#KHB&tC2Q z7Zx$xi{#Wo)5*!xjb&x!U^{W4mW3~X^T*1%(D4~i0fOCmaQ;!PYa>>z?hAN=Bxydo~?|!y<Lz(G%F30~1{RRblA1No>Pc}3wZVQ=&SEx{ zWSesv`{6cr@wCjMxSsWrWD&P9dEqbx0zCfy;dTU(d-r~Hxrvqav*cb@557{DmT)J! z31fBmBq}!IUaegyk2hd4Rv{=TC znMJ+DG0K!_+%ck}9^Kuhn13k+Dd$!~lu^ZVN4rp#MJ;(%NuKwxPKOW+-fK)|D$VuA zGLi~3l;HdeZ1bZm16ahhem!aGvqCVH^1?J^3h ziu9@f_9<2?Q~;-3>fpP}R^}@yWEF?!vxENkk)*ze5jJ4U%lG@$*ZE2o_UXAyhU(vT zTo)SDS9d?HS#i-}-`lsfJNb>CbBjlNr(e(XJ7}Q!0iOtG`Q}tHfBareoqN#}oj9?l z;w}CmK0c{0m|mq~D~d4r2L5!>+uz?~dQb_XoUOI_{_)V3SC&c(=ZDR@>Qy4`{dxXZ z#YYt-2bUt2YGf2&2auqILxmwg23Wd8ep8|lbO5;`v-@Bw$aM1(>ToeoP!WXvQDV)y z&DBBp;sv*C$ugVU1XhoqbwcWF-u&@{=>6m9qK?-`*Sji1<1LpT!@MW8L9czA7BWZYStv7gyh$bFPCsJP=o*YCC{A;>;pDJFE6|w6u!e7@%3G<4Bz7vdgVxOvr}@7VA|HnN9MV?bO|V_?eWvD71*ERxS; zxnH%N#y3gq?{n_s#fm{(POUalZ!f;DBqV$G65V9T3R{g(~rQEhp({%8ja-Le= z;vGz!m(LeFo|pBEuq&C;e)PWot&6%EE0eE>9NgCDPho4;i+w3qkjRNIn=5|rR%orE z3WwtYNvOkt5ax1FJ3ho>V!;#btl6`aZirUcZ?vZ28L0XVb(R<8CWHG;10I38yp793 z<=BHH5=mcJWApeWTUrdXg>ipWO^@v_PYyMKQ&W6UfP-+e?v5URjBrlzYGs1!0*Kp4 zyT|63d}5!bc;WxTRm3L)q1G<*Y_`>`!x+c+zG&HVpZCdilC}+|MLvp7U#m+swz^E8 z7$w>ajbF6<-gxKg_l1o8}P?xCUPJp6tgTs}{;1zPvfn|I#58OwH?#rg_a<#`j5M zJ|J6r{oz8^O(i3s$oPfKYIrZEHkDkrY59x42@8~d7Su9{a}{>Iy2{w0w zV2Dk>_S2{V{ZsX^h{R8miH*w!^+3o0(DXAIhWNBcEI5NS)a ztAIzVD9`uA($#HL_zfo%_Tbza&Gb`N`p>Z$_m4JtrknAO9Ir%&yVqap#V!hv%7kr? zCrmF1e!j{&$)#)RfE19Z6@@G_$17+^&q~ex5H^&V>(_gtt?SX3JlVUO=&G{o-5zK; zFwmE`Q%-%aaa~=M&fds~K5$fWX1e2B9=E5Iv4gQhh3XPKR|8({pgMQ^)N;63rhcWTO7-VBumt_z|_t8m*;EojIgz>yv(sm4agc-Hxx} zz@XZts%0_4Uxq=TFJSA%Njl?D9B4>acI|~jC(zNS5A#KuF-*e0Bn0m|P2h0B@iQ!9{(MmNw~;iXS!Vf*>8HEMq+@%7-Nk*f>8#9SK|BLp z>g`5Vmprm-!lvnS0~6^xF~aSU=#u0HKp1I~7>kYfGAR%ORJw|}T;@M3Gq3xa+QkJY zbg7+=n`xJ>cMnIFkhzZ<7mhDaZPjK+_a!#HHt>%`T!yH-gZ72#Tw<5FUlf+v(9WFn zm@C}s+u@x#$GPL$L3FeK<=?ibY)gcwz?JqbIP@?eNah~0;Tg%6U2*R!tKpDj%o4*t z5LPr;s&C9Bfrt=v4mpmHyb+1_qp`zInaioj%Ha_93UyT~`X6<|N~#Z1e}pBY@?G+B zfbuiRnH6wSZ@s5IB1TCFT#{$}vRbW#ci1wg;yypahBT#VVL&T8tK9nm{ABJ8yMqKH z^zL<%m&f40qBJISQ@m!Ad^mg?d#t#L9K8Hv#kVShk6qXYj#W{jLgLOEb3I*oU)u3I zv)~qWkFv5EceKV@PsIL5Z9ZO?=! z(wDf07t#-wcH{A8tupP%tq|wBLS|oanS5igVq@o~J@iZ2aF|$>ux&w=Q4~q~duMBa z?Q9)ewlMJ^z1Yg7r4E+qS@TlcUy1ecuJFFj2es1_8`Ma2_b~1t=Se4#-sHfP>ql0L zZ2jG)BUq~0meN^nu@?g~iTf{Pro`^i(!pW({5h!-s^2p2H&b01h*QXABMQUnx;1ZE zyyz_KqK0AC&j~P5Svz9B)V&PDs1L|um0s)v-5NSK>rG%eZY1UI)OllluWfEl;<)Ci zZ~HwgGJB&KEvH#PhBeY9F%OQu|0xIxC&-1pIp`X5w#XP%8)^l(w1+peoDN%BDl9SB zNA%zsa#}NK(_WKiu|Ub*HpEenthLfuG4UZnM^|b@h|{Ho$7pk~$D+wuH-Iy6&m(by zY-B~xzWwYmBK#Zi-qwdj`Jl8z;|ysH!0i^{kYbj$6_rl#ojx6Q6qJjZSy zokPZRjyD7j3BR*&zGJUkbmmK?I|TT1?hh*TZcVQtys$ebJLj~g&;qhS_cy!BbLsn3 z!15LsEzIvN6f$v|-8l2b`O^whZhqch{N%+~^%sHx)}0=EWlxM3Jc1v>{4T;=XHB(} zP47}A$}HC&LOw9F;;U03?s|4EHVbDtEF2$ZUk_fC+Y0Fo?}%>&!5BJUdE}A81~f$e zWF5oct92=g&t7=9wb%-Y#jA-t$d0TMlwC%w?`)~x8l6Nij0sFLTs%cQ^JtNRXrsIQ zJ`-&Cy=nU3OYmN~g@C=G?6e?(mUld@)5zG$#d{-nsAV83H|XX$)ju*<5o&!(>P7h4 z{T~Vk=r6;Wp+xzP3pwBQ8#Q)KPu|~FB8`vt#Tom6qoEcS%2hVfm|Vn(;hw86+cBb_ zF~k4uBYGf__ze<9UJRI(br#u!(~UzQk8=L_w$gq1^WYYi#IZXHo&L9qdCpG~_0x&Ac5Ng1cniJzi z?~>=&XHp@*h=agH`S@-dJL<*zef<tx0tiSw?C zwL?kCzxu&_6KvmA;a8%u3QhC+?6}VFu$Ot?#;Z=;P^+uc6Gfwz8@H1HtQmMQPq`av)ZJ1gU9BsE^=ywXvDh0x_PVS=t@hS*FR@o zn)2TrRmT^9jvw+gnv4OP6TaLk|0-kpJM=_^lP$8@2Z$4)2 z;=8?>FlhrqSGw3rIG?QMgMyU)@am~bUIVv|7qBlTh#adI`^>}HJcwR`_or0!{D-M` zyU*wSop_c$bP5`Bnarh$qQEI1FmR~6>!lG}9^ZbJTeahvAG}&e>z{T8s2+sDU081B z2X`<+rb<(9|HMopyfcOpk=Tm%uX3Ofo#XJ`)uG5cpXJERL3E;_HT2nBh_&Q{0Zv zM(bG6tU6LAgZ!s#8BD``Sp|!Oo@UNLwSTdUpVs93w#p02 zRDn;}UrtSu3ct!tZO7)g+H;on7JL2XajYkO;9zsxX$BP9&XDHdf+XR03NRxGabSR; zbY`{lmeyoW8g^SDaNArM&=FtPZ5hdmR+IA6VJ&V^#l$;Bx`4WID@;%2?m=y4CT;mw z(;%U$Zn}j&>FHD(6k+Wxpef`K*Yq=tIXAGGWJYUA{Hf^<4{_bm+qLw4FkHMN^c_&o zZPrv3v7G#y)RVh9Oj;spOBpvVBV-&D;b@dtDpKdf&n%ThCViQuRv;&9ucgav;pt?= zH6niTDd_?;WJX);qqBCKk>X%x$ItfKXPxxs@RN38Q!=gC(3SMML5&^;Z>w;!>(6iR zBGfqF%;7Ox5&EW1&u%ll{JryykoBs|W465;I04cXHR}f{50_+GJ5vpgBgbMXH%O|z zLPwLkLUcJv`U!ZN$jVD0Jl-_3jldMSnfQlS0kJ7earuo%$IA}9C6-}&@U>aBY_FNu zP<})yPMgGgg+&=#*y zNV7L#y4j2RKV;X^^z5VE^);@H96~E=o@Oo|L=f=XaGQgb=^Rn)xsqIxlJnC|Z0=_V z^zrA#Jt8Y{4~d-&k+GsvHWN_}xrFcW(pS>Q-$N7dJEN1gUJlNRp3p|sb~Dwp4k4ie zbKIZKldoKn$15#&NBD4n@sS`rs@8dQRzFkh!1En2Oa7Y<44l5(XWWT`EGMU9MxB&( zz(~}Qd3ijcUOyX@r?@b_r{P~~y%PmFj=&uvM9%;u!4(dVE^@51TTf-U~#u{Arikvc~M23oDyCKyv zS2Sm*t_;Y_h!xq>g(Jd{z#TNYFGf_Vh;a9eM91O5iPdD|HsyvIO4n`9g1LcJ()oa2 zQ}q>DO0~-{^xFud)$qo;xt!CsALc%_^Iq4aMc=E^p9|gC5CgZul?`R94L*_wulA&< zhvL6vlRV7~@6gkTcgk2z8f;pAhMVMI3?z-<8Juk^d&?{dL~{J;oW_jnE0@$ zK3n*Dx5IB%7OWv3p&O)cJy8F*{W$oz6zMu+RL1@>}oasEI(cd&Ga+)a#xug}WEbUP|FL4moWvq(di zkyFBsvUZA(C@isqx8FEt3N9jUqFC@d%T`IAHvMOigMCnKDmi~-cP%Gy5N*DkJ(Zn0 zn!JWKsA1(uw=<}y{seyZ{Wo==!|d7w;tGTp=HH0$O~2^OKcBBte&paUb~{C+iku<} z6^*q*pl&ya2;lEH6y|%OHAhSmoNlMITX=(nAjIn+#jy#6v!GvP{RSe+Pk&UHslx4wnPl!20dpbid>edcnuXR}R%SIdi+#*Tt zEbC*tNjEu?nW-u6>AWe;T^5lu{Te2Xh!=nFrkXC}KNiwLhP>C%MvQf5IJHxw9KH*g zBVM!e0pQ*BUv^e$+aLO;E)Sh65pT#FTZp zjb4y;w@sLfinQo6{l7JOE_AWHAJ5Y{=>zwqKuV+u08BSjmO|?V4c~vXxIu3iBD@pXCfpCJ}iK8Ly#*J+ILxsZv*@4XK zP#Hcp>E{Ytk&iW87N&^~i1k<$C@wd#1c1~IaB14GZp3P^+`_UNo}1o<yWemkgCQX*GZa#t{M@-^chW&<`?JW5`?Yv>%%Fbo$SZ_aug+w+}@xG{w5 z3mkL+A8owZ)S+FM9Dy(ISg@js57s*_uKNfVP3M-1Io@SK?CXJf|0{Y0oXY9m`T4b= z7B%ThAOLeM;5~-j3>iAX=8t-Pe&V2US3}}0zFF=@!CGm_Z8xIG=()V%T_67tz%*;{ za3SXU_SHln0B@s1v2;PAGa%0|l#n?aKmWV^ZhaJ?sec9rBMlSCz+3ryzg80?W{va% zUCT*o*JKzA%CT-hwHza2k%7?APy(3r+5c#KcDT%~BeJ_LjfKgEBcLCh9#Ox1BFQ-Y z<}3lGj?}A3xb80+-|UxLeAWKQ$8g-7|2vybAHhRq*4S(vNj_QPq?};ZTJL?O-*R@v zIeLTREg=EKH0okO(%ati=#s3F%p6tn7)3+$NxwQnXIib!`9Xwtxrxj$n%SmUW;-pX zr$;a)U{$UFq^=#~K1hjQW$|3mj>=Qs&4=*V~U^ zF2GiDajnK;MwbeA#%ld>pG#63t#l3T_zgcin-;pFq*{w}oBL(A0&QE?vuoIlF_t%HTbrg{F?!Ul$4HZ@T-M%@FZFISN+VWn?)YwASP|}SB6YV7^Y|O6 z0j~iUr6LV41tX_EA02vvBWUE5OaQGfls9kb+tTA6+#$3bT1gvaOW9o~@o@Ze?!_Yk z_m8=;V^UAuZEQ}I-qC!1=@YQqFS`J;hx4xb4|t>g!xa!H$wiWf-oYQqiKrn1BH?4! zZVyvrVuPTk^;1ogmVq1h1)ZBem+lE4lf0ns9*zfEo~8F3KtDuI;NcF-PL3ziy!Afr zb^lYg*hOnhymhr%__o?rBa(}&PLW_fpcjYr?k`w#JPx#?v&Igf{HiN`yE6b@#L zt984^sSTD1C#-KP<(Mhn@*dkcx~6!io(>NcG;_2xk9yT0&1Gb!?_DBBy*~S&uY46x zAf6cne}6zVLG)hOZMn5ciEjiCNsr$|{r62y&hj%vtnT17!D7~DKx~TsPlpK7bVVcJ zeul$ZLBn`6scLCnu1daSd6wMco~1uOLLwMjh#v-;+UN%-#j70fIjMVm{%1JA{Z})< z$Jm_iMPN3;ey(o)Bn7&!*C(v!bcFE=3!%=;7*A%5D8(k&$Rwi4juw8J7ef}ODdG^` zkj7S-E#B=y?OUR5?K^@q*%Ry-zoO+6@I3}TxRXG3IO2Ufxo<7uKOzdVgA+46=yP0p zr?}eJlyINyJ$Bf4qv;tYCKlvA)MD-*@jKMor-tT_CZ32Dh8S+Kw}+&iNmE7>TbgePe!T1C^bKDt z>|>|sa$SY1^^dZL-AVU-f4)oj>9ZN*Dk<0*C67=4L`LwawfA|6sJ*psACAWNyR^JU z2wL5F$%mfJIbBVH0gt}5t)L$YDmp~N@a%B#qWRhvmUQeqtLP;1qnpaU*>Jvix11dY ziaTb;?k2WV^}e zlgLOD9%rlh3JXGN-#N^?4iyZcaeOcc_iJTqwf358WxK!DON!QQyYG}p^Ww|NqRQng zt#3OC(NAOB*YPIcTa+%zRGiS(#!WmoD7N^k{w%P?cTs9W(?^FV45To1^U+N0*p^d;oKq_4 zOTo52>b^C~QQjfX;+*#_1{UmJ&sDC5^c^@;Z~TM) zas9Ndq+n$&sDocQtufde5Y{F@uqrZLe%(QRY?_Y06_xH#M7XIE`<(3m~A=wdvH%dyBY%$eC73{8{~% zUAUmp8>e^y-c>|%VdPPTg^>^)rp+5bCNmm{{$fgq?RC=!witjp?_c}hX$JP(Fy+SO zAX}y1lSPZJ6}ez0tSa70ai^D}rFEIWQQ8`jawx)MaCp=aKYx8Aj2 zM0n5wBPj{)azk7ZVT~n%Mc{W(xvUS*1 zb~0W#Ln=>iFdBG*SP;YMTYs?~+p#-DFh)7#1?7oY(Xq=BRVq=XZHfb){o0ijSbPep z7Wn7YzO`CbI^N@du#f36`iYQ7!CSav!m@{*`KU&d70s7d0tY_P0&byLdQO(9;c;Od zA&_~jY=S3DD9>7qO$#FAi<>I)bR{7pqzvsB@q&I*96$|mqAe<&&kjV>_#BoQ<~`%x ze47F^W%}Pr}JI6*Gx?9ik$}HW{(v zPMPpebtJGt2GOV^?N?Zv1UTN~gFi0Dv&Z-vX_mu8ukl*TMe8(c`UY*latK@Ri@L;j z&HfnC!7nYv4=-J`T0WAF?xwR(BFzgLK~b;5;Po6V^fV%}p5O4=U8vhg7sy%-X&I@a zMLD)Z0TQ{h1;SC<38@S}Fe-Fmq~<{BE|guy9Y*-{a#9_R8}BLl5y=DTW4Mn9=q1JV zFnqsM&r+Cel6+28@AZN;6@|%?2x1yA?kdYL9ePgwo3D0aJ!Ha)BrD>{#s>(_4vKSd!q(S%aEPU4RD|1Bir=_J#o^T6+dVzqXQC~e z3G&JR{A%I~zOePT&Kn1`0$(7s#h~jD(DF{MI9JH+2M{&)H4Rkr!C449DL1h3e_(RA zgRHF*in!@Ml=zr`fA;1G*(KtkyrM|Mjq68%pwZjWkwigM9(;;CvE7y;HL0}8X3{PB;DQcN?e z*s~Ce1fnAILMAUN+3E3U##;OAB+lzSBb;gONxZb9E$kC(R3%<`$$1*B7QJ8bJ*!l3 z@8?xeuk+kS;^CRl#!neeBY?%Go#xA)G%VDANpOo_DGgM!+ftUXMBPk(4GEY*U;75O z?=kZo91VIW4BKQ^s9v9Ukd2=1rR?T{ zCyn3kkf%h!NQ+y389M6i=lzJ}6ns#P7q5p^4qB;=E6P*;T+|D{(K_1`hS(v)kN<&@ zl5~|dWTX9)*kc=#j4y}Tyc&$PQV{l&WGo=tLw6PKi$e!IOc`L}Y@HMDI^)L3^X8^e z48f#~{o=mS`u1^7Bviu%y}#rZDl0b@m2*+QNMW?v2E(>YY!b~=XzQubZ-Vd7cz|^96Kc zbm_j~rmx@@8p|UD(!M4NYQp1YyP{z;NwGFjQ+w+{@Gj;fi!U0T8%3ObzAYYsqZ*8H z7d^gc8Q7a3XZ*r-_QENLeCORy0A;=`3=ySkV@FzTtvtv15>~{zo5;R>c_Ymfg8m_B z5bV9R!i3@umXbEYsy}hwB^%}SN6%be1ck4?SE17haY2MZ2(vYpxN;OcFo<#UQy z^h)Awmw8gaJ@x1-BwbipO#|`rG(R zirTfwUq6wPp<)B5<^K_(hEZh=SiV`*=|H9pM0CSK50^4O_}RSX%Pa!Gdje77m$ziC zHDHjGquytO`-ugG6>*;EjJ4EQCdN9MpTLU1cJ6#*_zyFnontnJFs-17#e{(>W4asZ zsJ-_&IS6#n%endESE6u_a}tOX7t+ml`lzsp*-61$7P``VB=bRK;rygOt7lbv`3R;|yy$ja*X5=QF*Zfn9VQHZfn@u)9&W!nCrU=2&>Gb~YRZ+iDd3eOw`ci!ar7 z1v>O0jW<^7i7(n83bj&@y^>eU=8mL&-LlwNmX;Gv7~CUm1Xd4bg0iw>y%SxR0E6rh z77wKg#!gq#WXv<7<;+z_sk42hyj1=T*c)G}9rQVgOjjmBm(Y^*aZ}dC0!cDdT_nKP*C^mqUkguE#YQ5&WN)mB5yVirWIYN)kr$ z@KO-dEWO^>q=H=?5)j-eWk&JSybIfOiXAx?*)%;B)|(P<89n&(UTj)QwFZlC`+doDRWqy0b;>-+UBngE z8I8#+iM4w+wdhcy(PZw+ohE3*U!SN+?azg0C*SHTjkKHDg z1BK4SHowg^S*_OjJzBLq{G|$JI-%@Cguh}Usfk#@!Jb2nxKxb=xi=QuzCaxOwmgLU zlYi;LD`zkSKFF3yHh5_u7clDL8KddwW)J&M+#WP`8{$o~RM%y%YTCSmHFsKcSpU*r zx;!g5hHP+0!a_F?qoN+>yMF4UmGK+tZw-u|^|mLj@WRgRXI5-Ue7jG6HisF?)$>12 z^2nvru08DaKdDo=+~S@NKsH+}^bs*kd=mQ4FBGytM&{9tq1%_={ifXZi;|fM=s-8b zKe8aiYYnsDiF%L&EDaQ?cMyaSewJ6q&2dE0N7I#Q+ z|ImHvy6bqs|L!#t8+>{omu-3J!x$DM5RBLNh2}ihX!Z-hDguGhn6EQkrtYM(IN0sq zzd4q{W#Dbe#4O^o+%petW2){R9_8gwa&AW)4o3@hn4R}6i3$mLq zCTcp?MBw!1C}ToZgY^y#Jg|MzxlmuUUJdTYVM0bxv5EvrH$9qI04UPFIMCzx)sXsS z_->P2C0VG(V=HejJ94rq;?*!lA3IXNqFu}LxwgmE^Fkl190(47&JyE%yZxfSo$B-K z4EXIQ(d6RfpWnWPad6q`!HSzWsqs2Nr5S-eux@#GS_Qu^5X^GV6>sZ?`n|M9hQ883 z-EhWXQZIR4sK)zYlpe?FWYPw=#M7yK_rusHK&K-B&}(a4bE~8V;4uM)AHy9&Z1lKf zWra^M1Kx;R*B>$k9Lv20KzgsxM`4X&?~`Ka5%iZ$)VXjA=y*oB9vwxA_P+-j+pM}G z?_=ScGyQBu0`P12Vv4-<83ukj7pzD*L$tccP=G|wHK-kt8gMMaM5-^U6s>#$U@i*{ zj0Zksz_<;w6GM<5J_P*XyIdmPZK|yE&ANT5DF9;~lH4PvS!MdHmZgv%Z3!jA!(Vq0 zJnFXut;({JN2U0a$;N$tP6vb5__hZ~D+l$jlo++MKP_VhK?d{?8wv!MAz+)2$wk#P zh7__AP5o*4aS9g?lQa91``e6`hb-XnQQ072RmS#roS@p^Pi4A!vnm=@W8z_LJ~4Ut z;~8iKI@gu6RUUdf>wz2NYT}{zYQ5(#HfHy)OW}T}2xB#wsbwzFr}yn0WFP&^1t#)) zX9c`me~i;zmJqbx5%Cf>a}0hu0+qWzrFdc2Q zF5;zFBZPU}Ttgg+1%H8;UXfR$&>iP!!MyPJ0i;TeQ2A4CLotJ7&`y#biM&WPkESEW`38;*acsr|{q8bHRH!WAqN-f(LcdyVLIqo~ig)Ge=yRn+m-A zNTMeiqq#7O5>OZ)boSurW5-&?8mFl}uuiJm=AxhfI6y#!eL$~+lCs8K<5y9HAQN6K zRGOL^beibpB0c%L~HZg%OfB!O|Bmm@D#vh1(+k z&dylcO}=fPXCrI)y#vV`C5a2u*lrwMC)_|OgD~`70BzK(nsiGM<+1K7I+4Dj%SIRp9PToO`qNLKkb2L|U{1}lmSWtxfaRkZYmM=vC9U9fW zUUSM3-{!cdVqfxZb;#4~cM9UMa3EA6Q@JfcAs48@RZC|c&F4@Z3Nc>GERddom89`U}LSRU#p8mB0_FPS+h!zI&Dzl zo1bUmWJk`Un-0>9j1n;>QgevOa*erZ3>*i2fXNj%nJqmDDVbzmcx>f&{-* zwC9yEjchD8AyF?QIhpt?4b~@LZxK3%j>v5HZ%t#4*wsLS{1rhq2CA|SGPPd%x~5!m zgFEkSlysln1xff}O<>e6H4gfohJlGY@YT+_HqsQQRh6)Lk-uS^Uan+C36+BHMSjm+ zZt7R~_Y%x?XgShu7dSWg%_No(`L7k0chk`#Mti+_h6Sm?7R9b=Tv`*L|2hSz-WsxR zqeqW5uz+cIDF+slCRQz$o@Kks#*%;S=;g(OSO64E10OMKxu?XyW6}0L9iA~jHb#k* zrfh5)BL=EARSmIP2OfC608~29Ct%ODi0FtI4HE>s*3OXQGD;%hkRhW@`QCP`?KuYB zn`Ih{Wrq7m159@6mN>Tw=*~?Ror&t)$yzZg$C7TrJ6F$te_j1f=$oCQ(A-(`{4Q-4#QPXd<4QNLpk|^F!VoQT`qaM;F-M{49Q+#U*w|y3wZ1K0mAGNtvGxl6Ss)`Dg*IXR z_f~DPFpI{0y!hwKR|=x_-RQbC2A6C^6%eG6US-MS4>~YxD;c6vn@AY zX)!&qKacmKA7-^weDS&WNtSV2LLX>eV9@Z5l9wr-oj9R{%Tx*T)2Ny(2P9LnLzWf+ zKaZz<&2aShC%#!FB((m2*;6U;!W}=J|Y~C{F zs>@*%f31dB#9DoeA1fnu#?UmV+ddt0>t}|TFtlP@?he@2(0apW1%$rL53oZa`9K#3 z4dj0X_C){jBIu&+n!~j+ggUFGiil%=PCUuxJO+Vkii4_rEu%r1RQZn<9q5=K6C9+N ziI|XvQ?;%C$&2COjq^2>ZCG{bNG1*sv(s$Z98vd-Hp9AG)5bp>)-?U0xq7GfQ*RIG zAkR2`iq`{Uf{@@j$LzYjOYmI2#vArr4871yA+bMR-mP>@?U%UZJ|TYh=YK*%s?VLS zHYY|-Xa9||7Ub;FVm_s5fH5i)Y0s1s;tIw@zn@wIgC#PjaIpf>)>U2?K?{< z^h^uG6FOxg#=*H`Y`4yq48m4|r*9z ?oYasK##3U8Vy^f?7KnYmGVfIk8=<@Jj{ zd#Ok=r+EQxBe<11X%Z4{wt*==@IG`NrgOTkKgX@!5^#I_a`(b9cfSwpGMrfanZ=d> zC5#8fJfCk8sY?_wdwgk%)`7tdvYKENv$QsyVy# zjj!;-s7Ub%$KKtJ8_I_zKcayOkvW6z!1bVC*caU-5Tx2AB`D@?2p>~EPjrM`EVQpf;KU-W0t9UJ@tgHPY&4gId{b@zTqMPrn ze|uw7^i*?!R)dm7_)!oW+(i04UG>Y|t>5>W;oVT;Y<8V!*HRNHW=i?HNNoJ9ItEpL zX|{Rzr{DKPdxYbi)$c1}BW=VV6N0-d-y&vG>!hZ_)SmQuo6-E%z-kF~uhRprMUI<6ds=*7JvN^2bB2R&o};2b4RP5U;z4*0(I5XEdzKKu=NC3|_)eP8-A!lRsE zBcP_W)c-q_ypzry9(d9v#NQRNo@nFoB)^J#yG>HeMrKfXcT4K+hf4f!O=>g5*&Fxq zlwZ%`J(CDCX;a)QX;50mOXexWvKK8M8Ep}XxLf~xx!@>C?Z8%Ly2bk`E`PkAzMYKh zFense^=k^;44XuL_1`pW)6wAHZ0V-z7&g8KynR*OQe^(iJb$oyAh)A~0gE1K(E@aX zbJ5kzz(}%H{Gn((h4)vSXGXa!GA+lSc zibMQyROUb)13co(iqESCt#A?8RtTQp$tYmzgDD+}w5VF;DJ1?AAUkW!DL%Jg%MEGr zf?W6i*?Zw`Q(qpFlv60z`m$jGdTo>RcTmoR*+^TG>$`hO`j#{txI8gHn38@EBevD> z3QLtd<$~9VvdH}fMWQgPtensnS9cPsDp6jM&did$yYbrDFG*eddZ;5M$hqI{!C&D# z*5@!bVRX5jO!|6O)nx2cVZ^|LJl&qjKxtZk|Ia=~6|vM;sR$#hKHa|E|0Mogp3SD1 zf?Bxs;d7Wrzwu5Jev5wxm!{MB&O0dLI!LGNv?biwn}aPjT?0%u9tsfW7FGFCdOQ$g4%v@vpXDI zyWPNZPgEi^V??KG3^|pYvyqhDZARnOB(~zby9O46A|unFxG&D?8t{Tn^8iS|WzG@9 z`+jBr(OHGmpx|K&`TUVgUA)C*8ZnTK4i^1h&}*l=2S4?#|HtOu%I%%|#yaelvwm?j zXg?K$&tRd+sX)#HHGN@o!5 ze3k(Xq?;SAWJ0;c2*mHR+%}-DBE`%uR@ONA5j%S;kAEpjXEld}a#V7y-Od*Zw;a6Y~uPt#$fF5o;!=5j9i#E04-p~(s zsUWJiKLjtTB!VA{_Z%Y2QpRB)WoEEfYR0zD(=I-|MJWa!Ct#LC{$GAHKVl`&Y88^`P?Uqv(>u<~i&B2si7kJ`p zK4tAR@EHIr7>aJ_F=Oe*Nhd8hZ0WES6a!NBhu6u`3Af4Hph7OL8nsQmvA;$bq6 z-)N)}bWN=|1$HYnB*+5F{^aG|TCbR2x=I%pY)kCp`%Jgj2bKJ-4Fo}P73-{Ye>K>ytG53X4^fd<|8GM%_vgkZLChTE(m z`A2+*|K-_<@-HKG4w(LXrB8W!+JPb597qP4$Dd9=r_@CcCQGP(6JQQ-9)`Yh6ABl` zlGP{@ohUV-3cLd$FeSZvdn9!SR2IwY8Q_eUwtZ`EBEi`|=O^8_sh`2aLGc^su%ek99TKTSBV4kaw3YHFZuI(Jon;rBaB zZ?6l^Z@tS~%e43=q9&v)a0}L2tpAAxt(sSaP)eV;Ay};6+oSnwc18FBp~z~2&EF6$ zEzzk|qh76h$LVg5uD#WH21XU`QAI^H{jbj1l49K+*)qaHL$IrtyW>9FVYMo`#ND!9 z%CAh^ZVCvm1vO3D(Yc8JXTMo%jCM|7T9s70 zrJa=C?mD7|mMGJW`O!pFeL9Bh?9dL4P*#NnCAEVS>hJ6s<=P?0%}G4sN$XL#;0%FZ z5_7#1XwFp~fr2*>EDYXO5|_oRdWJiQF2-Y8aa@=l;)+i^#lz|88|I>0QPO^=Wuz=^ zi#ezP#54vS@X?d{wRAyI=Y z26IuKRK1v>I+S%1(Fj{wz3FltV`XtMdS+IFiFy7_4Nr*8#8zzVQI+VQ)AgF-=JfqB ztnne>C_I{Vz209-THR=wGmzBK(tn{0jW2%RE_g0ybF&4tqRU3aAH;eR5KJ@!Vbeb# zgQ~*caLb0TyQfyI8V7r9QPa!--eioKTEs7&JKCWoL z&c0j!E={YE(8T^|s#ruwsMQyHa;da*sAvNUX9A7TAWsf;#(K9rE*$HR3FtX~qrcU- zIdECqee$#*?2Q%RuLIPzZDR~Se*_|w>QBn`9qhddE^|*wFI}XDIKG@4qZN`Io+*PR zl-aayywoe2L<4 zuR|qH@l(P~Kx1^-(U)_pQZ;#1>H*`u-sh-zu|IweHD!kiIN}imtqG%G2wGG3o8(zW zW?o9?nh}O=--U34@>J>foq$AN@T|Fl7`QO|{5W6<0?QC-Zy6J3N!FvAO+d8EtL&Z( zG+jD0{{Db(FYM_5Xu9rrxW1>oh+cyzStTJMN_4A}L~kL88mo6pL|t7761|HWoe*WU zV6}+edyAedqFcSbck}(d|GDD?#s3%wC`9kxiHe-Dp+hDKNv>n2y2(r9AU91333w}4j}?3Rz%vEI86I;(#TCc% zw$8PK+ogkB#v9kli~6wjUoUq&vT2kL(u+=PYvdAWC1~L_3!hat{&R#K;wf| z+UwIfS0QhYZCWueS#cYqW44Pr-5G^C;1uW0EY@6+`7x38qXUN24Uw^mgmvGhwOLYG z6ez3tjM(aLJR;PQd>Ed~!!SGrO;!do2sM&~#X8XpCl={I%Fm#A2i5z%I!LQEMG7z=pz3iCKC}j4Bcy<#b-pNzO2i*f zUG1b*-mqR_MMA8r=qriz_{)0yu~tJg^$XP_fY(B!{;`=39_-&|ChHn z%TWNDD~Na4F(szLKY-616^JrRy!#|bqXPj%Zs=3FRD9pQuk2vZzxu~jl4YMa#~c7w ztlUN?y3Meh-i_Eg>d}$9ZcmguDYTXgT%5{w@1d=Z`jbYTzq8sL$qG+MIJ#+LhvN}M zIF>boo=XEKb456{&!2qMr}0q5VzJTVZN$x%#ZSir`j)ll0g@lMzxurqUryZom0mU* z08KPG9D+f-!>MD39xevV8zbhz%vbm=ZxH>MqkApj1-D}L@TXzCa5vI0q9?Q_hJ+*|C4c50xiSp4R-7c{-lp%`elW_$i4kDmp$q&S?<~XvfyLZ$$pOYhdXYxN~ij0-S3?=JL z7*2He(ARk_(?6^>i!yGG^#6){7)u@XY6{4&F^}&@$K3QnMq0{cw|ch_yK3c)Nkg5# zulL`eT_xVAso}=-Va<}LFT$n{1Ou}Gj-U%IWByduov{ikh77H zkUk&<%#nvP{bt!G>sbz#ppoy(sfZ za6rSZD%m4HYnDKPe_I8l!bqW%Rd$K)Z8(@7#FAlykIjhVBHtR$L~9oA315&uUZHI% z&j{)FtQw83-HJJas(iY=w$&?C&1)1)PK*?)_}M0Fyr0H#XqjWKFbduT(mCcWmy*ol zuJ@Kw`_>7-qUQ&iOgr(VUHofAd`_2VYXzD8B?Mu7#X6`H(q#&`d1>*_bH{O{OA%ox zQy?w|z7ku;oL>r%GW)x>dAbTde+PVHkmdj}zWInOa}F>fh%oIP@&`te`^f=X0Nv1l z{LyoN3t2Q{TRfx&Jug1&gEHErAGUHSZPh{KeM?EpY|o*6T6;}4PdUI*H{@VfH*Io4IZ zjIhz$W6zp?g~k#Q>Rg6`PJZzL|DiW%xAALh#2VLZ#9+WvhQ{ShkGN(J0u$`vo)P5) zI@aG(+u?U>1dPU(?#oa@dO<@#UtgF6?*sa`Q`L-J=x=~47@NuG2c{IW**`bx$$i}0 zMxEp+2GiP~zTTtl01$7%M+aj0Dx>+9>M)3k$tU4(COJA-S*AiCQD1w3dUV~*QSpXS zqJxMK()V>Zi38Z}1OSm6r+s^?BXB#>g+7=%Dj&DCKd2%_@8v|>owoEN(DbP(A}gjh zk9FC#B>^}S6NqJS>16+ax)Ef33ymq1RJf=Yq?iY_W%B|$`5sUDN(!}#@PI*BWSd^g zae4subbKGfRK3P+QFkY6sK6u3@mB4?H zbDD}dGiZGwEeKQ=E26%|Mt=gFazL25MetjF{Luab4@SojTimZglm(j#5;$A_fIlgc zH1JPPYY%Kk&FYYTj>BNeGzjKv^F{;#P7A;z%3tC(X_iTW9wmSuC-W)vBI0uJ$pC z;)VmX{Kw_GR5ii|IS4k$0b@B(sMu4Q2OwBkGUk>SGpBCxhjm3sF(KCxFo=z;x}BK> z`opG#qgNVv5qV>_N5Yg1e!}(qTDrYc*Gb!mQ^K(Styh|cp3hBt=_CxM!u6Ew`JL+N zN#!LAayOhSV1H`FN4xzKNqjQ^4_}u*@Ty3KlWvVI>;QFMPuvd6BAld5>7|1d>YHj> zdPT6+qPF9a->{e#zWU=~`ojhzuc2w$ZL#dMa{;7_O~yr*>kq&p3cF7a8Qu|Pt-#4H zF_AKhN-b3^#?~?!i75^l4>yEKu8#})L`S_Hi$Xn}NB;vH9M2OayN6wL7BSE4<259k z|3S+~)|+z}`kS{+WhaSO$S`1|bWd!(*Og4_(Qh%Y7v8PS>td@ez?81v3E(C2s^S~h zsV-la+*&<2tBh9dlU>iqvmO$IRXjINM6CZRmF<;10W=@whALTtKOJG!lIuLz@5s#P z_x2_6gW~@kZ9l%2yg5-Ig*lf+a`-vF?wD&=RjRxK0h`({CWXOcsr_U=pmclMa$>sp zd&D#A*E&H$ob6?8)SWjP%m;oi6jQ^pS1)GzY(I-P2aHrUep8QIv=4S3KU{E^b9=@u z@lc92;&f3-@CT;8p}>y4Uaan4b{Xv^#cY9M0=4pgPFYuM8>V{jmtRATgfHd|xB0>1 z_`e;U`vD}b*VY=*m61-v5**~i@y z2g{*u30b)U^4=&L00aR-j}*Q4%6FgDCFZ7MXhZLTY@Tv#ENs3KbP8~Y{-i~VqCyc4 zSQQOyiA?}@?u$C|e0nnNs28g>1e6_ui%{HsU)Eay&_I8EP!*YF;U+P6+-|Yhzp;60 zBO&qQ^BU7A-qh3c{3tZj{&w{!KX9;AVHgUlgFydkC`bejFgHTXNJfe-EZ8zq)cFfA z_5-()G%z(DHe$@`U_!SmmMXKTCss88eMzo?#wY+~GOTHb09xO?(UOQcH!IJOIX0K_ zE?Yax@ij@wy!SIqzR^`-e@03%uT^R!%1JeEa}7TsM=`lqw6;`a%RVCEAH#9zF zyX%e79hp57Ly!QRTF1!5X3%q=LYvP0>bgza`5r#bh$(KXYqnhrM<-W-|djyc^263`=C0k~UbnExN?j+FRJufokBOcEB67{ZnkHJA@slB;)RW8!HF+Vf7ZyB2d65XX={& zHQWYYjyeabT^;@+LrC{8KqE$g-oW4ri;TN3iRWLPpAbeoRpcPN{km4%mR+UqQ+MLG zU7+%5FG_}|<9GKHZ`LS)f~in@SOS)mGd5Cvz`-K(tiN%?0^~e@j7z>xcjiYuinFY} z`A{CCOO#^P=4BlvRe*71!UTYl+&TtfbjIfb40)7!f5jPIyjg?V;2nS#@x5p8??C;Y z(2F%h4i2!dA+3*AD3L~7u6qMCZ&3XWP_Hvt+}M@~fff&Oy%m9mk=ym1fa|_d$sj-- z60uqXRHS)ckWwMK?_nR;>7FR%(9+sx%#ke89`6tP;8jR-R&|`ne33Cgb5ICGHvPEc zW=%@GrhAugn(U}2^Lk7S(IMoC;i`&1{Kx7~%G3ki4gsAk05Io9`qG~En%7y`JD#RBAQhEf@ya?T*0m2TsM7;Q=oU^!TZ^m6EVs0aR zZHYZI#Z6D;3u<&xs$&af>r*OSyDt&vb^qeqr$PNQN5x?IoXWs{UXgfa?m zU|f>1gRM3~ybRc`J+}?Ir4ptZktAfW5du!w0JI=Lx=i`@ry0PV1m;o!eGdPVc3g7A zEF1`(l57FK>+X@0xQ&TpzDzOlol|Q*`70q!7UJ}Y@))%e2|Vc2$oDbt68`A4e95U9 zdH5_{XSTb}8~&kktfM>fbqpNcIkBs9%74|BtOdo?Q-R52wXmu~9?H4gGiBmqBfNi< zD$*4fJ$pQg+{_eS(tF1FI|+s$3KU_)A+vJ}0(3`!jGPrXl&MP+Xv<5}_%zXCy_bzP zEy_h-gLqzE$$@(2a2g1uo?D_!Tqaj4^GAvo#y)?C&&gbR4ex(5xvq~Z#EHyR)Wy_A zfW}c4@>5_aZLfuCy};5zY7JD9sh^p9{!3-u>CJLRUORjxs2MLTC@0uB7yv^bA5Jjh z#C!@Cd}Q$Qk1U3v#CH42Q#a}J`{h0v%X_9a#{*1h0J!Ay#!t~8uF`IO=BgGgU1JDCAv8p!Qz5r}U`^lQno=KC> zY1?Oc7IP#BLnCfR$TxtI)U+E&U&F}U(DPz#&$=Ub}C%1@Gw*AxB=$qSf1!`rj zxQ8ZIS=w$d@X8k?%dYms8=B`$|2N>#AePCEa21cI>A1wt0)0cmEP=m-K=5FL+npi6={#3g-`wjX+%dow$Fa4?eLjb zEZOOStz;?a!F!x7qHRBcKTUjvXe{x! z_A(AbC12>L`y&P)E3zld6)x;~4IiA){orkw71LQP%SOqj&~gsTz$d+m{Vy!0#3-xD z5Ea}?3LOvj9K;C9?NWAIu-BM(Z5hlp#!rKst5rQsf_k1>(~RNzHkNWku3?Li*>UH7 zYxp>4TaE7IKCM@S8aHc9OFn(0Qq9M!o0-BiZYTXtlyIwRplJL``-8WvUf9$}mY(;` z742HG>P>C~CUyzxM$|5V-jKn*TD2SLLiG51Xjw;j3_QiX7P!{5N+LB3oAq72V*H>T zj552sf1k*2z#-UUXN;e@$}|`U`C_2-zadxcoxh(uF{^(lJmV&{9iT!b*n_uUsT@qw zc@Aj;{_`|M62~iQ?{A<68s@PnbPJV0pUZ=D#wa<3y&r&QPWdZf9kr@O=SrAcEKe#= z^JJnls!VcGh`%@*--LF?>=wQHDFS2PLwYFAfWa5#J$CpAF&Ex8jte1|Txu9==V3&5 zy(%Dna;Iew^Jl^i}ohr z2NT{e3VtspxO{mH>A`K*NKhZ=F%G^bL^BIgDhMu=O>>?za3;O?sH4k%+*hvnCl*mE zUW=VglDgy>Z4c8aEKFpsDPX*7>fm+J34djv#bZIR4&S`?DhuG8W2xZPWw$kdS!Y6DkLVDxWr+K&;WUPKLxA29$t5LI~j1o9Y zes|OOO;UBp-;Cf8d^IuORpZy-(c*6fv0TvY5%(Rc&!|+OYP2u9)>RiB{ge$AOHujr z=FMSJXq*E!%Q#V}FP3I{jzh|>N{3kFy>VkZr7){)@0#Wx_Ly087Y@B&>j3S@!9rr^ zg0K_FebX~}AH<~;I%WDZzP9SLmHLBC5!^ydk%Sodn;qt=6(48_;-Gbbx}Yu{?(wLm2iip1Nmbs^SmTzOhaNfp3auo~?G;l0gY z!HEf0moNd#vZVd0N;HPtYTRtjjBuztbay7>gGvJj$k^w3Y`&BS=NTFGyqAspSgnZ zt8Tdhy!}3;_zF%%&IHC!O;q6``{b=waF(o7qR)$zT<}oB-j&nJQQxJK-xd$@EQrZ( z=5Y^{lIYM#qvp5Ajw{JiqG#KX-$n1XcH5?qiwZ4?n$)18Xz#A~B5R~09Or7dTNza6 zObJV!=9Oq+(j1+1LKQAh*_?`Y`zP)@W1Z(>zizXl&yAD^75OR-5BMwIxd6}a{6^q_Wn2AhfyE79I_h=D-#~K7$UL8E9%FK@r=tRrU)uk22}x>#PwZ*uJ1BoRsxo&u z3}fNsS&}qKqlD+adsMLtQj5ZsNFg+JqMQX2ywC4-NXp1lO7DyI_SZ&W4exE2;)~F1 zh1<%a(5BE?a=}}{c_{@rWJVQ+xcei_A@(84F8{vE#E|!A#@es(Rs7CZv`V;gSVAh< zn0L3k8PDYmM&Y_jW#LPbj^Sr@0VcL%-qy{}YhEV@o1rjGEuLSi4HJ1~8Z-bKq?>94v zLz?iLJ~_%|RM|puLFV&?<+!!Yq~!V6#mOMPv+x3PefeSPRh)_ez(1E?@Ja83p5iDm z?qlL`(YeE&Xfvg8ZeN9mNtGhx`>oB~&v7MvwiI+XUY4ai+VK z{%oaG`q*A%0e$3b#zY@(wP0H`XqN7=nJUvk#x!dybYKS!0&bHk;-N*PuwFdg_U{7f z1IoJ~`k+#4RsnpLqQ#vSc3z$%z=$S~d*&Dpfkg_ay`|Cu0(bo{b~nSeh{?(-?2#gP z{i{;rMkbE{qYQQ(Y?5cl)1aap2qu1tew`4F*-d(-CxG;{TEi@);C!86l&}sqgSFoP z#$p$}6dAt6hP1p`o(z=&?zz-o2yfRE(Z@yM44;YNclmsA($5ejJ~3ej|Ete}2;k7XCXNM|vOTG4(+=(`{>R@Jku!OoNb`6&=VX z3kq!$*(qD@H3}+ajn@MqC#vNk@rqL1Ujiw-0@2M5Hn@A(^+7U2g>cZO>Y4~>K@L_- z>Qf7NRMzrrJyW7S+#w(4vsA(5zVEvoi1W~A0=Cc~97?+op_p$DHc6zdE(L-;tY6@3 zhL0aoj93zU2TUpR9+LQ17t%$EJ9R5GoXYJPUJ(c1+Xtco%paxd5i$uTJ(rIVgJMtl26llPHKi|bIe_2T`2-J%#S#>)c z$a^O6vkYBkyLlE~Gb`-F47&(1p_XT1^O88{i02_L{wz%#u_ zdOR2Y-ToWbC1zrPrF1)qw`fHjD7`-s*)qYi@Hfasl68P-qP-spdzQ4(r9K1I=em_W|AWW7EPAPu)C#61ba_Uc|)2kDo&ry2eUq2@}`r=5)w8ciTlB}?VHdv8@>`v%gycRlbBM*VXhg! zU;25DE6o1SFZ1Ge7aGTI=q;X5s)D`qR*qGNfKSClSPXxQOh`N__~~vh^$ydEEvJEo zAhG8WEA1?yx2GlL=Ae4YPM>&xC)$olmJpkdua!uuBT1O4`G0MsRi0VjrN2@C`POhV zZe?do-7z4t{+%@wJq1o)S}dJ4J@8rI#m9`Mbm(F&aZi1AzDY@f0{*@4G6LH?=4a9B zB=2qS@v3||zHIymX{uTHiIUOR7rjkFQ*|(Rc1nD!)p^+}elfqha7Uz{p*CS!ri56< zRBeJfm@8z{{H!~=d9kkIfNVieMQkho0cVy<@?j_!qcs16#KMKfB^A7QFNNtoqh1BA z+aBA9__eliqAxRi7nAhNmm_`9^o3UA1$@FYK_`8S?7Lov0)e!puP=y#^nh@c5)I$r z3v^D`I67y5#c8Ey#+=WR2=4`;e*9={9iH!+8vGh{Z5jsMASrMxB?gm*4BU2=!;?sTn7!^hjsr=8R=hmKse&Hk#Klpb zKrc!FOxY6n8U?F&OCCFecy&9T(}QFYD?WZ^0IpE%CA7!!(hqZacCd(_g}3nU=lNM+ zujG^%RYfN-(8D35p8!?+{35l;+H?^>CRd~(JUCu$-`A2caY-DN?fT&(92U5ZMT=cg zhZ)heTPB=hhhnwvt54~vmN;)2o(;=UGh{JZ=*l)q21Euz_*vIz^^|= zbkH%IFGd|;zWqdqkK9X-@*xTnOO}+do3W6@O7!=_x+uwjgv4U;JLGF-G{o?~-;-&B z)ZNtzYi1)mYinvQ1$?tV*LOjOh12DPf>p6_z_K zcEGF2^pX6cfE`X;QNhxtDT-MEcc#>hc}1qj6|WV_hPf_t@Kl4HfSJoZmS^`49biMODS?#^CZ; z$28z&L>8euF#e8v)iU$eT5tvMnimr){lJI&WgmY2Z-x6i(7b4VsAFIA(DHB*pJ{||7kHM%vtQYg!n`^Lsh%C#_6Gi9$;D9^LtqB-C2%qS z@56R{=}eT>8*4LYnLs4EX`YR>4+)l^OD)Q23>LI!Wkf3suJ>N?oV+&IUzdLx^1|w$ z-3%u`bjcc!Y4^9jqu?ICY_T{gaiLKPe{NyM^Z?Wyq?rMr1lsi|8=SZ(1qLe}ppc;7 zRRz5DAb7X~Ti=AF#TW7F-;z3?pUI8tw^jnsJMhvcm9WTYI|XS?@W0C}O{zDw$-<$R zmK~>+d%)W;QHLH#MpDAu5O*=TLig2V-D`7Dj=TGRa|E}j!2%j!W;Fu|u`mEfTT7(o zilYHE+T@K1)y$42yTPw^LVTBB3t-I2+03Dm6a0)L@36n>A52Q66-u@Rg3@z(va{4~ z{%Nf3F@9dvB$|DgRMFKtZcJDL66@Ei5KW+4Lom57V9$0kg6|(4{Ye<~%^OtzAYegG z{1$f|r(&o9c%6Xn)E1yU$P3T~1`dxS5BOR7@&&g31L@yjTM(9V@jKW|9)8R2%P7oT z0qCQdD=^W3+3OqqG;k}0SrAiK((Hdrhjk6f6;>x&vBr$~NTbeyiGxoF^d$z~;{5Ns zPpcsMR#W&;dQ2h_z&`H}Hf=@BuU031{Wzuc0#Q*XyQ9?z@ znhTNhSq7E+E!P6|qRmtjy9fvfzh?ft#*Qf+W2?AH@(>tl73n`e!5WHRIn2d8XkuZ( zG+1i+BF*zLklLi)Mhfv;)I6tzN5NRiceQ6LPvz6kyUs5o9z+hX;=p31_e1)Cx`nNU zB~u+xHTd74Tq)N%kW+vEyZGOddyyv(AtFM36qnxjt>DG1uBWg(l@|r#ZHxHOyt`z@ zz{AMrCo@e&9e~i7c-wtt46^`RF)nlBmqY=x$oX&J)@OK$I5z-XPOC= zp(kwtwyVI*@Ua(^ao^6rMQZz`PJ$Nnt&tovP?@NxQhXt;l-JB?POkb;U_ntEk(ZiM zzac=*+0QF=BNHt@qHSB~<$urUk7>?g?C;|{k9NMV)QOqwtedH=P2Uo*eG^9c=l*nD zeGaJF$wnzvz70eMUq@^%?^gwu6Ct-n*E^5q=Q^OdFw7;B^U1N zD7tCvj2Ar^=Wm+yBTmluF16}_YK52G@G2O|06mZpFVAME5lC|0Ny?gccYN|*RDMZY zISBP zj~;&-_D8g|`c<5k#H1!ZKG(5Sh`(wU)DAC;VratBJ5GRR6KTg!D4f0#FK%-m_VnMM zIKhoi$QhrWdGL8M&)r1bVc&IUih(Hd#=`y-?ib~!uz6LSAHd(#>ZGP08@>9YTzL-!>4dvOSL{l z2y^|Q8ZP>6EEt>jSkx@#C1kjoKV}FEZ$B0lrv^-vF+LuzFZF9>th5Pn~hli2oAJQ)N!U2TO%>|z%1VCb?6dzcjzzwIG z821wv(nB*a_?p7Y@lBH(q9J(S^3GCXut1p)gJGnj8(*Q%A!&ah!VY>^(i52&YLoiJ?fMS<|#MykT; z@14DTC$=T&pHm&5tR7d0|6xrxnRgz=6&eV&lohg{D@Yi83L}@mrucF%Lj(Oc!-19+ z93{lb*y@S$B4JsC@A(6w#o>*)?MNk!9m^*M0j+-|3o&I8rT_g0&RVgH3-FQ?jpe zEspfysrtqEbN4ZCL&fJ?S;(tp!ldE4Uc3iFh8RZ%^9Uo6WEpCehvv8EtdKF?tJysl zAYsBMK>#DDw|PxY>Jm?sJKA(g!z4;yxH9XIx+cvW0q?c_= zz{=OGd9ZrCOKOgxFXx?nOGXR$A02DGv5iq)F^P}r37f8?w~c;Wg`I$dVj5b)805-HuU&s{qiJZ!Bt80$rH(r_f9EKN z=DD~2u$fC`@|3Ah>E@N;aq=!_r@VdMcP!^Lc9>>WLfSpw-&D=eQu`*Ij?~ z#KSuKYgI2rHciA~W-Ish_CJ{!Ge>BUEbHWYX^ai;B+1q;nyNa(89NmlcK*P4t*#BT z9PUxdn)t#35?;1&5-eVJR!(MmH#kI%=B-bFK3&Okl*9?72)N#?ZiQTp6lHAx!WOidZarvz}kWPj`B1i<|ui+Mu#@w zw5N^tygtEc6-PEf`})_=I%D16<1GHihv(oF)XqgYkn>5XpIv?ZC4K*JSOyP$jvsw; znDr#a0{JJAe~J70a&C{7raXRq!41uuHB41-Q$E8oP}I79c+h*`A-(iiO$HY2JI1st zDWC1%{1bhCpJQ(=bKb-kes+p9a{t!q^e0p7-$yC+O&fm%UAZ;#8{RhjnxL`|s6Nub zb7`jCm2ADpLlR4%Ib@=@nKy1*o>+XM$gYmet_gE^WF6L*Zsk*SdpRd>d`vmaex2%Kv!p+``6(OBP?ls~I-$91!yjxa8=vDgT?4tmU zwDU9ZU$;^S!EDU$tmPh4WZ#@nRA^kZ+O2&w_?vL!+|7ZmGWh%9q%zL&h3^nT@}kvQ zqoma!IV?cJeaZ$2ed4?{^rtt5b)Ebuph*35#o4^9FZ?JVAOq1N)PDiI&T*m_$~oZR z=GCI)dH(I?5JNZB2KqP0TD8FxFbeqVqKgH$J_Cs->(1mYCrrDyEo^$PLspASvRe*E zV3)p;Hx;MdZ*N5~zjfKiuqJ%HwCOs*zO&-*y6wDq%fzlxOR(8#60nh5LVx|Moc5|I zLUNK%2kPqs3Ts6!6ef!FpFF!gZQ(fR94>knCNns(3*6S=kmx|H|8OJb#-Z`96mL(v zICh4IZIA&qVVQ;dn1{IKEa`AMpju3m{=0s1(b3A@qh6hJc;&sRo8_j+c&%;nr2g|9 zFl`d>KH#`SU{dxf#r}S{+4$%x8Q>F==-HQu=BjoiukmF1N;9_3-Jd7r>(=LMmHcOP z(RmDx1TQh|J8Qt**R=g-9=2RLsa&70sRFk@CC$GJ*nzu`%)96{+PO=kq5)>>l3~A) z;im<_>08%_G@i5jF}qK;cupd4tmIxlRCvDL9GbD0=E1M`sVl-c9q^Kno7fcY_0={A z;>qw+?Mfe>@2&bh;tL8ikM`el_v{;6ELf)`mw^cl_C(pF4D<*MWNPC&Ydh6DpJk*! zpg^Q~*!4F4O(7<}6@SNXxf1-fzoHD>E8Hkk75Dhu%KP{xp_SKxqM{}imm_V1K3!e2 zb=>cgPCFS)yIT$UwLT!ghOUff^*Fp>KgNbGedFZBc1$Z-Xua%P$?WXi^b1TkNf@?n z@O9$d3j`l|OI&%Wzy-bDpXr|dJwBXz_MM3y^=9aH_hM|)Wqzp!`Y*A&k?pFb^ONA3(4bS@cAND3ZTs~)byB4~La z1S|39zk0TN)|8-563?Dif!n?)0>ad)#!gCTft`@B_2fJ`b@nX}7eD(f%WKV^x%DR% z@t04#eY#VP4I~H*WU5J@Jy7X=Ath}Er24O?razRaN>6^^PAT5(^UjZTkI&>b=f)jT zKJWPfuuRF^yBGdf?KFtC@)9348ZmBP*Jv9}4vo+|Ez&lc?A73JuNG^#5Y}Si`I4LO zXvD-PyxmW8JG$wNa&%WmgGrt|T-JKH z6$U{ZW?BMZdl4R4Ur@fKI9%71Yn)pcwv!dIQ!(EYAIYvDPmN-GA$FZz6H)a_cdpX! z^N~xshZfDu>(}GGjSaC@j-eD^9z6@Mh;gV^Rh_c1lwW0cg~olijrL>Uq6~;V|7HVy z?Ebu9xID%}-n?6^LP>HjlH+F3RDRV1!E(@+lE#!36#n5u3 z@W{pFCOoCgJ+E@=5wS_lYEi;#WoFN|2(>}z;hyNSE7RR^p%JYWkqv|NqgUYS?N=53&(qTd+aDt>|4wNANjL_lYIqYp?aSXSsZ$s zUZLiK5e6c6t(vRctiDkppi>-eBCkJ;T>&jSVvn~{Qn4kQbI4>Lbu4QZ*rj+-x+cfE zTNPOsTRx}U>#*E;VtL)iw4DAZwjrHv%WS*`7-+qr#4!8bPUG?PoCx>1uWY|-V^~eN zR(z&8$PYec2c7rheE6knlX@T=rof4}u-4l8C!;zqk{uT8+iSBLRA9Nw_VZcksxOa^ zTzgf2-KLRCv{UtwjsP4r0BaU(we#8 zmT~AcSS>jpf3f=W)adR~wqqQ@b3)uCE>0y6suvcClQqHOl&j-E*Js1`AS^A@X&0h> z6E&g->OL%eWu2$=IeuBI^F;s}i1OZEF%0yv9-;ksc4J4C{ri=oz&+X*4s21rTD$xF zw+!fy!`IiLhwZr1jFJtjX$Q*7fvX7m6992e*Z5qwo|=ulTXgy2_~Y41t=&uBNmS_7&)ILR#_j-YvoeAe^`k8N0;}Wn>KWy*7xEgXYFj za6HxIrr!8JU`NIpk46gIhti0jDUGg7)kX`R^oU- zr!W4GL=m^ai9}-EB2&P={PmIEkc?IDnAaxZ-=s)Rfuh_ONx=L{?42Rh#$NIrpTH~| zd zxq`=Ad=Ix~yWKvK@1@{h`W>S5FBunV(u+-4^j8mE$#73 zgHsv=i&OfjKDB))ntZ>A0N8Z4@YvceW9&OF{07uo8tZOI-}mETNq#bY2fJ18;SHs# z6xF8t^-H_DQU!3|_JDR)jkr>_F+W5NtaG&y@pjt(kpl)Xx2U1`K0~x1_H>mJ3W8T5HhXK$_dH zy_>n#u#vwF>S4?VKq52;@hB1(_{Q+LtHzGuAi;h7r7V8R6R<(bT#um|NKIpEKKgSE zV)4k)S?~?VLyOFbCx10-EA={iR;qqit7=Sb(KP=x)t+jCjWa66TWe--TI@N5Vp6_` z#3%Q@Plfm=`+_a*L{n6sWWUh8jiZAZbHTSF4u9}(85#*D`i9CqR|w}$|4K2JHWc9_+V?5qv&PFG}m13+>g4*r^SB>5fDXYgWW(lfP25=*^ZP{#=*N*Db zIl-&beFq{PVFU)ewQ;zw(p;1bU>zN{X zJWSYW3)}DqHoerv9#6isNl1KTB!~=)y?3|TR+l1gP*r)X`J_d?sb(-X-Qz^YoPUtw zN+s3A;8zVg^n1e-`te78SWLNz+_kEzG$K2{zK+Mo#^)2QRcCWM&2c~tnC~IVJ>9&m zcDO7vEg}p^2)#&WPKW5`wtf3pc-6~3Ce(;+ESikxE-gl{`>cnCM7o>5paLcK8B0%f z&ouSgcow^!tUX)b4!blm9?=_VBo7wh*-(9c?*m753*_ks^_himW)*YwtGz=OjBo6d zR0KKU3|no7;`;US%Ay)}7eUZBWxta$;8t%=wGyH}^FJAps4y=05xH!ZogMFs$T7y~ zw{B8yh4?E1XYw2{bOYTW*IFaFU_h#GCLwy_$(Q5Kbw00r3s6NQ3o#=-5S@xiTh>z@ zY^A4XdMON;bZn!7Smv>7GRv z0NXd)RF!E^w5;hC(@OO5bi;es46{mqndxB*_XK^|9~W~E@Zw#WMLR+rVh4K)M)m`P zW(9F|LmY)HvK>P{eN3uN4f>22%0fIk!lTLDrK#VzBML}*LbPgMH5rp_HbpwVgjfF; zHy12jqkmhPW<6PjC@_1~jw(bLi|;FSgP_8^<#>7nbKB)DX4z%{RdqA=NoW;$TMkCc zq-2mRciNv7gF-?7#5qB6sjbzR;uae-4MA`@u+c>36UJqGrk2=rPS9n?i*8 z0!u;ND=YDRlcZIWG-ro!*fOAP2?{_`(_&>&D9w-v>(4M_A}-Zn6S@A|%$OJm%krYL zBLb_E>!aOrEncP1=snhb=H?QwK&q(U@7KAE$bG)Rl(^4Hr!DdwdC{Uhryxy9~WtG?*17~b|B@57Y=jlou^g-Qr^(&uvu-DGBdt-U2_IdW- z*2IZ_1D6#SzM18lg7C>y*sdXlFDo4*2Zo7}f2Fv=Z{}2>BzH*$#uv8U>gR<6jLhAj zaEw9pe(nx+XH$fu}F^D2(YR z_2PS)7LK(S8lxHh$WQPGP7f*mQ%{NbpgtrU?g6zv1uIbciwr%8Z@0sMQ*GkoUV*GV zqnF^<_8CihyI=VD$R7-fPMFSb;nfhlhz$jHS`(EI*UuWejNiNxYMs4@ zh|BkA^t8(Bo}tq2a+dj1EO2Yw+uI{4!`faJ1Mh|9!t%$jjyr8|yu9^+3NeN{$wXCD zePe2S!Yf4jjM)pIgbai$*68i^mcpz$UgQEJ5y!#7Jj+cGhPMxUx6vd3)Qka&&C<2N z7{XV+?28zMtju-xD0KXGo2AH>e%MKust8ek8Y8;b+K~ep?2d#94R0=gC|pE44n$ZL z(Ek&28aHafaSWvS_%w#UmK{oCXwsMH`Pt;lk;?xHmVlf{xbWR*bK<=Xv)hL(e0;oc zox`u%(g*_^lloCS@F%qR+dPj6pqgG++l#jx=py@NDr7f~5~J;yEw=0t;RbW`j+u6T zjn~UOj2o}>0S!yz-`WNTh|LVwm}EqF!z~bj1qunZu>&78f6UVOaTo*L=>b)KEVXS zEe>;tfTVri-U{8qsqol<&MRzRAe-B73_gX2LqJ-ZL^`LU`RrqFnq=|tgl6h{BJx{D zn|psZg~Y(yh0SQG)`k0uwf$!)U|-t1kSLMFV5X7gtr1^K3*?wG_~Dmg zT-st{=x2b1?6r>4i41~2!@958!+yvAhm-q~l+YfOdhSHho;!VP6!O4Iq)Uteo|6$N zb`g}y~smb+9bt}F9 z(M|K>ooN+f_AsIQV-@_4T`*_%bMgR^8`-?;ZqyI1ATrPFA7Tw@OXr^%nl zuonv=jG6!+pa&sTP?SGiI!~2xG9@@`w%@46ZY_Fi2jaCVAJbDp+jl^B%B5>! znD%#NLcR}qT0m7Q`C=TYDK0U@es{C$9si2yzWR6|-`3kl)_+iG3|#*hPdboLlAq(| zyUfp`W1rW#t4Q&P5LIu(S`uJc^Ll?SY;%!$9ZTcZ3PaP_kT=1cL|_tvBbth_p893J)*oj65COmBo};f~JxAjO{W{5#+}HX> zq*_}}xhM16=Jtm3$rvRq50S&?50cvU7mXakQV{{EXOR;}p}fcOwOXsg;#dTP;sawR ze;sIG9@S^#A$$h6XMvtXwJ$SbL$@!PK`w)I%@6zOnqNiY2@~zd6<8wlnkGx((|bjF z74goG4jP_@79-qZ7<)CQpfbra6Y~;kQ`|Q~E3T)ITf6t1p{B$x<30DQjf^iPT;$>Z z&vrWBLkvNw*h>B0d}&Ci*NrB~9i6CR0U_mk`nENw0t?&V8d^4U7E`;2d%jH>6l@Ni zB)39lyarufmutvBA5$&g@&{YrZigvIv87p)8)zM9CvDH2zhvlq^7(3x%O=K^mgdH% zkGa2w%YZ8r`I^H2alqzK^CEp+x16fKyDPgzS`C1t8O_=OtU47OQdZBn_Ij$(AGhB9 ziK)(y!h~bShg<6qgeH}o=CdaX=KtysnIFo~;@5WAEi=918a5L#H z?qj84-J*ZA>Y0u5ROm4iMY@8|cvobe42t|~^=|Ke*S?tQbZCqu7IIBTEIzi}v0zsfB(Jp#2l&Hl&z7(rIoEm) zs=-AP_B5Y3hF6&Bki8 zM(lD4JziH~>PVKGhQnN$Wj@3PVT|Lov-7XZ2)L9-QQOSAZ}c#T5KX6>Gf7eyc0em{Aol963DO>AH@pZ3s2N#N^~2l?DB z4s$>Oax0{4+B3_R)8I`0Fu^@F*6Ph(oUg#^DRfBLnz)GU>%`q_OU9>`*FOrmsbw|r zFX2z4tF|wGiCrZ<>@Vl7z1?FCc}_DpK#Pe9?slPu)MSGC{%{C8C#6qW9kG zDA8N=ZYIizIuoM}!<@(aedk=)`NM^=pZ)Bz*1hh%*1a>=qkapd3O=5I^u78r&$Bfn zWFW(Nmb3hIPMFJl;bd^zNU`sH;y_S{ak z?ix`0RrvmOvvI3CXfGHKNR&^#C-K;`HsP~oNQSVLzGN#D5PP92ECul4HdMl4 zGjC?M8*JZ^#cnkn*u0tT@=OtY%x2A?_wq?3y%PCxhl`I%pLd1jao|PM!S&uY>-n1V)k?n5xmaqAAh1&-G;}(~DOmAlWorOu+pcR73PKG+YTRt|@ z?@7Dt23d(dx@H=3wI`H`)|nJ#4^2wj;QV{gLr>1?-9yc_zkCcB%3+vP>EF{O&V|0Q zJ=uDc$qoe2qqH@Kb`+w|7v=}ftzT|E`cP(K;C2Bd_W2TCeknVDEQbZZeD~Ch$-ph{ zew(1JVrJ^M+J@wmdYwWYDh@@(P;sa*zWQg`rE{oe8p~)Tg@fZ%8c;j|?nLMgv|JVz zJ}<3j;4v`Q>Bd`M$`72kw2O_zmqmXm3-{JddLWunR=RJkSm3!&>7J7c*rwn&vkab( zy5MpHLKPCfj{f}q@MN0l+Egr2m_4y(t}D7PC8Mf0+YgwH{n7V|=IBTRENgj6XI z@cVfBFTCr$HgAFo+JDP^E*tk@>?%#0-}Q%nl6|3OfTh5YXL7z-t9{;LGYa)*NMLEh zCaiuSWj|vb{&6(Za9?UPvWdiED$Qcb^>@M|;{0P3-5;$73bwUT?SjKiy z3r*XtNBTe8K6XI&vv6lzO^c2(l+g#6NL*$uhQ)_?ql7Xj4J648vS2;8w|UuiZ!#H7 z9_szg3EMskv_gYh`Do}G9o}Zyy2biJy;@FBt7Q*z*+l9Y5#MWhbu37;tTh zz0v&DavG{&wKm;L$cb(rb)x|M0s zqZ+D<`Cfra%*e&Hha`}D&`=$})W zQLCvsY%sN|pf(n;KD8T5|Kc7u*Hhf0&Hy`7W!lun9!oOZW<<+qZv)IM@4Ng4U?WAU z$4xE=4%pCcRi@V5UQ&9PQm5&K)_a(&(Kg?3`|cfUwUFCcz!^i!a$phif+H-!8^pG2 zkug?Noa3c#08mHj1BN#2%B_!eqGQScP75>(*d1BJDIJ4**6h0%Z)QuKt?RkNLY8j@ z1B_N;Jz$}i9w!|8`;Ua&GJPI-wyE_Hp*~-Jpq0jH3$Xj6>&62H!>j?s!?X`@Bn51)S8al>tH!4toGBY}=6uWm6AA~)bq10GFR zv+AssbT$jQ(<2rVE}*(HYt~Tx!s6Yg*OhSc7v=MN#oKg7n&Y))+b{A8%%sB8uHvVU zKuHw^c2m4hc8j>j(=)G9m?$wfqn;s8*+)5u1;_FkKPK9)4m2S|hrm(AspQybSk-621>4CLdYB2QuCmD74t8nYM9@ucBlt*+~tD;1cKWOC+^ z1Jm(xb?K+!06^x zVyQKIG0uoy3Q?@`C92Ol#_c|DW&iS!*QCmjQSV*bO+6ltRVo^Q-=Grz;db+^2LWPI z>|v!`Z9)>c5<`V?MlQtIMNH~hXN5Xg({&%2vXak^X@Bua287{n9s*)WPu`zm3!bUI zts&8_5;vjsB7&OO#+4K}%-YJ_v`j()=7mK2-lg%g4y6TBIaNznx26DTD-C zJM#`Y?c4+RqEXk-;CJ>_b1x%_4#rytZCSsve(jngOmn{@k~Km#w$A@-`?hjB@m)U` z4BT2jck+@H@5A&Y%|LYCSNXYsK;@6+=WDu>=)eiv+F&*$S(mN+gf0C=?~b^@LpakP zq8LxrR;RfWN+M{x%kMzl5k!D84OZkIdi{4`8lS117n!0y7VD>@1JPYp}C01ShFo&b6X>L|^cW#6)UMIkM-dCnx zB6ZyE(n=;>*tm050Uq6=bIG$g_S@&Fq5$ZCEj6AD6x1r764~pK*by)RB$v9(TiKED z7xXC4IhT@AFV9Y!)7{Jd{w4sJL|2G%&_q@g{)+JP&9&9s4O9BI*a=5BaxT1s^Z*#; zqI36gGC0R)clK`ub$mEjE7+Xx~+FCLt6ra zer|w8#PZE{N>OU`u=-~?pH(>c9(n(R3rdF`kPj;U*F7K2265;P$=$oYNjVfqhbq@O zG%pMF-Ak@QV;X5iz$tDEwC zKteZ|{F;IA+y6R4wCCzxd;d)K#~nq!53Bvdq^M|NZj$_uC&63(fxkbv_zv9;a7#7_ zPS*SwzC4@<;jY29sG=Ro@ba~{pq>WrMC@5G0wo#WV<7uKjazrqgBM7JnNyf;vq*XT za=uZw4MnyumV2o^tu%^=ACPFsCN;m#Xg`=Q{AOKyx@F4^o8f{-)h2H$!sf14CcAziIsIv8iiIEk4&#Z*Oj&4&XO zOls}sw>lPGlaK`7R0eDIUTQKMxfiE`l#SwfR%z7@u_{>B4bP5O(euYY%*%PN8nl&e56vJuImirgBq(o zoV)ai68`#NteFCwof89% zV#)aPKa;_FojW(i&bol(ff)4Vz+^C4*-br#ZX_$OEgzQkB$R6s^EA!gO({6-NPlWf zLh4DDIHpzXApZP2YuiSK$l~)Q;NL%|x|!-htQC=!-)$`Qz^uj+7=iLKrx%}73EuOg=L|^E$JbU;gdo{7e2T2wh5JI=IJFEv>g7e? zPICV`{!qes!_GyLp}$O$8*&tXcPbKcg=ErU3KhWA;1KY%gX1O}ZpS z%qK?7ONaf7L{HsL3{Sds)gN6w3g>6`ON>woPrE&gvWn(7Xyj);^IMQ2u&hs@T$IUV zGI3(*vMerFh{@m>yCAM+p@od7wi#-f%b<)tDY}|6{&SBkG&md_&rkBQ)o(xPZQB=dH zL_cVJYT=W2k{_{1X|;V2c@w^3WaPpWWw$&Hh~eR%`R@aP}Dk<)srp7TY=q4Kc2*s!~u+-F>C6 z_|UjvY#jE9%eZ*h7VG7{+3|pV(b$3rMP3PF)c-kvQc`_t!@^auEFbpFrQ$=Dv4Y;o zLp`6AHKl#AfBQ9k^<+R50pnVruOF;Y;_EdQ11-E_B8q+Hb{lc*Lf2Vr+{XTUo`B3pDKqd5m|g@_jP8*Oac$yZJ2dJmlmej$O3ya<1rPSF z^ldux6>I)cIST(RUfba6x`sEZ|L2PN>6OWTF?@3kK{vb%A5LzDLJd$?CJiH(MhE+7 zQqLXMmhx)e3PLV)-ZAy!bmk?l(c?}Z|1_d{8qT0zL9Dq7YiqOOw! zpCDQJm#Z)o6H6=GA)l3;cHEquz!qauG}VST3b?#n-9vQNcjJu?)@$MAm9ly%p{JX5 z@T|&8cn(kp>B*S&qWAp4CBiJZS%%PY-3_eKmC7ji%Bx2ufKa844TnUJCk?^TBgL05 z5}{kXo`j(Kk;@A!!7I^q7$JaeBF3p=aZE2(xdf|2Hte_d(DM<0c@kDfd1Cc1+PT*B zfLq0F%0W7>73zUdyd;oKd*DCbz6zY#W3{o5*mX;ugzqLbLt)k{R}U-4#b9&nn~n=# zB1vyX&uwXr^xH#a)8b_cab#BU4(_|LXQ#xqG802##JB$*i|q%@U?yV(xtcjsL~S%z z|9YlD16k9SdM|vt(vO<8uP!Zs5q#u%anbryeQf(^>CMQo=E}usZ+~*n=+%9#GkA?3 zf$Bi&>REwz41Xy{kgMBlVH3Em^95g! aHaX1tP+pWAfYiWf>GQp7uch5(_*Sag_ z8TEqRIWvx&+VVN?O1Rv^nX5CXxMl#MLum*7#`9t~c@oiA56?exll8plsipj&qS*%Z z{o^G)6s9@*C$s$ZZ;ufl=@-Y1K5EB}+E*?Qb)eJC#p&mgPN{QQmmg`j-#yH$k&H6? zmw)AG5TAWEf%}%idoNCZzdS}OZz9HQ2`%HSV;AU( zt(b>mgjOv326qJTyHjfAZG;T!Y#}g^g^*+!q`m z2%WmIU{CYQ;7-Yq%S#9_v+Fho2oE$X%Kh@EW?!-ypJ(9MdQd&^iNKt`td%jhH2?}D z!i02pIy#2&XnN1dElr$Q%<&5FiOmCq)IqX=8T4RzI1qkzR%4pQmvt@^6x6Ey7n`qf z+2cKFv}V-?-JLVjW;zJ^yUTADRU-SMHU0eJr_d={c{l*yynGRUeC43xe){*XP4PK= zQ|3y_SM9H7@s3;Ih38U62Y=z^s8gVCyNu3t3s^X$9LlG2xqwFdLS7VJmZMphKTe%) zP9x5*)OSZ#_*E~X?=_vW2HWfr$aiJ{W{0qZ<$us)x6)6ro2J>+JamB8iG*x-tZTmh z5$84TUkU2@@`Gkm2zQGRTB}`gBvmhjC;OUTLgy$)Bf0@cVlF)x9+~(r5kn8y`_)$< zZQn%au6jJ(1kS|+==t3AxY3n|j-VLc=aosr0g9Vi z0mcUMH;v>gmMw6%_lSo36K$SV`BzlZopKZ9PGFCUZMuJNn9R*7h1J(YrCkbnZ1U|c zDTTRgp6oht^cWh*j|MR`*T{qnRyiHz6{z%?55F@X9!RvA)=e6GLa3;*4UjKf4Z`1C zPqBr%reOhlkA9?=@@$q{S%`LME`6!=e^F`_`7bDx5#d5b}p0Yf?Pkk7DX#X$o+(DZH&$G1}S)cl!)VJw2I= z`LNm2=ETACh2fIasiTe8Tb-nebe7*k$A>Ho2E0M`p`;J3~0Eh(d>Gxlt01~Ib3#C zS$jCvrRWV$O=L!|xbD}-;ijD!$~XGcj~QnoiY9Tj!xMiwq`B|c=81)*=YvkSr}t{T zY_@>LgdWW2&dv>;-=0BPmGtKH0~qB1WqG(9q4gQYNImBdM$T&fiI&^YrQyj zK2}-e4ooJx>Sc#WfwyZB8x8LU7YVY{2BX+O^m0>9v$I*a4p- z?^{u$voQb}@n+e=PY>NUr#GkGveaI`@H(u<`2=bvb2G9fM-+X>z45MG4b6Q2W0*Pmmf~l#edQv*x!JNU~6~6cTG}H^j|r0pwzxvl%j38t5!Dt=FXOK z+iog_6b5U8R+5e$>VfVUFQ^$WK*#Ry={X#*^(DG0e_V0m$Of(0#u~It?l;u)ngDBV zx4|#LrhKF|Qe}QGIkq#C+wd!TpJ5~BYS~AGJSC_`(xTj}weAHl2K;rldZ1mC!Rm6} zT*K`Ssw5Mm?b#(ehOU+j-BAx@O_Y3}I(mA^&HyxQPvNt4TtDfZE&BVzAp%(7*6k{S zY0|f@B#XdnL{HjeI4h;COWe5$bkpiH=7`|uJtp=@PADQf>z?+VtT=7z z7bnV&tR`~t1%tREK*{5)iOKqlL;5a%!Vl^_@|xCK-&@^pzXZBiyD&GzqWm6Y7H8Pp zRWHn{#-~G{`pR70D8%rkspBT7mVSOcxigVJ5>yB@vIVr~^fM7Y+R+I8`Jm~L(tVSr zmNm4O+*#zq-fHEOs(Rq_2eI`+j0Qfwo26?*F?Q&o_@^cl9UTOPIYWh(t)=ZyJb_m4 zK*&G%g$_M#4|sfT@(*3LIKu_#$^E^=wV}G^c2JhV?Y$4~y}m@qr(yAx0!@IBO2;k$ zdb0WwlV1db6#fOtW&|2pe3w-Ko(! z0Jv}t8L`{>C-Bq|SJYJVdyjqoZt^R0g|DEc@t~c0?aTj$l=}LG4IDQkYh%n?y?i!F zC!OoC)6y@vrAXJ8+`fWpnL;&e)zye+9Sm=kM)!knM7W-KQ>9yO9Ji;=C}r$xws_zH zo9P4MQ#J*~zzhU3gF#YzCa~6n!P%v~U7`B&kppAvjQzF6p(YT!ow1%Dfs4C<&$UUz zw<0MG5|SdqFZV0>>iM{tA`6^(qetVrlTScq6JPe#1JERMUQ~l}onFLDONP@_AL+6O z{Tv4M_SC6^y*_!cv2tzK?gcO2xr7JsgM~P2W#a4I|*bS5w3!}ZtC7GFK zpDU?M*TuGFQ*Y$=r}-~}FJ&)+)>f9_4ON&N_2ZA~@s_YlD;J$XpI!^gkL6h9bE~+d z;>{3~{zvRj51s#E_do0Y%F-z`pn)=92YBrm@=-S!|tl zm!Na;15>@hTRCwa*N4GCnJ)9&*c+AC$q6$8J@>ssPG3z6^lSxbVp!uVDUtcVBu4&> z%ONcg^{oq|=UF$PF9)7&pSGa;q+T*~Dznje{0i|#1BD;hAqk-5RhZzCs=?5i>pNJK zM41|v;O(SS-gB@7RTAeYTqr> z)c!ju$HDaW$EOu5*?KXZtbRS)$m)hcRN>9u&bAFP>-dpCWHFtM$^MuO%r|gh`ONc`R^_oRf9|*r};8skmRs)NM@b)x8IOh@$Gb zG{REPX3>9z$3{hM@8vu1*Ti~+rp+z7@AEO?*?8T9ut4gTNXQCwt~}n5eanLancp^+ zF>RB4Of-vh)3tIVsWhCEhLby81vUx^#}Jn|WI5+mweWw~*FCMCt1(#Vmtn^U{jL_M zG+z!A?-W`7{l1j>NHGLL@eU~WEO*Rg_d&T~*=hW^-RSsJ@^4)0TJ*o1u&sPm$7V({ zmN|a5&zjQyPZ^*ertyjRn~EF~=EL;q)q@c;u6FwWQb|xiscH{W-T#v!R?~FF+&$!J zAo4315X(BiW}!oG;WO1q&&xWYrUA+$c#H7pPWXu^Ksm0Lj@D@CCQiuYP0YGf&6k+) z0@{5+tVyHlZjP3wXFI$weH2k8)#olV%iTOfxhK=&gElPw%uCKyHE!Bs^LGn}`=~OH z1w&EZ|0+}7W?Bt4fUWd}$8?$q1$^aA!P~PFlSc_7-^1$smMF>Yxxx4 zA#Qw*Y{SAA6z}ywdG~{NZXlE(!NCg`{NO?Pp;GqS)v$Mf99Ej|eiKpZEv&KDtLqF> zx;B<-LBh)=k@rKpQt=Yg28}O26zqb1>!Mw>hX+U%0!EwyeD`%J{laje{y<(I#qO%j zDjy83C>UnXzUj@fRA#yoe*0_&I0I39y=&S9`T%nV*Ns|OXb(N+Gy6{>uW^6q{+XG{ zbA%FbNQowuv2@Oj{w}{w922kF>Vn?;Bs)jVQYwymJCdZPbhy9VvwGIYj)AsLQm>M@ z#*aRH&_`0=8>d83aDfo8(C)y0k*NXL7?gH0G!Yfw`%F5}2eI}xlj^xX&Y z_0p%@XefH5`2R~)x8J*F0L{H4ABkNx*;y1GkNbmY}VxD4w<}$n|z6R)^!I+pt za^atl!A4JNrq(}sYE{W;6e{wrt});e8=+%Cb(sC4L8{*DAfgY?_;<9k?dHwLzu0$|1m2nT*{xf6tJ@+O3067`{P4CR8bT3WTXA>xm zm|Gtu{pg!i!HCx7$2>X@XxHLZVW`Sx+gm|$&0q%~B=uSQ9jVT@<~^o>@WvE`YTam1nB?L9m%1)OWNL}E& zva+>*V<6?sCytFDKh5u&`0+Z_{r(}*KD5$f~i>X zM-20y_k`-PJVE@IHBD4GNOc7QFTCsPp^5;`bS7HNOPRG>Q*{W*+bi4eX6l z&!lK-sZN;BlIs$It_C+_xbSW=zzZ!jUM=9Kr0~A*tHdQzOrMMM#jDaJtDsgQ?atGi zl)4d&1p40({(R-g2?(8$H4*s6I7tXYo`}tfPH7HpO{M9Up74SQ1 zpHuk_v8@|DZNuVa@!ccn56Y#eQi;Yc&U-GLd*5U`2!PCqdZ1EMyraZDQbL)LepjgZ zZQdI}-3KGWFQ?HKzRO+I9e2_ZpSwJ1YF;dATNiNO=+I<30S@=a-Hf>a3Ywu;3ZmzH z6NyXHuI}QqbzZ-@1su0a-csKc3I9pQ5LfW2(lIv}P$oNPI@$T>5uOR{k{Av16(wm~ zJlT(n-pYDxeBdM`OQj@BdGdnF`(|ZXyh{o$i-g{AsZ-B-@n#*w*`7WZa>!Xs3^Y3w zm-=Gy$aCNABC17UBQR1fu+_Q-qA4R?HvAE0u%Tj#vX(~1BEamcR)Z{Ht z)OS`*=c2$Hdex~nS>huoeRM~y)N4Ya_^<_$dCm_q;i*t0tCfgZESm#4R>wu83Q)XY zO?=FADl%+4z*$C`l2sK+ndmc0F!L%Z>mun~XDYUw%I`Xq^nOx?6C+VaG`?jzte`+D z_}ur@CmD@Vw7_IVk|*k9VM{b1!I0{KT7?YXiSwd zoTp>=W+r06+A~pGMPAO5UK|aG@%ayCOh-fMg#|(>G$%=wiNFg1;<5|#U2p-oj-?GV zS9h8$PZ};lg(>!n+^AI|i!wb=7SkyH9xZZt`a{uLYhCssik@!S+@_wtQCvN`_@E~Z zuEGPZRU4uT>T#-xkFNO!SU)$Hj-Al9cXTlM^^Nyf{rrB*TuQhWSvQh!>A4{2M#djH zls?Ntq*fycMKP1RR*ffLe2Rz$BVsZYHA)k+yT0u`O_DjgKgbEwQ}X9a!kLkw!(CuK zZ&Wx(WGgpFTh)(>gxFC~OPOp^d)3r|0=*g(T0`gzHjI4|L77MZi3L5pYZ{{3Ok5i? z%#8sS)DPprL(7|7vf|6vV82h?;*Q?K!(CM&5jH=Jr7%7eJiPt=4njsQmvjS_`}4MMIZ3p z4^>JACzoLs^Tcco(>Cjm!p}bMebkQ@`6mOj6Kq`l;3Z|*fJjV!jC3QPKA0;hK+Q3i zwQq=Y##$)+(q~XF5U)2l)!CmYMM)vcEQV##B~9Essp3j3!$~X6;?~u2S`6mSzGc@Oe5=B3&(dab1eIej?k*JmkEABbOV36~l zOF0-;wMT)3C@DtH{xcrJ{&33HdQjRfAn}-)dNGuX;6N0-l*^a=HUYT~VE^&*^BmU{RfRfa+{U z&$ogqRF-WfscstE#jCp1DrK>7>1ykRpOrdq0^;mx_?a%OVmUWH(YrFfD|Tc7EQ)G= zk!9{^`v~PMhX~EWJnNV+8`&Jw>bM!0AcPp%wN&kHGx`Kx{g5u(wDG<S(m-LP8(5A88C*MmSfo z9ZS|)j|)m-_d@hWDxk?BHlF*5B*IXOX)~eY#4^KK_0T|N$#-QqNkXBQ?cNEu;r5>=O+HHU`|M&tBB*1y`i*s%eEKBP{kraGGZRb94<)TZO2=YVd3jev< zMudt{*v(NZxz_pG;EikKo<(;li`-aYt;LKfzM$e@S$dZ@vQN=eL&Xo+VPQgPtcUU6 zf-jq>>THuT=RIUh(id1$@WMS>wWi|V?|Xe8*M|7M#u{m+;P?Z&cwi-CE>#XU%44X$ zsm|w1Hh+kbwn`yTi{I6&8W&b^KvvKRkmz{?Qd2Czdl&(@@-d5vq09A z1mn`3#I){=Y0oQ5n~q6}1+tIxcUrxyU}SFzfl~9r+j&ACi#*z#U7wZIL~n6zyrOGz zcqq44Kx{Ee@SW!PlNds2&k`>vxm!HK`9X+06fx4KBz5bbf@`xQNZGLc>QTTl<(`~5 z5#|hRYiEZl2LdG$fJZMop}caQKK6Fs33Dun_uioK+*Uc$wv0TYXg!Ed!V-LHPc zDI{JX#u&E}y-!jF;N`EXy)`|F-Q24B3F5j~I@3JoDj$sOw+<1(mwp2pWZl^wE?z~? z&?+MQ^TRXvO*@-HNXaZGEGGFePnN-<2jaCPT^SB7uPXoDs+ZFyoeK&>u?A>6hjO6v zvNeOX-iwbB98UEYw5`*~unKRpC~x_5j<~&GuWbr8AUT&xL13{94lEwprxv4b#vVmd zPd?r>?NVW>iQUq8>&UW~Dl#u7uigpzwbg~MP#^gQ8K@SWcT0S{Rch2LWY(pkwD5d4 zfrcv4C^;Z?mVI;Ljk`4O=V#Gi+8=v>M^+t7gX#~~EHrLhs6|t-z6b7*si#BJaw+#*Z5&l zgA%XqH0YF`6`&py&OqCUw%hf3J)y7S_oPqPTjD^+4RamrB~H zKHr<(!Q~cr?VP;_p>ih;_@t&pZ^tJi_)_Ie#ddv`+6Q6`#pcg`Ksq>eoU0<+Pddl& zCrf=}d0{_pY!!sb(IN6l2nY@{S*W)c_1$cpP@8Y{ip6g9kgc1LVy|w>lP?~2R_Oqu zq`|0PIXj1{QkfbQ{t&>%M0BhcJk<(y4)fX08I8=f5x(_>#??_=`>zRbNx{>P6Arh@ zftzb(&-`6zm&`#o#6Hc+hyfY6u1}lk`F&hcfDZoSW1W z0BZP7vgiVH`v^Zf5kplpPo*b*I5aBml6w`2BWxT0f6;5+HacfDpdl9e1KHUu`TEXTP4 z>qdrR9Yk)hC)Bgyxu1E1QP7sfej2oAu$mH_imH#*{8aEEG_dKV_J4+#OVlFyAbr{> zXN;8RDsLj}P2I!pk$!3Im%^B(O|g#W6or1m1n)+>|iP=x0@z3fnVSl zmhTQ|o{+CfzI)}#F^p0;((Z*F=18Y8S~3#y!7j_Dbrc07E

X z(Bk)1e-PU-$Nk?wnM4DoT9JabcBOBWARc0318}Ddu&2ymdGXgv zB)7XuEvjo)F$S*dWLkWqh{4`=JX3Hz-rnZ}C5W%-o_>0ad43~5ylE|B1z*t(-13$#u=4a1Fj-#W9--{F%!VvI|#hw{F@u10jURJJ?{e-_#Cu5+4yB-%Wu5NP)C zhA0r%&pT{Q_nIblMNOh3DtA~Gxed@HOTpgvIs1C8*F(Pc)7o!LeJpVL3EkMv2H|iB zENGD_lrGo}P52n=4}zO5zN~m%b_;#6NrFd&lojjzuLcQ`&6`Z(?U0isJqXWw1NJH5 zlQl&>(O@og!3-rm_U1v2-MFKPA_u$Wj_=x;zTTDwQ|q+=l*-7vmcu+%S)Z=Iz}1Zf zcqOTlqqM%P7MRdEkZ9^-?ui}nLy7pi_A*vgVy86kE))3)6IRy%JIAFc&1HvoU-A_2}q2jGh zsd|AW){k^MB}(+Qf*%Ox4R6#|8|=3fNp*tlBaNP5mXDu6<=;iKD-`A&R&4v7`K}^4 z#8P2ycHi(FT|tvi%l1b0?-ioZr7sphE80?<@scgbmvcmbEe)&hDNv}1r364yLdFJ? zx=b(3;%)XiGnwEh@(I+;$PdM}fXq8*P&y0PvN-shV){O{qA0|UUawxn(C?W#CP)cgk5aev`xVG*Pdvy(~sT0 zdr-5$t0hcCF&v_h3HfMwQNC!d(j@;%xI^?kr1i|UyrD?2Q8(B1xh2H!(-~g z&Cyb?8`)i+n12WHjLu_j3hS0#q&pKW@fpk_*1(z?@2AlEe&{_EomkmfpGsn-b5c!n z3CPCCf=N0m18AQ9crCW1UQbe3k-KecrK!!1bm~zl|7A=AWE4M6-KF#3WT5_->Ee5d zmu7D`RF-gR6U*a7=t!a7#UGl!q<4Gqm`I?sHt+duATmHPNSNdMyDCNBAv!QK5ZY0b zBGj6$K&DvR-v|{1Ri%`j-vcCCY2l43Mj4MtNA66oIrepjGE7wb*o_}Gqst~zwVA#(v_{N0ZM7PnA2oV9YE(a}Q0eKm_D7`_~pET6*fuVpJ_L~bAw7j~-UG$o=Y2`Uj zxe%+nlb@+R$7IKr^{nR&XK6RtwthU*jbtkJqP$e_A;C)q94OJM|4-@z`xNn$XM0_Q zFuNIR7_290A$>Qsf7czADMr6OIK_$}q@D_~nG~I<4QRuZ@t-eDt22gK(}uyY-O>j~ zQXn6+FowZb7w_&~>b1=M<*74#4B~TUqkYe|>GsUW`tV)B6+Yuv*AwFHRg&MHChSzL z9hW2aFJ8t$n~y~F2Gf!W+8WVt3aE2td81{!iuI<|B#Ftl?S;kgn7e~{*7r$gXn&G8g-S;aMY z0)Pp?k(y3j^75-`^`-NO;L+(h`eR6s+q|3-dPcbor@ zQQw~6VnXpWO_T0{73zx3HjWUu%$=xoTTvJ?vXT`~^FaN?8%#;w^H5t~q}NC@9|7<^s62?i6^r?5oTG`N`SHBY#`GTCs5qo!GR+0fDQEl7zM>QpFYU23f&;AIw_YMik+m5DH)yjP#4b+ccfO;=ZK3I2Gh)L3s>qP`ry=FngV8)Br>7rIv5pk>F?^CUatF) zp$4VWc{={ojLos&DPnlsBghNWC34Q!v`_ob#(9G}H92WG#oJi`lB{C!-u1j<*tlNe zzwX`_UPB7j+!lDVc9auKJ3$>6dYn_gVHY3Wq|K8^&|5b>SfzV=3;lufk)ep!yX%2Cj3s*2yWeBp%}ueM=Bsxd36MeE@I zs_QUG8SFsK4GDkiqPcQ=a<-SG7}8+8w(Se(`Gjl`va$Zn;|pTV<^1c-{cuyeMasy= z;bn38R>b-j`L-`ybA3YH_8E_Ok8D^hq(SYqE;Cwx#c~^`wzeTYPpCsrcjCFs>1=5G z#`;YTtd6EE!?qmR!Lhz~iOs(?lH*vUvP&Y7l?;vQ3i050DJjOj<~GS4De3$*8uEl1 zGFw%BRflBbzdv=nGZ7wDw^G@Ujwx%Vrk0=I^Q2kr>9g6ge~hEN7nthFosesT*8nmj ziI(K=uR%N~|3g@Hq124$UAy{g6EGnR0u{qgElYGX#^&DCaK0H|-SNMSJUTFiRf^-mX6M`|6@ z)-h+RFyleWLT!+ znE5@+zX@KkIdGkv4@oC7S=*O)1M6HPVvqYgCOZv659`2WFd5C%DY`)3$DkGAfF64JILVv8mW1+Gn%_Pn%Q_tIIbu*ZnpAty@asyfw7BdxxB6MDc`QKCVVGS zsm9vr!J5VUAZ7@8l+s$nuxxnHlL_Jt;PTY57A0m4c%5s``L0*gO9Ay!=9~v>ymo;& zhxgHT)k|g;0bvij;tyz%tB@77^P%eYZvhI9QJPMR7xl!KRI7t-SK7ZVlz0m}+Oc)F ziY36pqS~5lF}PE!QTpg}-&Yb~c0sxWI4c5_FWlBcM(@kJ?Le>5p&Pl#H^(6|AFm}( z(&GNE9ZKMbptq{tO3z>>ap-R*&Wa`nLqYA=CCNf6Tgp_OLT)5mI_PZPGaWnQ0tFFQ zb9JcABKjwI5`2fG<#62ziGs=^h@BX#WYM7G*g|oJ{tNPB!8n#>RRB)as&HbGB?FO78CR?5=NfP?`y9vN;wLuRAHgj!`A78&ofG1&)m-|Uha<9z9h;>WQJTA}2@2@^c_RV%sjJfd2xoseismALL3txkxTv9hAv{IjUy`tu0Pu?+ac z9!5f+34WunmFt$z+YzWqQFNa6`gk5eJDPzF&*y#kF9REp&zlI+ghfxq;~e>nE&=WJ z9)`208h^eag}SOuLY@4V7BFqwMjh40Se>kAYmb!LK45aTW5`z!?coAn^PGX3YmeC- zH9*@>Lf%Qnb4v-d97mOe{+T1HQ@ekjInbfz*FEcD{+K|Oir;rr@o_nkdsrdtT)_SI zxXF5>e6fMXr&4FBm2VX7phv`-7n6s2+zeCjh2PRyA=RMqE0cWH*y-|}j?9oE@h;T2 zd#Lo=fcsZFm)YFHX(#2IKzY3Ml2sg{;ETa5V)opu!}6WUYXyLJ+5Ts{j@(_(sf0A4y>O&K`ISb9d=gdnA#*c(J+(D=4ugBMl zcW&5ji=B>Hx72wq-oQzm5uC(zKZyzZXErt=CGMx{bknJ1&?f&p3I1Rr5lOedv$)?{ zqS)W>X04_8zZg}sZ$0eQ?17cSb*meqybd6-u=upVRub^VqwZ|(qS6_QUD7m^Rp~8tigL{SodZMBo9{Kp|KI(#uCAv5 z7%2>4y&DX3Avt(yjy=iK5i1lkn88L3zhz^~@HtfT)8v*_i&&jRoJY#q`q9;!pMhEf z<-v*svB9cHcvMs%z}HWMg|V_j(}g>0J5cvac=f6btnB2C2b+Y9V8?$>OK^9@@kT@7 zxrtk_ywhB-UhtXKW+u~tpw@oiJ2CIC@@l5Aq*R0h8$QQLfy8On8{F%oWR^gAbDR!G8jHK;;4zJlg+lyff1OW$f5w7#PuqB^d~==HE?+^}JI9{dDIfx0*$7aMwD z?2(WNcVCg%iQ|vIRwkDE#B5=6k&DA*r!4=9hw-NV0yP*O3f&FnrWO}$D9tE#-A15L zHdTbOPV93{D4J&4nWXgQuiEieq%i5{=qN08bH;9W@Taf(?d1pE{3crRetT{8&s@{o zwjeTyfPHD^65iWhiFiU*VCLs@J^v=msQo>_oaW4d-6QI)O5#-(auj`93i7$SQ$J$k z38eaOnSmPMYVuPZ@&BABA@4usqpo~6H`V=hj2*PkprHtT>Ln(E10B8Q* zLDWrKxeP3jI0flLLF+4vyT`M`4q<1^9Cfq1$3fHbB%5=`yK*+!swqd0c}YwEGc;S?Roiz^5^(j zOGWO^gSn6{2J{)!4h7as9)iD94d+cp|8x6Vz@FTrob!D!rBwWc&~gcMJ>8=@{b$11 z+?{rgvVE_UaWOk)ox%SZPR*=|_pV*e!Bl`+_q?=Y zKs%iXb-JX^V0cgCrQ?6-z1ATaz<;O7v$sQQIAlGqfbstab0I;GL1>axi8DS zE(y*QiGvSN4*O64C@EA=_<#%lI`T%X3RRX~C};C16F6aW^1I{*M5IduMnbQphARbj zcu93wnVS-A|Cf*;I_`ur`}vQ|>Tf(bp_&FE{P%rGrnM0VFiV-h?}6~4N7 zkk7ilAx{5>0mKcrCs=7xXq>~*rdDkUoc^1;1P4H=k6 zuo0mpWWT{D?g?`Otb?rR^RgpYDD2&2#ODkCj3q+gu>4^%`T@VMr$}elS1iGgqIoN6 zYTB}lpw|RsnYU1vK^w*mng6gc4}P2`bGy&(%?T%{^o^dO*A{R+y4;e@eZT$)(}dUo z+FP#gET=XP!PoiR!Irpdv(9_c(e58^^hEAtZ*CPd@VK0m0*laA5-L zi_@%`M<#^k0lzk<@ly}FT_@&UU0#=@2lNwQe<*ZFZlGhmRLXM5z@lUjlR)MWS_&uSx0RTaV#u) zt!p=SXM?qCAy!==&o)!CbdeQDqPy5-m>a@WPxV?_b6flt8fnW z?y3(C*6BQL+fye{XcY6r8p4 zSM&1Nx*@CA**m^90um_MU6wmDcAQh|z^T|g`N{%HN_p?k$-KlqCHFwF+(h^iXZDdW z$4K~yK*V=6FcY>QEac%ZBXxe8`>>%l`-QJRM3QX~^)k|H+foedDQJud!b}=?x&1=JdIaAz?d_jhv&X9r zw5j6l_I)CSkYfr9Qx+Jx5xVc|(4G2aemU0ttEs_uc>d`EWRv6lqc2+@}RbMSh%a+P!UeiXk)|@p!JWYzA$lL$>aUzC9(YMP8IH6D6 z*w({Jr_LJ#pk0!Qj(Bi{3t&V8R+?f{0C0~Na?*A#osyeLdoku5$CUREyf*f zq(~HQ-J_u(|$4Xym;n*k|~lnLv`edgMzsdL!8FYFUKzT*o4% zc<*CuJjTw~sC@RGt9jzYD``~>Ov z1zU3pj7XTc&kjr4vv4`*$x<}UIG&fl`;ZUFJusLJyQ#%YkDo~=T;G&VkZQ_q5i0?s}z1tfEv9-eZgqLAK`#`-$W z^k;Ba?wPifK(leTO)6X7`Z1NRG z?h5}JEs;6@n35AUKmMys)&C}B$JxBLl3fdBU_^iT!YDc+I5E{Tse&yi4($*$@r7%B z-Isd|@%Zb2Ti{!wv8xfwk|6lt39QPX;6~m>Sx2lw#5ZA#TEt6&uDxr`arsib*Sm0EEJwt{s3Xp6GT;Sb7J^R)YA~O;3odPIoo9ORGs(!>$cfLS012tuj!03I?0-9@&71}T->sQ&UV?93_ki0~-ayUvF3hhJIoXxQWKmC2OrqxVfILEU z6YR$-{OCBOo3b(uPgM|PU$$kr$co}js7k-pmKavo7+vfBaU6EvgmLv{2{R6PF*iZ& zVb3RC9D4>aBuSZUgfD&4?=BI?9-{!6yNWfA$Dww-k)rdoSh7iU!(jiZlq6cnTmKM&OxS;@sQpsrpqVP6gmcG#&ij`lZ+Ib2Q$ljq+9CFTf?oojf)@T ztqqp&H5Y;pf~gBR?uLwCx6(<*$4`dt)dqVZW)>s%K|uydC|#H*x$ourD(jJrMtaEm z!+W3KX-H>DP&OxE zPCCJ|v8HmC9idkiLKWM_GfabbTMSq zv1gr#s0UkD1AmU9Yh7S#xS;0cjY5-pHxzLEMMzaT9K1-w{sjt=%UOhvIcdZ<5c{$S zUxhW`G@(wBOu(B+*{n5(AM^6{Sv>HP{dTM-D=cMqjXLLS;86kda_|J3(+VyoXrn+7QC;*^+zaSsI*I+%0J{L z54^^frl-_CAp}Gw*bkxU#e_4lnunB=YqB*mOgN~&(#tcxRJ^hM)2dE4euDO z?xv0qod1K@k}bbiWDDB02+{zyBdWcb&NRp)v&hOl=j)zwN%C*rN^9Ka@1)|I{QM8cIRhh{Nd@1dN*FJ2XG1MxyONfX^~b8 z9WvHsui_>25^;Uj=|um50tqonkR_C#XU4gRY7}|e;zpd|hM zF?Z2qk{xa7DwD%8oscjp>;P1qEsoc>r8%mlQ<0^j_EPArl?JGM7>#)55u2&d%nXZ%M^uqm7 z1TY2q0hvS{K7vGVHfj=e*Ahj?UK{nYc^3BJ-r1oG53|G#uR4g9@1*~-_jWEbeE&Gj z!owSJiU^-00yZ_f(Q+$i*UIaoygZQwr9pmk=7`K5EEyKvdbC8v;~Yvq!j-v$b53Tg zj8~%?5{WQ~Et|vvz}tz$46*R=%nJ@ea3*)ED`OJt&W}sGu^UCSaNo)*r4346MzS;$ z89s-KwtD{$M@q7U?MB7k)%cnryPA?pLVM90O8zMmJzkoRSbr$cVbLt6{01`DLHx~c zL7RN5jI|0z2BdVE#Wzbzi~!1`{FBT7lwDH(C(lAWSJv2asriNrRE(U~xr5e8LWd1m zSHP%)`8m=EM*UJZHe6rU<{~I7pbg3H{~0SDjmqe)$eEFh&Cf2*#nQR7{Sr0wx&tD1 z`$l`4lPOflI+b|=`tdVPM-cIF8rbHvd(V^w>4S=pf&054Zl&O|2q zE8j-Ui^^Pm$2jZ1!!PJ7_0Xq;_j+NH5?vmv(@DtIcdltiB=bu)bm#y0ann?i1ei=3H z3gEDjcUOD;wk^EEsaaKEJNelHWNgRjCLAT&eJr*9hFxYzF(mP-;AM7_`6n@wzZBaakYGF>Ebn@>I`6(TEfYzRb63ULfhMd&e5`iAhi?6%04N zw0`?Y(=&Bq%@qK5^`^%nx^7Ao@CEdGWqxBO{P)9H%?;kA)@`MHt)92a?wwXqbJ>Zb zb?0r?0xswH&9qlL_ciE5h|ht)^QPI&$is66Ly2qKK4v|v=R;1DmuRZn7H$X)#uLj2 zVEy56`h})2=Gs4#aodyJqrAnqW~%VtLa+>ETpAgdBKSw#_B;NxFgx%(BD-_ebOq=} zLSUQO3-Q2L!x-^}EolV0!Y2P||Ak5n{I~<99ec>Cz3`eW{%(9Vwg7rS!+stFY8bz9 zHc?5k3NGFLW-Ir_Y^~7`*WOEQUm}f&9*xmybV+Nsb|DP+dc9|L(0<(6gs9NSm1g#g z9Z$48EfWigierS`1ngddA6uBaJ{j*7+QQ;qIh`Ks!r)%h;FbpW&0W5qx1=~^!5YiZ zs}1Pf!ut_h`oFk^@_7d--?vL8TuY*D8sfe*y-Ap1rsUo(zbF{JBz)>&;#l|Xd9Z}E zsX|XsLMZwRCcSq_k*1EOlUUsQySI}q^qdVFaNS+_Kvt}9J_|Tx4G~US(W9NtLg%@& z=m02Oc1*jAw%D*Mm3F1t#5nQIc+^SAQgRkf7xH+OxV=%x_sS~#WEhKhq}^)3*p^OF z`#tHUaYCZmhM%q<_!Q2JhoLa3KwUhE4oxI%1%BBExpq90K=oD2Ia>7VQnSR&SICnc zVNMO98Q7QH|4M>#BEC`LdsdV%HKQG^C!VH2f{MFa3=*}T79>#C@+UpUN2#OAMx>Bg|xx4YS8{Z@WQUE+H5p_Xu90 z@ppULrjyfuY(|-FD)%F^tWE3_67+Z1ulDW2;GnBZs~>^freJ*~49Se|U^Hp^TLRb# zL)OLip-E=cXSfd9?lFzM(pS z>W}`-oa5G<0{sq^h^#W_h9!d4Cn7u4F++y>(x@xMDC!=bNUjMlD~ovH)rgQ9HEHq4 z(kbI{t*!(&Yt+_BC<66&VH|zFEb{C6!t&hu%@>>TC)i;J{wVU|Y`&~piOo8pEd!#r zqG!CyTYR)QEvks`CV2G{R_h_8v^0|Chm>aycFr#A&!ewThr1QZ3{K*T-AKCu%drr| z+75fQn$jix+a_T==7Ng1*H%wrI!ga$|1_*+r( z&?mRB9k#iDGEW?2F0ybjR4bA@Fxi(a8AHy}w*TfgD8I1pb83Pm7w&JUC=Ly3zmUx0 zeO~3;OB!eq4c(V(Orr3wauN(eoctDEB@{eOQ1oyAGx1#H zl9UjAVgi498(!kK|4zGB+mo=x!f-poewb@Bz)INJdQ_iUXBe8jyj=x@4mj3xSK8ICL+Xf;+<74H5o9zxd4avmK$01wDd&;YxUm%xE?E)lyk&s5YSK6u=jsHi{ zmB%yv|MB{EsDw(99IGTrNXosbT$NBsa;{RjVkFn>sFajrNv<3#l5#D{Z5z4C9dmAM zX6~`WF57ovXBs>z+|3{sOCs{cDn*xA|D;im0s|QiJZle0IrlXQYhy3&{l+=IWyRlD*g zICvYr&SRry52Cg5O>CdQ8E`81Sr4WJK;|u-tn;3BiLh)Bs3d?J?U`CZ_s|1zLu*lZ zsO+AtUGMu9NJLC-no9j;uMPc9%`aGR*auPW3vi%RMsq453O{~na}4D8F^AjGV5SY> z+aSW8?Oa`W2D!Tjs`L)m7?-pgO-G}T!U$i0;|fBqM+5Zj-WUaf!BMF|3)!vHo*j0^ zWj^;dD~w$`lE+`Ke75M~o?PBf?{}HuEWE-(6nrNd4{;Buj7OyfpI+QAs4A4kJ-1`e zA6Ve|4(E4o=~kAmIjC8E2N!bg!7$~yi2~)oE9NG)H|29{i0=HaNvGf)xb4q57j5tl zkjtu7!VeS8IXR8qfRCU=cIJyha}}<`N&$I3Br|LcXw3oO@10Rtk>abbklk~(H!82x z8!wDB7KDqH7El}TL&2)Uh(ntif(LN_S^5ZZRPn#xr8r)V{`D2=y?^-~FL0auZCUi% zEg>-hUnIATa!-}5w5DlD_RRHZGb<69xlAfZSN$Rf%DT5+WIwq@cNP57@``Uf1YmTg z@9|{DE|}xI8o;PcO9XsV^P)V1@!*~Eb%E0&+PC3rq(rk})?`L|IeGet1uF9+3bSRr zfjqO(w0rY4;2?N#suF?xvb>W)?IFG<`OFh9|5p=!U{PZw{FaOdqgWzrqWLd?^xW5H z)^e5}_1m_63wo?skV(mpoZ1m(2d1sAA?z&+pqK5Tdck>NqzStHOf7& zx}R%s>Xsv%xvg=6ktgAG!E9N@E;BAqs{i7M7@PtwMKat(>Fj|<%x2B=0ruutO{03; z4moig=cT{6&TxH?5nNXoINpf)1{uT@F@4@4k|bS!T?|_FJ@-Fwf(|d9Q|x)_@X9yt zIcEfgiZy8|Kg4I?;jH=L7?O%1zmg?z7dm zh+gbw!SHkuLW#LiyPfb&^_56g93wne6%+p-;oT+f3 zdI+mKU!~BfpLCW7T)=X}k7UsjYuDE!_G@y$2PrCDxI6 zptbN41l6OVC;I~CszrWiT9GtP^4^D!xQJhe4(P2FM4H}4kWS@}Gk?NBuR~L?*7(Ns zdBhw3i_jM#2LiOT1#Ud!sMJ|t;R|5ddthuM?rR`|$~0||2t-+Un;(+|QoyRu?hPV| z1z9l#E0c`31hT3>2XM_j=Tcg1Erk1mJ*}%-R}^vkW(&M>T{i2_$cs1L&i@4HlgF~+ ztmBI%T2>z4U<}1EcGI4zs+Glk)9Rk@QEbgGl8*nhWECRDe2Sgws3waGvKAUgnqp`l zF;fNW_~xS$M;&F}v!g5jffuDp5+g5)BpF3~y^jbsE=xF|>pQJCQYH~O{a29%j>w2d z#%U(&(yEPv%{TBH=Qb%LzzVh$l4EG;gzbvj)jc%9S@e#>=%o~?#@$=dAZJ zF0|o?8{Kt9_S!9kY}@kwOW#v##N2@Bu^wXh^0I$t*|1qhQ&EY}}x^{f{BkYGX2+BQ(Y!=mdz zvGdvv4+c%4wUfC-mAV*}O$MNypnJHF&f+@{lv=>FZw+u%_mLqg>8!Flgpku6Nneqys~k|0F4tuw1wVl}eZYNhEh(ujG2 zrOZeo1f@jyiKX2VnAWJT)h0;MuvNyt+`>8S@HG2^nb)A(=~CjuY?XX|Q&eZpMb6Fv zNlUQ&;g|d@i8Y2L!dlW3mMlInckPm@AhZKxxE0+%UU|XMw5d@TeWKh_8E6m^7&UpN zPJs{?U$9op!Y3NN=y~7!72-(9MT?Zl!-DsZ0(gE~(;0Qu!y>g^7E`63_-mAm&`W)@ zD4|>xUcsmV{PxG$h8JK@-Ds76k_f`ZRtjFLToq9Vk1dymd|*KWagQlTqw|@V0r1&5 zw@y14uId+~67!90qReI0{nBfGuqW|t$}xuGndfrmF`TM4_2vIx^6{>Ai*`5ZT#A`b z6pImr%JM}yFf1gHBT_4V)nP5BVp@t*MU$$bTef~#I?_^1d1$nH-#|fhD+^<7c+DyJ z`^Ntjqtej_B5TTC;P2*Q^)?HudD*r(++OqoKVgfEK=$x!8 zJ`2$b{pb1&uJXVFv?yno|L)sO6$T=#fMuk|-wJU2lkI&Hmwmz_!$$OmhTR5Xi#W=? z$*V`X(rCF|jPyU4TY`~GA(X|PqH{-@lux}lIiPN@&>x59%Hd4E0zx1YQqwNq6y@F+ zEAYHk$aOD0RE}uCjEojsqR+=!BL87lUw~Ejik_(aNCaQ{4!BJ^k~kre$9@d`mEV^o zG3`vlg(BExoBlAuLq)nv`&A^f2~+kQu$;SI9B@zW<8$HpZOFZ8;HdihNQrRj6Dho@ zDi_*`c^B34Pc26sJ9(oIGkp`_58E}X?m75){h4DL$zr#A!!G<%FlM= zF^Y9ZwCv{jn?Kkzv%%M0(aNF0ql7bz2o3UV-Fr;}{x`2wUuOCK3Vq`z1iO9#pjnPM z{oWSi!=wc~luK#uBOI9$CSyW+moK-bPjZh;4ENly-HYxc)HNx(_90*3Rb=ZE|1tLw z!H-?k3}d(zu%7Oa@0(OlYJkt%Dvsi6v{jvLiTfT+^^M$-T>SF|2Ls#Q=2A8pa5476 zSXu5ju90kAbbb;zXNP3U_b6$gwcQp!Q>Z$VxznRO;(K8nlmuhu)K` zqOY&om-_sKk8i(o1kN&Z88E`*Io}1gf47tzFUdrcXKb8%0YuU!A zX6^Lb+eWS+FkfCVY`TTCWrAi?5&pwiF{Z04*kU8mMU$tFd%4gbQ zOyL(RV89vOY^ zXJk!80j>64^EknGJKJS(KI-LW z)c9V{Zlg0nlUrcJ3@DI9`kJekmRlI`nHF^jP4oRsYtQ-@>a!5lozT!ZUNCsKkapo5 z6m*5{d%6tuBcBG*XkS*U`$p3~h}KKbrM-~pOd&99P6Lr%!)j4t17P3i#lCKaP5&on zXm6_^tB7IBzof^u#9E*(MPG%*oMYJda~HqyLTm0%*Iu~LyF_V=udzXjwnJ+V8xtT` z*FYFE!2B)jnGMstGpp?zZEH~(+|T@WSzz7L1<$4*@mq@HIIj7 zKYIfoazn^(3Z0&EImo|fV8H}N#WW^*E-IvV=*uhDpAJw1R&|gQviNgWn=3~Vv|3Sf zXgc>(&vMoS$fV-_xV41PS(M;R__l0`B%xCEj;GNg@0Wa_Pp6~bw}(aNN#49ws}9p? z?Gl%Tg@q5oTMG)4R@lin+EKbSV(k!u*-+iS>aRE#bPpxlCI2?R?jZE44N~_kvpblIrQDD=Q}2(KsDL3r=|z%KKnTL0bZ71$OcFmmD*FOBkhS?>3`CMES(d^ zeSo&8yLnzU;V0hou^2$sAU?^fD&6bk{e}?bmB35?B{-bb^1girRixVTW3hp`uf zBcz#l5-}iW)fFBd5eiua_brrxoWVMzfB@$yM^HwRF}7#5IZUm4TB4sd z;vO{o9Zqq$ZP(Y#lqbz9oz!7HH5U^Z&NgY%(v0{Ns=lX8)2Nwmf-xu)a4?;|jl}Bvd z5LB&se5){cae6R-tG?}VIYVEG)#d|VPTB!A%EFgwPVcS%$P4WGzV)Ik+hRForJ){fBk$5RU^_+lTJh zKVYhtIktE{VF>>NwlS6_4t8nKB$s0Oa|9y(i2F;aV|LT0NDC)P$66L%gAJDtgjDZKP$@S2qXtNDDvtboE06Hr@n2L@<@&Jj z9j5|x1`tR!YYF~|A@t|JitZ#o@}Bk9@ei}PgnHeBNIyyn?{E0WxbYE1s|+Z9rYA^4 zCzUX9+1I4!^shm#lC9W09Gp!wyA=DC{xs79Bt6rqw3=;%4edbE40BgyQBu%)HBcNH}u> z+cNwkknDfMaq#Qn7r+JOQFrMT2jY_Xfrnw+*I`?#LU1y`r}d%RcO-icLl*kxh03uQ8Xog&>mQFH=eI3nC?aAe9CkLm$N~O>A+J@OP4Pv1~FSlqPlHy7{+!#qSfseSGh#EZ4DG5vUCRz>&`@XjCnICjO zDV0l~bD21RME!n?mxq3cO=XZGrd^1o^ryVIy^TDlxA^@&MvP0pyZQDjPN^h>N4~WB zDu#FW!gg_yXrGOHf&~$u#+s_L^a%7>Z!b$vo43ZP@3pPZ*L{M22?IP(EGsRe??YO+ zZYEl8UPXy^K>vO@0c<-~ChI5flCF%E`~O)~_c_z=-aT8NWx8~F+v2MTeV;=n`W5IUP={L1y0Ahmn@?(iEf~cPR*_m$h)JTvD^cQ*@xw0rX_cX;b z-0DpL_sPf~qovAU>#COpkX<|wAdpRO{?;yN>7MjXV=_n9Qej{fA)Y92;l6RbBF({t?w0QBsJ9KLpzwhy%g<2rr zBqddnZA|EVVT<04q_)^O+us(iA=6KZLJdH1r0g?Oy@V>s5UYr`fmW4K21S`(pmRXs zmMVXL^78^k?9#L{%wY9gx3YJ{U2p`DZ9=#MxJg`G>vgH_YG+ziI?LZA%KBIkU0ngZ z%K%dEi;Q_K**lZCOO7M9CwNB#OV|YZyPrSA`EMcryiXYqIMqiQfOv~XEI;wj&zHV; z{2FrNc9EIxVAfc0hsWEX-BO7sNJgq%RQC3i`DN?9-$4=30lf0v+JmGi-bcoJlu?d< z2#uAeX6IUKz842LU#Y2{RCm5J;^AjW4j{3nWT5^|XSE)-V5SfJ2mc3WP&AD>*n!QhXB+N_y=UD#faR1Kdl7b zN~65eU(IxP4B;PSivoYkSC? z##=2Ef<=e}3uk3(yrtRnsZq!90a({Dd$xN*OzU4r-#)2{vo7nWa4E4eaEU6Cmhr%n zt;(6JDu2Zj2?6t2OQrdVYEi{}q4VER1y;@EVkz9Tr3o=7x1Q#Kv&OGH;oY-l-F?N& z=g0tP9LMv#lRozGLxZ_cO*jleqN{UX(T-oLbI||(O7Q9X!yg`Wxc8Df*7T7SlyDPK z&}{2Dm{n&71w8v){i4$-PzqW`uUDN9e8hS@f02G`h_fQg+7xCRgnc#|oyQKp$X%Mi zYy%P^UJWn>|K%fdh<`l?qh+A)^rbc-^C!2MO-6B$_`TjHM2u z#eamUsY8PjBkyAs%me+$HQ2;zRrZ?k;eD()mU)@i!oET^PH5O?T8Q|T6x2(Xwjfy} zQl(YrYjMno&on-0LIeA{?kneZI!j^Y1Cio6=w4z66)%4vHtH?_M>Mb+k}t2Mv(gqn z5G^G~?H3i8DLG!;E2+A#?Xx!_O7!AQQ*5+Z|3v?+d-*mj@-C>RcpFd;pe^}#DkVvG zp>$9KJCR5SM}d)SAb#g{aZ3ba$xNN|P4< zE1NhweeAFMkDPq{SQ$S}(4!g~GyN9IB~bN)sbkB(Xz~m_o}1ikb8J6bSFUPSyAaLBPo$&V-}=n{s3Ic{@c*_7{<(aG z#p$gUhhC*eBQ_B-3tx5gEbjyDrEo^dq@I~#XzKdPHG%+syw;e3J4F$6g}S234@V!= z#W^mVA4>Q1q)F6Ie8z1B5)#>HQF=MEIL3B_bLMYzQXm6sv#Imfc;tQ;U zR_q+Qwt0b|&i5v?q(yy`4X>Tz28}oAy50M?EC+@t3H5R7*e~0_oc5Dz!=vwpw0X^x z#*kzT4^vmZ4UEDknyqG7O*+9rUBF_FJ&G3e6;@E0G<>Ke zzTrIO0S-#wSH8>Cs#bOdm!Cx-(^A!^I43S)WmKtxfi^I-vByr0{Muu)*sD@pMG@J`ZUmlB2s&#dbW`j!XqDiGW0t-h3>i3@=} zm99XuYF45WsVa?Pe(;Abnjjp3Tv&e!hq3#W;Y*4LZPPWw381E5C@zXsQ1 zVNuy^t)Ynh0RWIoM~S5$>ei>fq=dK?t57KO{xmAXU^(6)`sdSLU0`QsVRaF85zp8PRmUKQ}J z2B9*Isgvc&=H+0Yicf*R8Np9_E!6I`Bu(d3#7eT+@5r0LDOs2NOI>$l9)d)6PlxBz zAK{XTKG$39aC+}o>QytVs}Wx6Wxrrhbo#vCCPS)gAmcIm3Pd%9ZgkJ_`P|xNVH0+n zmh8@#6tx8+4QK`ZM0hXRc*%AR_l9)f>oIUry67aS=p$-4v--&BQ?b7;pkm;8s@f+D zWE^9OQlCth2*8eMAnZm#o=_<*yU-iROUT2Q(7z(L#M%TRLp}zH|ik-gGMX zCN4jbezc1ojoQ!vSCOuLd()-o^bX6n;UWA^<9metaZBx6CA73om-H{~3dN6rM;MQQ zFn9_PE@Ezb#Iv~z*A;gmG|U{;FHLKUi)6KCM!KpnK~^}kd5oHg9e{CZ>8DlMoxmjF z>iy-yVMr}(dGMjdSS!Vv{4n|4mt0*+>x$8KUeIOS@V6y*(9CF&eKO=kz-2eyW1ho# zK_~tLrc&h*Poby&+WPhVI^%Tu!Qi`vjAPl%K(3Lz>BwTKyB1A(=p-o?+k1Zb1GE29 znvtOm>QHRzih1$EG4VUdRTTRi>Nc_F>nYMp;D?j#c4qbFj}i4UNMFzXZ#q#DnvSUr z#F-pr40EUGrB@G>hz>!n5U^tT6(g4es0-)Pz_5m|_wjp;N0uYZ7$Fb`x^PIwqlyWS zbqAQ?x03EaO}s`LAq)(;pjO42chUek`5Fw4rN4uZ?YNxpE+GNq+}xc{+e$S{EUcaq zPlHD|72mi4z4bS76=y`NmvBDuyj4q_<9kTiOW4x>`6t7lR+gpirJdw`5-L!OUF(Gi@}p=j@i9!9L5-{(A|t}M zNf%L|m6z?J2O-mJTW#G=a900(nB?jnJBw6hb|=`-k1b0GBH6cplK#zi-zoKn?wzid zm7K$F>d_DHf*JI)tiV=DFlY`C!4C_j{v)OC*afmH|WEPw&Kk!`%9gTZQSqTYfXa>>Qm z3~ZY$OcSp@$E-FRhm(D2DlT?zo27e6_`zIOq+biT*OK(f;)Z5rR)dN)4&rK3r;3C8 ziAYUDT$`)^ks4a+y*V47%uaUx=r}hG(qKKeZ7uu6QX{?%5Ppw^DH#>EqBqUn^Esat z;7v1NB%Q#Rk3Pn)T1=)u_Edyw!Y!=8ot*9bUpvc17nYV!+_VI-sN!wc!JQHEE3{U( z<-B75N`wNk`xt40rR=^me1Lp7qbyoKH@-&Bd5cIBum1Go(g1WyN1CL}-hl(){I z7e}m{qi|d@iE|xQFfG^tvlC&lTDcR$0Qx6kt|e#+4g1kUvEr$n#dqpL^wEsFVBU@$ zx)*q97jf(_F2A~EF5$ic!7|8MbzPElN5m;s+5%c=_zre4{U9qZT`{oYDe%f3&3lbUdg{|7(|)$I+;FbCLR7_w&+eT zG7+}IGJaEYYg5J&C!Y*?i(8g@j*BirDOlmG%O!U!LhP=|`y_y@%rXU6z_z%G_mC;v zY_qPMD=!1UJE_+xwF>MG$eDFNSC6U2P830QWO5dRByM5gy&i2nq$Im9QOz;mw%GHj ziD@6X)=5mD9TUA4MxMMOY{4zR+1GuSm?4iNq;Vh22tRdU+T`4*-H0qqC%z}#Z$4|Q z7szyszLz3^EVsK=@s;3Xa%9UMMCj*s^u+vn6&3HW!4y&JN9n3ugg@nR{;UNT7fAIs zbqyNRDmopw&mr<{%RSi7N?bMZMlom zcd;FcthKJy1r2RP0y5|2Q~7j(7)lAv#U+!S|Vp30wh3h)6~dB|K$AAUkSpT@j! zuOp2tvYC6DOOXV?`31aNX^xx2Mm*LaLN=e(v@V=dVYLW&aY9w(@g%r?6F zmJV=+F48R}=V`1G;Q<4~KluEfL7fR(;%nmpKCa!>v=UM^j*x*{KA=Ogtye)SIiL7g zHl%O zdS)Njkk#=@?UAM%<`;3M$vv|D>u{)kS?@0>GX0`n+px8Qi+0|dkwcM_$e2SJ=s#7p z05|V@HZTY_CrV&+*TRnp^gdhjKK@CN?PSo(m(Dwn6L$+Q4a_T0 zWncapZRtYSqm6dxNm3b?V;L;m!z!z22$5!~ZP&~lKeExyLR7(mHACL*8fM26PR~dn z(W;Pm;9;k++5Nex{)iOU3cs*Jbc-rJ1UkjD28r)dTM7%^*$-c z5p0I0D=CW$a?JIJe_9*2piEGo${L-6&YbGvxxNlh!UW{TFe3Ghfnt|*8#w8lSuv{6 z5aDOOY)iHdA5*S3zqr?-8yYka(Bh|?E?7ER6Z%$=4tk{XtMpC4T90H^zs)3C3J>>Y zz~f2b^$nP(AC=CDb7$RS^?|CH9bLATxCFsr;AoNNlg+1pUV459$OqjS0mHd+;~X~z^S>sRR$BrB3nce{TvN6apGKG5Tb(r^giPUxETJMd3vrI<=5_Yoe1-&uV-Q*R}L%MG-uPy3HQiX^k_pSI$|vgbjV50=qDWGKnb@u z1p0D7z_~F8{n@QAaa>;O;RiKe_(i%mZg1Hi!@in28;?-_=vx6Qj3EV`#S0Ti=WU{j z1|%P5CFKZKE(X7G10^B^6|JNf+(5TQ(v%OqS=Sd^zze*_OZ+uU*?&{gC9iT0J9rG^ z`3QREvRA(S9gDwdKovh>H@1Xfc0tIIQ1Aq3)=vzL( zD+_{Ee%o==orsx(mB&;q*6Z>dwt`Cme}JjFXVyI%`CE%2Ss~s-x?f~Vc|trCw?q7G z13TYAsB$dFkjB-@Vf{nK;Dtza2R48{e^StrV|lhOCzytfMXh_8*s}Hd2CnfJ;ZLX5hNF8$yMaA+yCvxuMmmPy+&#H4 z;<&+>2^V?AzHF=e;$b5gz78Hc>vlEG7_)joJuW@EMWZ^sxqWR%#5p-@?+@!2osn!WD8&h@jL2690mU2Do#sD z%7jU2V~V^RHrrT2)kj7*t1yT4Tryya$x@LUmUL*%yb;(3w~tC$Nsk0gX1k))y53kt z;PpAFWZqVCZIlO*8RHK4u%a!^WAACC-C&DL+Y_4M-A5>WSOU zOSC%+t5VWYrvOp<1IqV#k4^@pw}hNP$~H}YtiI3U7^}w)wfi96K=q_LKLgxw^3u%D(tjmr=8x?c2*HsRUq7oKU-??w&}=k z0-cz6_Zp8C#8|jLI>t_9@8=Zw7MIml!{MyBu_!0Leh*J?vA&2ZXzfU;b^?@+e*rm4sdUxFNE_?cf#N?`D6-VyV^GjXkv;XK@PwY-hNrEdH?69_tu4?w$TI}E&_ zdp}lOcySFY%^XNRwU^kfKG_`ea0x;(@tcutTddF6uV%mUM47F<1M4$clo z7hc2t?mnHG90{msAKvT9fGgsp4Mdz@J<1=+QTB|lT+iCubIJmDe08{dtJcgFLh9P` zkYv(v6_nboIp0?z=}oIN#El$=^cjb}=Q;1LpQ%mE{(Sx$3eqeiCE#NzBSom{4w(At z*=ElUZogFefL^{>{fLZ*8syU}gi(7@g*})yG>@mQe`5x2Qmsbzm^Z;SlLGp?ZNoe3 z{oVMsAIe`9XTgdpz8QgcDKF^Drp_wM@uE)pRh|IM;M$8kCIs2X=iGlgM~w1V0I+;N z>6QaqG*X#Hz~y7=rXmtBA@sbTi6Ig=w@xD~!ekFrT0cqjJ<$oZ8*6Tz9D>)cKY9&* zPhNL%{d%lR=tW3aF!F?K*eSA2v#(0AZaai-ATmNN$g|lTe9y!IPX6K&#U)P*p?>ly zyddRoI~ZW&%C86bmrMVItY6}f@y zg^^KGImj5*)}vk3FBiTbsD88Bg^D2wss#;SpyJ*0Iv$^^UFL3hMK3SZJ zo{ePs-ZZ0=EsDt2llY772am7HrqDx|&3D4YPK`Oqm{JE#3uZ5sZxS2N{+mB1_op(i z@0LZ`MaQR-!r#|iiXjN!n0gy+N&?}xxV(#HAv@9@a|AR;mNCMonfh_~`z z@PtAzE9(P{^ru2K>4M8uoLORTz zy!x(UO=aEc$fE}QUKgh!*Mp?1nLc&0xge3Eur2iWZ)dx(wXC*4Yx=QGoB8uV&9L7f zbV}Xzbe7uu2jVZ!!Fs$M^i0PxJ9F>8iTh=AtPSMcGsV?_l13FbNPRC_y8d!HYff~6 z3Ge08ERv`6bx2VZq4N0YF*l32ncsvN*fraL>&lOi#=3w&NnB63`r9e@piNlZMzWXb zF4;)_kIfOqUP8W^gZDA`OoONSOIYP8;X57am13PR{RWt@Y4Ne7w%HTw6blTJNfxPl4I-h(9qjw;_w8jU=vI+>mmSf zgWlzOzLt%O;@g*z&(8xMjhe|XCjaWg;Fc26jjT-3Gz8E9M&Ikzly>S2LNt-OR^kws@Y z4k)p6KPipH`?K6pmFhKg9A7o04qy5v9u{#H=Xf#T8P@^A$`fuJ{nDZ9cu%pk`rZ_R zti19xEfvMId02n_L0`dir5dmr>=DBYUL)=1DLkIyI0yG z?q#$m@q-+M@gBpJmhM-hk8Fn2yCTjhcIo=lVDDg{J~ax*>q zo~BY2so1Q}zHWE;*TIW>e_a2X$muR*G?gLrY7XsHT=I|Cw+5D!W3tiNUzx)yM`?~p*<_Tz~o%ZUPc`);7-x$tLH?AbW3R{=^PG;s_(RA`!X-{OZ^Mqi8?g9i|5 zg;4Mt-5X|Zg1D@X*@-@Wy5ga6%A4RXkwIrQI2|O@U!n+#vamcQBiMmbC}?tG7t31V zDi)5?&LfJ#Hv~FM7Y(Luv;jvf;BDjgOyw7N+y#zOM7)hNVjeQZtF=Q$midD35IBO^u z>|PM*`XREm{00J|&l{0j8NC9rNuh6@@403HN`bJm@aZ?hlR-%o@h1=t?gMSa;m(Gv zF&`)?^M0*@#&$#s4Htz=(un9`vMYQ1#9wvd`KWJ-ydYng-Z8J(OQBjR`V3)$w1${F z8{L){&m$6grn)mIP}u5WGiD&miP6w4Z=6u-mLAfcu4K#EH1DcW*LWI!+G%Ec5RqI% z=}AND9mW5>Sl?M*5YjHwiPSr5OQsZ_mKnuGY%ZQ({(DDNiF~w549i_LAf|=^dDG^M z&$^pCfBYb6M31YH-8Y7??lDT1M=>s@UuFpA+l$2#SQY5!q7wka!i$=Dw@ty(cV%D3 z`YzfIdEuk0wccN%ZCHWZ?m`JuEij}%F3$~Pi6hk657esx55*8caTH|M!-67vv;I)Q z)K1`(S+OY7>Mi??MIlUnQFwneN+tl^#`ji=kM1)`vF*x?9ro2Pc<~7D}?+#$c)*lTzs$83T6$qmocXUb$cbXq({VzfG3A@G1)wDF%#pC{cPM1T)f z4jI8CPT&*lv0dEo0?JL2yd+Bso_)bY_A;;b&Val&w+&o!?$2^;w*n93^KKL5sK-~7 zq4O526&1$5{;1ogY9Y}XFbBHmvS9iTEKra1W5AX5VAC@Q21odb?elWNoJ*^+Td{NH zY%%wr%(yLY6Zcm76u_)G^hiZ$i|PlAJw^Elgc0&$9#z}2{fN}F8M&F>lNQAdI!|EU zh@jPUKccA2x&o(f(U(2Sn2cm>HR?Y<#rYW4TNqH;BU4?$uHKCN$GM@>R_ni;@2=Ox z`F6Q}0T^Jk5)>6UbhQFD04Y`mPNr|(ATLqMU)|TZt|dB;>RC8nr9F8@LGLDN=vYhn zqSvP2WP^`>-Axc6eK7L-roR0#2SR1Tx2PDxOl|f+JPcF5d4{;?@-f)%lH=h@b>C*f z1(6Q?fk%1oCHF9^H#K!5;{k>HTpSGt;zOu?KHOqzadcIuB+8Zs@%{Hk=EZmL9xMKJ z8)~m!c(`RY1u1^z`S=_#&vV|0&8L9#{A%V=J_k&Q)?K{9#-1B%Z&0BN*ew*DollW@)kN5e?AuQAA)4VY67 zi4W5vQBI=U$`2t6BE!YHaWLUe^pY}F^BO!7sWE;Bcn_@OqeW_Yu*{GIhztk~u5hXE75jz;*VSMPS+St32p;pm&pBgkQzkFYtsk}62) zc>t9&q$!U6WjSSp0@333<@e(XOSwMk^VnWHmQ9RW11IH z-ynL}Q|J-+TVm=zTgM^N_|IywBrbpXJ@f(Tl8^g{10euZv*B5@Z!xeSJSEu?{z){4 z!p%B)_z|C3$&+eC2;A45oCgt2F07q*qhYobfqb})EDscj6WzY6x(4eKYgI2~RE6<& zRp^GB?B`8F^veu~UQd66>r^)+Ke^rF3pjOBz7Br*k;wEJ?YdEt z9DL{Q&WO*gFZwVx_?3r<`aV`zZ$S<6W0+EcD?!l!wv0CcgQdc%sM}t<%hvwAv=Uu) zTXX)+!(m)Ih+o=+#uUN|#OVbIz5oHuz};NFY@y-oc%BfJ-Nfs8An9$^tf)Y>;~qa# z4~kmWbPG5j^+_sgbiUR2^YW>-qKIYKfh3GCEo+y}Ky=!L9scPhf!tAu%0vDG%(dSj ztq!Tg7MDl%E8o(k*?&!9qvIs-Eqf8LhjRJ|Dizi~WZ`1=XNmcp{`Tn!$#QB{?o^BL zhw*je7g^Q5G)eW5bewRZ#r!em6-1Gvrw-Nv2L^;7AHQjs;j!*@CAaB3HpHzkQlG5A zym3d~rKuP*F956Ys)A%IqPTO+3r*M~!fI>Upv#$cWEI~;JDVaYVL+CXT zdjTO;CsA{Lb7s{m>PhAAZtJ?7kdyJjjj-dWPYs#$9iHP5wD_0sey$xjA4a(MUEYhty4ZYQYgmyF)x3f3c9a%IT6ySxtF|}p7^IJ z^OL~euwl%#^l+)F<|eDN)WK1=&;lRk*O@56&p`$`IMUxRb{n~}AiSkz>l3GsX`Bnf zO^b}+hk`r0K4{cvrTm0uFXb9rx3`Bx+wYOMeN#45n328jgkl1EKI=;F>9)@(Rf=nP zEX>()m9?15(c1~SQ&m-`=#JAIjn$rLc~N>L2y$%sRtJavpT5YE0=3?5-j$gjnbD;Y z#lE@bd7$vW3zn3k7F-8`Fl+>M_{=By4?RvHxBwj`3@z^%s0By9#fuVEnZIHHX#?(M z3UG$d2l0<5zh1)+Ze1m>G1WJ&D%>+ke#S)H)#&OY%Zj^u(LSu&fHfqDuVqwC!>M01 z-2bKA*c!84&{Oie-mxs8;|IBBRTL6&>Xo6BN zWpVq6*B27{CT-g;)lLa#V6UiKYLVjJSu?oa$gn_mZmSmbF+P+b_>t!W#k$ zT=X6H7jsuSti6lKZ1!$Q62z^bcB)T( zLQEa1ge5;Plnv@?eHv?6qh*;70#EJYDnO7)XZp^re0&7 z#{q2{IppS-H#VA~^?tJmLzy)KgedQ@K5{m3cS2gSIKz<#>=G|Aq?^*C{0Y7wUL2(Q z+S;+2LU_1F{Yv>?dB4d!_n^b<{>e>VYi~%uU~vY#w=Y&y03u0i{V&n-x`$6BcWlv` zOVwkCIex7>@9$OP zTRPWij_b4wx*}Hq9~zo(8Te!7d!gT*wI*npREHg`-{Yo30_R2#TXEuCRFAtSSGnuy zT6vvz@~iLv-x)+@FAo?u&&D4&V@`ye##i!vn_j(lswWo($=&Kv$3Euy&8<2hO`4y7 zXv#3evp;6}iBnB4_k-mNf8RnnP(Vd%c7u%9+PM$N)`pMjS>&Z@&?G%)E+ueN$6_z0 z;CU*Eoz-baP{i06|7gc;W+UM%F@u<}|=Sq|-FdQl@wweSTMj z={-+I^$g3IWg~VVorE-x3BvyMKgj#g($ zLCsv4-oKT;SL=C4x7vciG{Nl>3qkUh6fs8U`^oV2)%?1k2)wLh_%+e+;%2O!~)9eK-Z|iOKyf%!faE~aPiNm zqD%vF>8WtO{?X1pjB}xd){{8ZnFlHrj}#1qd_EpX&@95ULr=_}J1Fa^MZ$PSV+3(y zjv)T7i(peQFc^|h&owJn*C0a% zax?R_b&eS%Tw3<4RzIZJ1l)KXT|9>Ads0*NN$?`Y>#CP1iW|bho2gwxfnnQi+9!z2 z85r4`hAC&@tN1B1Q*BuTjpr$f1g+PiFzd7@qvi}=NZV^Ir!-)L*}j4S7{bdqr(-o+ zsZ-%i-Mi3#=y|=~IhLL8rR!jP^8QT5Tno77xNtJspGW-_%uWoObK zXK9^EJy1eBYjB;vuqDOZ^vflDjmp{2wz`c(n4>L+iAzO(5#PK0iXcXjyQSIkG2|ly zSS15tVPfskI$8g8{!z)|cjlMs1`Sip;JLeb+sUp#PD4+PuM61u?{(*8X|0LT={5!* z9htb_S_!GaA){4_*U8IJPdoffIeE3VIYjo=WFh>q$XG@54RR!uRPKO=k_Jeb8QOAp zvxHSUN{~Wui>y_rm_k~uB{VEKD4q)S+wtnnoGdM*-IRkpTHdm_jTDgh#9nY^1RpTS za=r{PbD5Z~&4Lz-Oo;%L>^;HbwMEzvSX0z>qWXzo!4y7SBz zlnE$pIkhVw!4oIQb*@6wB#50--!Qu0PF00plPifA(gbw8DX^WGC z3R<@7?u4q9_EiZR$6~EaP=fd!_7Yuvw1C<)*W7H32>&BxlN&fR*{xM{o3PX@#*8N( zLtC8NW+C8|K4Ex^oTd`ICZ%n~7>!rwAJhYK*A+LpoqST5q+JYo;J1@|{R_;%{)^5Z zB5*NSC3g?3j1O6lj(jlXo#9JM7Wxsqqv0(klhYBdvaih0Qs76=x zh)7SN?WU21N0hC)O2XxXv$7_TqrQyc)lA6=%&u70Yu~2DzQ>a)uig{1Qzu+}-oq0m zfW1;%Z_@(1-mF$h_|ArAE)QG$z#q`^++SBUZRt(6Y)xKNR91%d3by@}H>4C0CMvaW z^}lwu_ZBlfZN>oFcq-@lBh?Lc^(0*4Ezf=Hw*>+MgkeV=?vg&r_oB^MQtzKJghJ41mFM%O;14d0oQ_(n8q0bXty5(r! zUkXFd$wW^>k?VUVoNt0d3=3rU=V5AO96aE0sm&#_2ECzg*?6||)LlkLXyMKJlFHaC z8K}RaS-nl_aa(oqr+j@F!hpxN{}kISuyHi@e-D>CB4~8Y_b~UMs@Psm?jIR)2Hc9^ zeT+<;vXhw6=u^5OO({b9tl=;nZZw?W7-m&4IQ+^?XCKL4Zhe8|dVPJwM|P@@bZ=n; z!It;g>DplhT##54nPx9v0LERykzE0oZy?W$-<{R51;2b1+40#0tuZ^bNt(I7O_P{9 zZjL^M&5hkp#{Uy=BRBpSAPG;MEUx~%CUep^H#401L}Hvrm+%e$N13yz#nI+Kt-bE1@~?f zsPh!Y&WM!XL)m-k$$=DHXT*!-g{QvAiY0V2aFfCFN{nRL_3yhQ2w*Yd?={}XrbVs+ zJP?^R8VlWm8`KEfjM+ zbCnyd&{EGX!lE7Q!u$NJ&?xaTTc#jRIOrS4h{;~_UY_SZH|%^flPKt5AWm}ZVxx~N z*{`OT2(5jZ)G~OU$|@=FP?c0z>Cym`wfC zOy=&@KtZ$*89R?tpL1XgiAjCyd0FuU`v629)Cjg^UnP@A6k_-rjO zuA`M3KjY19LC)v+K5vV0N?n8i6G)>vh31zE{GtREVfXdllekKS*UsEva!y#P-5@JBn~0tG9Ru9MCjFq%wvf z-gYD>YVdkYmsVG+zoZ~mT##JnnHuLq`7*6H)k$x41WpMiid%3}FkKCMZT0sgy>(OA zZ-@eWi4_b8>|w=&d+JVxS5WT&lqEfGr!aIIx;>i`6)X%Z9Ezr7>6AMwfkrq6N12ic zY!XUV%ld4^7}#yioBU$eO3-DuJPB!IDIOjr7LK+!yL7gN9991KTcne|a89?nw4)!a zl1KKtk~!_x-G(?NM8Q5!qkB}WZ$`2YO*dSd~ zH7jG09wtq@!@Z<@;Nx>vb=LE<<{9};8Ql2xRyi;K6k765yvLi&G2a;BJKyRE!Jd{Q zKc%N`gRn&GncUUO#ZsgIjM|GZ?##mVgh_-KQS4XV`TD`_;Xw`GTc_e350jZ*uax<( zNbTKX-Kt}wlhOMbzGj6A^_AFqLTm5mnc_A|Iag{rA0C%nsC{a`4?!=IVn~dwEJfJWimx;m8XJFVEV~Et4{8Ug_wYuQd!P%hBb;)BZvo+q5?9G zpm&$7_W;WN3B%ZA-%k5Bmxs}g^S+-A7T@y$YSKm)1cd6bq!uorT z{L>@d^kQ-F)`TP}vqmCG`wz}`-%Kc(a!kVh{SXViZ$iqVjTucrKDGsqY67k(+QoWfq59H9>2&!(dqm*@ zADQKkDU22&U``ZE%ge2;#&4_rXQsPtF*Xf$!0J6p%wmR-odr`sh8;*N-e5k=Wx05mH`X zEbHBb;8E%5#g8vX^Ws@x6NKSgmF`P5sG6>xNeyF8t>pqqJ6P_CVInGuxWn#(UGnzK zx$pX?xw#uZ)oO2av z-Ii=gPSQ`EAT@mm*;*Q-J4wr3pc*Y_KTJbr3@kt%yx6$)gsFwL0?i;*Dz2Me-VcwZ z4Y>2&vVQT8xogGL1nMA#D#hW<7#}xknYkG+j^mC>3=C;5l0`t^kY2*uB@08)zm?X)X8`G{rz3>KM z%=xZ$VsJ7-`{n}vdKRilWB!w2Pmn655i;belX$ImE#Vif@_7{WulM1@sMY&3fn|ZK zg;F>TJbF^MYwI`f1>7|}&^(r$u5Ea;KKHm3bHJyM+3;G<>Ng@gVmy85ockI7* z>O~8jfBsk;>QNg>?S1dh&qzkr#N%}gnSVFFso$fiV9tNomgvJ}8?4-YjQtAUb@Wsq z;riEIbSH(iLQQ8^fT|F$Aw8MnDCQTC0i<)t@caA(n$vT5dfoR7zt4EMdn)+=&@uQh z;QUrkli6II*2<&&`{=XPJ@4ab2p7&R`)tRyg|7{$dBqI@v3Xg_v=zXL zDR69nf4VUX1GNXf-(I0+E{bn#+zDk_6PvE{A9C^CpU)9`2EvfPgAmJ3;IF35%G~3c zd*GKlCR_t|I3z-ux>Jg>iRL28vZ&bl)`LmNav@TXZo7dcTzG$wYwO^eLwE++ws3nT z;UEWe1)*^VY4B+aVBE}PD3a>vt>1b2=KD=UA2}*51_dDHY)v`4EJG6K-Hl(frBSP63?5b04CVXwcplZwNCZ8X?yDBF_=ksy>1byR* z3;-^!dE@G;kwHqW&A&*8bQU6OOR89kx_9c!ybb;an#fZKa^#hgOFxZ<_C0LgBc8qY zXE2lhNz+4e>8$*Kz+&>x1z0gD(jGMNYnzRkFY)I;p4V}x)~d0=oe=b*WtIJn_xYx! zAlQ+p?^LL|u9{L{XHHO@D>=p3ins?!ErRAM_bk^ml?dFGn8L1J2wHrs=otQ+F=`iN1n|Vp_Al3x7w?yM7al$HRsu|J+NuNNB#0`=W%J zwr5_O$_v#RCgvu{4wTde zu49bA6BZ1MgQ=a~@u{ii==cG3LCHnj;X7YM4DIrj8fQ$=VG0C^DFDW@VKd}9EPG{q zscUk@1eQpAy7Gg(=QQ>$>f7ztJxR6YvGZF6ij(e~OpOae0_k9vioe z0!`i3sLgCvFyzZAu7T4booBY~w9bE;{vz)qE*HHsIQ%>nT3?sBJ|bNAU!v1HI_K|; zRDphVnb)4FnU%2%zgLW#Isz{`*L4M6YzXPBf0PP`ywNZ(U)U-AGszA8tijBveOV<8 zNAOw$H@)iyM5a@T+3zAc*;Sw|1(jLiRH}PTm z?ukAULe#~K^u#dXBBSo+70x3nupdhQVcE_eN@j2^eg7y0mgTEEwe`>uVwJg?qmNBWBT3UR{;rEhm4nB)a4)Q!?muGY6ITb~Un< zFx31xLO|8!5<;-PHby}oV7aJO)UJ!N>N2uX5elyLEesbOR$x?^ zn1OQz9mgk~n;=d7DvEFPI=iGE5XV-Pg|swy-(7za3cIvs-jl=oOvj=0tyixARZoI) zwQkz2R2aGy2)1)gg>p111hRkHp{>Xi1CirEjmh(qfMafp6x-3dbC!@^-UfmFMnU!* zx3{Gr!7cr=|L{vy?56IVrX8ziv*eqx9$2GkgL2;c(*D6q|YCiXI_ENA5OHo>sG{+n7V<*@7xM6fy(@V8aTa z9D^g2NTH0Ko=W(?uKcH|IYEj})i)S__CgK!)y2^&GJuOU^x0&s!h2~I5f#jJ7Traj zrcJpkLRW%)!LGsY%hNZK9kL&QJQLo3?`|Cu$iM?bu^xh$omSRzO?yvRo2*fD*#jBq z!FH{MGhhmpJX_JHU7w|0FXgD$@=$giLh>IiugcOCPSg8$$7g?egp|L?r1WViCeJhx zE%R6Z7r!x?Am0HfKNw58%V@xxcxB^oUxn&gXYeg_g}`U(e3z7b@*r2z&st^>C#W>L zGgn{R_`4TH%!k{N#mD9Qh5lk}BZC&r9RA%NMfBL=I}x#9R(W^s>$7mfHhIAWa1l%8 z;6s{cmYG!lt~cJ4^JwoEvv!R!cvCJK&^wrEEN-vj#$l(~yU0KYVgxs~PG;DWq@7NY zGHnEPCSA!bWv1vc&z`XL6NV5=li5De69$GB~SZ5(JRk3$icROV`vhOz<- zeAJdTh2csB_ldoM)S4Z;5Ie@BaBY*&kJ-jpQ(g!-KOMP9jjr0_{1EqXWJs8-$sd}% z`U{B9<`(eWe+H+YTJKAW4>?MS-cmYz3f#R6jibqBbyf^UP*ti`v^1)5lR%3OT}lN0 zaaJG$Z1lD9sv%tbcB@bP)(5Ng)TS++iopxYPrP0iz{7G=yFbzs>K)4AFS24QV7#O@ z9)us}0a$)Ab&}XL7@7Xn(AC3*cD`H`N-~S^0MalH=%-`7OgQ0KE53eg+i`+E@6q(*H?6@G90ae zagP3!sF&r2h#~1fu@p{BAi$_hcX-+0sh6#K^V(nwg3tkR=(bu<%X^)5ehpH zDUCOrpP>137I)C*M`0U&tziIT}g*xGw5{N!*jjKj1wsALpIL zJ%@$NbT2MGbx$6gl$=)>)*H=9O-0sDTq}JhH5_S-(s(CP?haeEJ1p3&wsDaj)nzZD zNcFKxBTUj=l?d_G;w)w1i^UQQ$6nl6S1RB5e~2VNG~^^Yw%gISEHqN;3NKz>Fl#EW zuxEnIWi6lM!HVbZRG?I5ct%ld98(8YNPqCq}loTW`yivj`gWRM0h7OwL}?7iZh zTv6|IF?3Ul6=0!I5JAHSvijd+^CB`;dBMoE3G_R9Jq^(@;NpkT^85Y7oC-@$3-b8s zACkN!Vd~kgj*jqsOUls|M&sop+3j-^QQ(Ri{r6`=nYUOK5<<2*3b$5T0tR010 zs>312vSutBuPc6VGw4M<5N}LjshBg5~2fYg^ zl{Z@yKT@0vM0>ajx+z%}YgL&%Nk7+_dL*tB=Q^wo*dqpSHoZ_wVyCYEDxRL6EUu}F z_0QyLb@k1=yp=!6iqYK5cS^aQm0AG28_y)eDG7B_q@4JS(<@&UI~qC~+`U85jx{71 zOiy>q6=a8N%DR_%<=kES(W$a1Wyz{cZA7a*Si~-<#aZ&KKu+D&uqYU-yvp?btSzPoJ(8P`KxWVQ=&rQRx zoAPDcugV;C6ST+AzTNNve-n=64Ok493{|CjCkPA=xW8m}3!D3$nQiV$B@|3KOs+LA z%)P&W))cP2-oysdiBttO;SE?6bWmzGGw?QH=a=(C$Cs1l7$*ntNUV!yyyJHRM#69` z?3k8p)6TIWZqWYXtt%^`J(p@;rnE?7OX4^j$5GaZFeR(09Hg*@*tyCM(#@=6A5!nn zWTX^ye^o|P{XyfU^XTR0$I&K>3UYloucGcVtM5;H5`P}cA1Sm#0t}%!VL(=a-7|5b zePs$Pgf{RH8JWWsjt9_q3r29B^L<>mJEm1`m6sVuU7oT)Kp>F2T=S=tc-GI{u7AgjopoB6?DK+ z+zWRtDVZPVx!obx!0)3#=}O)g*xVjnxl!tDTB61F|)6w$~bZUQ>W@2WF|+r352l(dux|pS8efB5pt%yN%-cuNT+WHcfuB zQf|U6tF=$<121*_@D?JL5zbYump$?Q+wO)IlxeA()BE-jQcY<1kST{=RqfZat_`T} z6X~*}aDxoh=)CM^Hz-K%%#j>!QF=zP;F6vk9Bu-e_qUvkJ zmnj(DCO{{eB{KV+9Ih|MVvWuw~IyU(czap9nr$sH@ct9H_4BGuD%J~T2ORCw+C!{$(pxmfw z&*;{6;mAE_6uIU2q+*`Sb(|S#%8iLBhaus9+y2K5&TU+f7H!*tNA6rM-h5)_NEP#= zj#Luu3$e87AeNiPAuBX4@GLnEgF?3rx&jkJhDrCCwjOoEH!^i^WU#H#YdIM&vwsz{EQ#d{T)&h01f%6DJ<)knnAeu_&)Mq^tgKU z`x=|1@|R~pW)iEdyg1Z^E&MuJWd@ zZaoUhGH)@A9OnXN?5BS!$G~atYG=HFRg1#G8^3BF_%-qp71yNHlZZ+qp??+_LzdE@ z(f49OC7ml>)|>t2GvV#ZluZ1jWU=*$@R)-N$Y`2V98g!3DAba<9;#&Je^XXC&9{@N z|5!xht0EvBxT1}B$x*f)_Y zTXGEcv?D%WUh44^vFji4`*tr&S2ml!VB!u;y${`lt(5AJizXx1_neqN(vXwT((*)0> z98O@`k>Xut&WH`%7;WdMlX0P1>)b{zXXb%xT4LKMGn$s2eY$Y_xgMftYvxja_G$)D zAizz5)73?4b+Vc3uii2>MMm9*Ta6>w%pE2FaclM z!}SKGji=I3>{Q=OzpFh_@^z7aJAPWB5ALh9X>%TZ_y0&oHDrF`{Y(1Kms2CHmpIqfRl>Y@y9z$^3b8*^P+kIAJsWNvQfz)8d-~%O$({b=RUU>rz z_a1K#8JHY=27FUH&BH_QLK}`qadH4tV4!c+X+WpzUcZb+z0It86KV2GVctfnlmhODka(^bfkq-FwMK7IA zg@pN9O3ie7rSozMkE!k3$UT^a+LIBc-fwlQc}#q2V{s2Xa2omFMri#R1*+d@N{)@L z7Q-1>Y0rP4lo1dSTKJ}K(H{e~@Wo01@unt=X1_qhX&I5&t-8h@)=_9f=Jw+f{?z!w zOL-dh&SrM~(8g@PZ%pc0cHP*ZgCu&yhOYRsNm+GEf<$lTDxK9L=Eh#>mZ)Z6J1g=dJIUlThl-#%iDRE^&u{p`xCb~hc+{=xj>$A_Er2eRG+=2Hbr z**c?^z~Ua3ICJ9V)HI-??B2-z-nDv*Dzbz`~?IXJ>sL=6@*@0H@v&tdy9Lv#M z?N2=0Nap~Isi{?E&@4HWAxg+|>a9D%$lwb3%@#YL-O+oF93SdKbo?H2kq-6f2@86y zLNNJLtiQsTnMO6pIsPK(GYZth3dI~_^pFNRDL)_h725x&KB?)gxv^zqS15deo>8c<)n?y1O`EW5{}z~ZmvJY7+2AfdrkGYEk4Yb#k9Pg`0~b5AeFu> z8a_Y+h))<>PT5mV`8#NfI##0`ry1a3IwC zVCqpasX*MIk*s29X~dZ-Hs@?In^;g!OyFMM_F64`7Vy}t?J9KUBEiWERK7aNk-K*f zZ9yVN01wwN>x2kEB*rBn1IyvBe;A&sFe4RMx6J+TEyo?({x#uf7sS%Go~CX)Rz>n8 zSAOxQ0KPHtcB!HK>O=GmK5_wN%?YkImj0 zqXn&FhLJ-P+-9H;Q6(HN+r5dqYyTc1JP#*nN@CFoR}{QETRcfdM4`HeVSg1Wd8}Bb zVJ!yo$lw7b)horDN?2kizKRAEe4Y#u^$4WTuU&hH_WF!++WYQ+23vz3FuMhokfwS` zNMNOV^D3%8ypUgL$nrnf+dZ6wd=~1a*Uj(Nm9$%56|nGT+XEgkQZ_)e(Qb233Qo{& zDsmx&V(TlA^>$yC`?Wg=Ro<(}{S$lIJqvfMt2^2BKoQfk;3ht9U#VeEU7*M%YQ7Ya z`dn4v+PU1`pR7U`zMh`ylfQeH1BwXrIE5}1W5~-L?f@3q(n%Ydp^yKNIwj}_+u~G$ z1~sGy9N}S?y%0;(u}XY!s*d*Q)|W zsjCwJ&;7U-LauJ}O&qfRqxPA9MYd>jipLFHT6~*Hk%*u4iMU*lCy}VRfq23=u)b@b z=#-xwlsZ&o#81cU)j>B-vN9jfBA35*i+so=EEJw(<=X3Ot$ig>7;H!iVP1|AJhJdI zLKwh+vuqwanr1qHQdM`UB&mq#*^)#&y#*=NgvigPzC{fl zzgq!iQo+yn`AQwa7jC!W7thqJHS^p8TEyyj%gj{BOkd%cB#~wEgW^n{A16vb>-qgWXu-EcaHv5XS5SJD@H(&vdqQo7KU-1Fy=QWU#gM znjW_7yp%BrTfb^~vzvz+j%2zdA5-WLRW!GJ#zMmwY>k6e!;lg-c32^wquFDRREnR^I}tIt%FoZ*?jG{-Jd4S zkKgi}{~r$ax7`$eV?sxA4FTW1L>uQ7-BKl?oiYFtI(qQQ+Sh7#pSqB%;Pl%HgpE4w zmIJUbjkG~yG&;f0df9tlOL?@+|HS+O$d6D$!K-@t&r~{sh6&! z#O*t>Y+ihZBB*jDtmhBwI5cUcQ#R$szCjo$WKhLHg7LiGpNaPSS(hKW2iF0>r1iUT zQOWD@`mI9w@51k>XH+4>gM#Vkx^o0SYzj{=xs%@eCrFbo;q-AN6)rp4aD9Rbw9aHK z^&NG|9QqO9jyBg`g5THDqA@v1WqGO*dzihj;OHiU01q??7 zX_U@@@&8q@9E+d9IqsKooVx4>HHIW^uCB4J-8Y%=l_b!YSpj!y@$G^iJ~}TpY44jl zFM~WZ$T69{Dl2N)hEYfFsr(5#d`PT?Fx^;fP_7`l^4A-Vb#aH<$_pon48vv&^8 zf`-H`Ys@k@02EaD(A@SFZl!PaNW`BY%XXdwH`@Y#v4{12B=k52xWD0R^^ zEZiY3bmo(a^H}(f?5sF_TMcY@;yl9fdicdEesxR9`0V=+5#X%%g8);vd~;8*qWm>`x3CBV)bVKLF-lb zcxhyypQO6X(0#^&Ze7UA!ESJw~9xIUl!WzcLrC2l7ZbR|d>k(_|oCl3ts~hFd9i65Rf<=tKUX zKs`t_yy-^g?Zv)pC#!8-=^>}k#gY^BMAivH>!#BeCLX9!*-gW{O{d|4cK`s(i=QQPo>JZS5u5i$XctajCO_<{?RJQfF+xEC5)eIu&Tm(*@{eoF=DSaQef4mMC}T z>$uUxa)hq|Et~LYP|*i`_#^e7u~9F@+!^Qzou%VlR@F9bAmhQHC*LNYU=N!*|9JhZ z!@D5TgxYYkUZ7v$hsV1Bz_5Yx;6G*dtBx`USh`gNzp-nC;k}FF5L2_KiI&WN?<3bP zi}a|kI$nOkbWDQytgkb2^1=e1RQClB1=wp*6X;D73#?5_iz zd6pof+Glv6vGM2GTwqUvzVnhRh~=B3=>KkBX2*T@mfRb8pOS=wT6Ze(C&85No ze+zTHWC-^lcNod=*x#(@%jNFCdLm~?_Wj+JkT|Y=vk44*iml;og{H_v)>_{jaoRSYMR24P$YDqpzk^rh)&4~ z>owsCsQ?L;OtGFeF}-J|QgKdr>kAJw&q*X+!8E3E6lWlpoDP>0J;53RK+R!3OSG-9!nm1SrmmoPx8J{PR+*7mDti=v~ zEJs3uGI>v_WYz50dI6eo3tc-5(SdlmooU88iO~90;c@<7A^p*ExQ*_7eU=P;hg#sX zQ~c8hC!1S}pyT)?GZa!99)SPbxo9o>2Qzw#a7ev-KQ+^AD~P$fc}Q!@*Dnjk>__h? z)3rGs`~>1yq?)j;JLqNK1sL$k@!p1Yf4X&lplfPPe;Duw2h~(qPCuCaNDcN}2U=AR z7Vq~3m2=Jz8CjQWS095kA{~ovQU5(!wusvow_d#UuhS{ws@mzKfL^-{OTWFF>sdU% zjCqSH7z?WguQEjc+F@zMA-%FN$<7OQbn@*Loo~ASF1OJJWz8xU@97-<_ol*Pa-@*k z?jHU2E8*Q*jG^Jj-l7T#HbLT8-0e}~r5VzRKB7Pg%2^;NI1jGxLZUpKnJ+#eSP1PP znOJU>*}sVAzQVLhVihu+gf*r}&vs)kjbj%hA!vCALQVLD-fC#tyh&Y1d1iT7Y<+s* zKG?gxizLN`oXUFGKZwbY>>#H!cxCgztF7I#E%Px>42g1C71EajvoDD^75fu_v++U$L}iv+m9i?h*tmp{F2Z? z8o=%eOE|Cdn-T8?4EOrBUhTf$`rW#3?y1Vwwl>?~h)_qXJv>D;TZ4dCl7%1EZ7(Ta z6Woh%>uCLFC=k23c4*hiaN()`av9t}c**>$ch_yTiZ6Q=lESqogj29%m{X%yc_dGWO1tI!Tf9E4vpvUTCC*b3ivm6fmU(f zrMCg=GRH6VFn4a-R;LoP6(ZH6$cAnjWI@BW;^IYESn1q8e%tYwT)VN{OY7!44p{=i zkK6kqVhC<)Wcmo653-t=g9RV<<~E{l|MV5ghn`og#<-nggER4a#JgVPX&!&Il_G)PvJWE>&$sLNCX|1BKRqZX1+GA~SNYY2G1i zquKEYLryoY61hC_4<^CDcdvMNfl_~W%gX)}zi)c$*WwawBjS^p9{x7>sp$Hj8WHs8 zusFq9k(A`H*Q$oKdHi`vs0}YOY+~W-5B~5*w8&WJ_~7ZVwS8a5koB&5{fjXD0);NM zPbs{Ziqm0sZ^Tx7Y<9a!e-<3yoq~!>#?9^RtBRB())mX7C%g}h0FHq2S^XRhi5!PL z^fBFv`7@XUf+~ZMwtqxwr!4MfHl>3jB2SWWKf+?i3bVUg+g~c99}8k9fB!cn**Mus z7w@@W*#u|%#FVEGP_c2ty=X}*?n z`h?5PR}A;wSvHnU;9IqC^EUS9G`RP69n{YW|Ht{~`)j`8%hrE9i)FKSt><)dP)|_1 z@WGvA-$}$l%mM62A5-0vy9)r&a6TPdb8fmeeYZcvVy5!2udjgb&!54gyThXzedkCe&@6A@nt@jAf3&+q9i_x*ix)!yVv{5 zjUt)DLcK=Y`bfEJr0wd3Ac;RM$G7D#=)qfHI@xam`iqr+bMEcWZxDH%<{_j%N3uwn75t{)Ss&8) ztZo0C#JLD)&c^%K-oLj_FE@up{yywDb#Oc1+qq`T8cP))2U49xo2ih-$wGxck;9v~ z(Y(J{SHC#S(T3b@3x+c$?Bo430phV(!aJ60EQ%cTW%(z*8K%aT%j2gMS8)QirPNIn zepnvIyyG_bon;d1r|)jAU241oJRh}Ui^|=+8|n=iQo8Yyf^Z1)6q*U#(y`cW4!b$H zBM|Hzi>*1`s4{}>^1EpRy2vogm53NwCy6b2Kv;9jJgm7|SGuJ~0UBl{;)^8JeV9yg4R#4>h3;eUJ=yS&QA zJX_@bc$W^qaxJQ3A*W4D41YScDNb4NuriO=IBN9ir>VxkMw*}=)N%Lm%EXBxUnqg=R9N6^O-Yi)&&t}MB(i@%N?lf zhz=C>!ccfsD5{83S-SWn6~t$RJo2si!0{>wJ9%O<*K!h>`*`=PtrRwPiYn_KR~e$m z#isY*Fo%dgb!u0O(krGaT@OrppKZ#kAJ2C7w-i+3IN?GL#%B>Ph){!yw`C5~lV(|* zgv>6hkc%(9-4;O1!wZU?y;nC%vzrlm8z5m2qXvHZqL39G1HT{NdR@6>8egGz&3iVE z82ux$r)Ru!x*9gyy`^S-Y`^3tp4giW0XjY_W40g1$(8Fw`?_n#xu|Z*%Aw8h2gW_F zKSlHZ1s1>7NzYP?7MQTDi(0#;=m)s;(^FUzW)|5GOANm$_oGw`0!2o=PMAnMhp$`H zUM0RTC~j z_YV0yqs|HhRWq-GeFaj@ccb^cVP2FJr8+C2@8Ox&#Yimi?%_(xHKlza<)to%N5{{a z3bz7tya6XIYs7oUI~zG9i%Z`1e_{^9sUSmWSk5qA7agK1=|l6~@t!M;4R<`CszbtL z__V6%+s!4QC1r6nw<5-ghsw&2!j+`0fyWjmW`vgDy-LM;4KChbEvdhzBiw_5zqv}G zZvvlH4iNM_IF@_Gnelag4_;@j!;#Z!gnW7Ng@i2hU3A}hT8!;0lOZF`8AGjYj1V2(b{4B%=NER7Di$_R>g-^}XIA>qDg1|^ zBv8MgB)9?$d#oqKSi!_WAJV%iea;>3hmw-cM7hQb>*x!rjH9z(IP?0#-8c=(aX{92 z);{fs@P0@{KJQx^Q+S$;&|vPT5KK~>;0<;ry2244bfk?cb@Ue=QpQ;qO!$iZf((5Pj}1T&=K-ro<##X2 z*8LsM?rTn)18ArF5H+^_O1`f|hL~7!|65j=cIfGd&oag`N})ioIJNKX%k+G8ZSzN} zS?6xqfa-I_A7c_;5?x@wQ!XI7lQIAeV(TsjT67hGmBe1WQ7${s{Io$*?0OXX(m@l) zEE*+$Jm>kZU5e)-&6aZ)25SwMJ7i>GQ#)~lTl_cdy@ZhMigG~Xp(KcQhJQ~~;2$gE zTO~1N=+92v^TBnW;>qKg#b=KJx52x3=b# zrx3n;zf(P4Ci{c>dYyFMjpkCNX6WYP6V8f@w-CQK^yy9kPXsq`P^ zy^^YnB(uKT8s~y5QCJN5w(4lh%S`XX9{rFDud0avUnIgv52mnC=y$44$^Jw}!en^E8zNG)3Xsdq4|mvl*G; zP^)M`-==Ah6%AfY_zL!#ELW+i{krq9>46&adEP@#q(c+2IQysQIi48XNuYm&r;h~G zKjvK-h^FqO$X~fEDh;BFEHQ)_jPY+JCw3fsD@4n|0qxrw>}N7M?KkK*^!0S|o^E)o zc*io~*WTT>FI8R?4jixg5mxiI@fLVKq+~YSNg6%Tn=9sRej-Lxj zT{_Q*4d@#-(g+2Hqrnx1`po@!coF<|uP%bt@X@;pyky=x$h2AW{szn+pK@6C37Y^P z5hNDG@YTua*cXT{2d(w@_csPAvZU9oE1vU7m#e@^<~5`}ry5CsQ3`~RQrQIQn5^&J z`-@xp&ew-Zj%=VrivG}_TB5gjE6q~srgK7_@??5 z+_w4K_+YQD#K7>N^oeZXY##A&KYb(t7}DaQW@2jkpMk*v z-=IHOd33eTkV~y`ne^n>xpuwRqdwjbJlkiG?UqU+bnW!R5{CMX>4b5^-t0J-*R4A;kljJtKK z0aB1P!y@vU;Eq#1?q#FT>OM}!Eq2rXmSi{YV&EGvePIG;VO1!;5Et z!b`XiBVMtN(0iMxP2;10#xS`kBJpAzP|Y9DeGwl+&1k>}g~`~G?q2o{4L{4_ncaF3 z>@WK(OLf#ja+yT!gG8|QuwbTZJ#~{XBrHah?kI9}79osDFe;?Xidz!PJn6(`manG| zH4{&6-kRz-fewy^-{AHnUAom@d>w=oH^y@0VcCDfn$Jx@3YaV8+KrOmxvVyOV!7kP z_(JE|ie=B&Zubl-ead=X35Lvk!`W}Oj}B-mp1;`4%^lc=iUbEk_f}?$x0avZ2+a|axPp{#o`?2|}{Qb&c6DzYt6Il*lnN2@Hdylge zof=?dyG@2ZI6h=~KO+MaYMMC%S&h#l#w>!bn*VUGF}v09p_}T0=l64IT!ow5Wfaqy zOymlFoGB1a+yekdhSgniadP_1y89s5vjd5B7ld4~XA(6I!s}}5s{hWiy3i6R7vbm$ zuOG|?uorRVc|=Dw;f0GhAIUPS(>U3agoUn3NB;|WFh{`57CaCXE!9mJ4MGahCUXv9OIxy* zq6i14dnJ2LxOBg=tm`4h1ofS~ytPm}uFt74lKca&mRGiulP?N=21Qgw7rN_YASGcU zHyTlz;F)j$iDK)@TiJu3R#-zb&QlPs4=7RDd!xAcHP7o``nBXA>g!_ATo}T z#>w1xvViEzq1z?kRvmx}!MmcbH*LS1wZfNgMcpqVQmqY)qWc0AlJH3>K>cT;{7NgRy7q2%6ZWZipsFF=mVqk7sm}sv=K~S_?$Sc>i!Yv(;RgChE;Yy31 zDDL-poaHrSV4T>W7BjbQu@8E$5VkUL?*HeKonZY!WVK5sGg8aqPJ@vQJzg97OwieB zqT-q7?^OWkqZ~s8!b)B-MCi|sVdDClvxE+T)P6#1Gd)iYZ2L;Gig1naXYRW+-QXrSa=95RZ`4s zuE!jMIV8u0W=)emt@FGN2~WHH-I=xh6U*PvV9vS64Yl#_y-zVjeZk0@v?vE&Vda8Q z2yDDGWtKH;@UH&1Cz*f4vSjYbw)L17e1*lSr|(v4t%YO7(UFVRCi@*$R54Ucf?RwP z675E7);`HT^U3j8q-+^cM(pfMU8M;<$hWPa^ZxYJMfp#V`D8smp?4`-G`=P4`wH>< zNzvJim}QH^fnKBsdcIC9O4`u8OTrQ8&wi==j#;VNSx`%GpeF-jnrYg>}19&)L!zaox4|h@4{_4c>Cm)%u+$!Fp_q zuZ2SE+i-2^&9axB)voG`q6--p^vV8h2b6AP6JI&U-cWHBR zpEeS2aR~-lm7l!z@et8Vxr$_Tpy#xCejF#fx_^T zGn2*bL{l4GcuJ(S!K-fzlXl`B{5|_)S)UtA`o2EXY|(8bE2;mV+w5F}*_silgkIVk z`9mREb4c_xBj44hXe57SfkAB?!2A9>Qs)LEIFZg2@f zP#Tm>_}a{bV3rs>1yxa_G1J`deJb1Bg{|8av!hnYhA7$Cd$P1O=vu9e!w{4m=rkPX z<_e7TX$HQu#}c#Nb+P@^bF~sCzOw|q6&TyymT%|&An0E%Z6Q{m6`u(y=G42hnRDb@4!1jhX&iK@6hLIT)PiTRb;w)EKJx4e0(vWtM#TyYJt?p6c_C$KjJJQZL& zEMd>Kb|yABZlmt@5qgIQeI253fqq_V4a*$f_U8sg2-5AG=vB`DCMs=FM7$5tPk)$9Dr4k4+=P>d6 z9TR{7lXwvYXS*Z0lH}sNRbVTHa~`@HDDhNUPF%PbhpD@^49cGH_4T*6P)=&9N$ogT zd(~6$++U`{>~LjkpKZhr+AFW8^Y30zu6c7H6N+^!?d?|wLZ)&1L+nSa#IzW@VZ7rW z*hPQD^jU0cb0$T~0;aJ#M*|2LNLj^;Q-f7E(CWH!bon`oql8wm(cvwI!cTwY1K zyx>9AxzG;5ESoJ<9$sv;)DDpzG@3+u?AMFMU-QtQN;#@TkRrbo8F*mk=hS5w(P%WA`>UmM!)`@lQ;z$|ucBL7eEKTeE7CQy)^*5@m z0!_6z2+I%hI7?05`M2P)6o$n=>N3_hs%`_bZL|^kN@gKqa$c&nv^A`JpmAVcz_C$h ztLp?kacI8^RNrJhb$lvtH|t*4eieFHcFKlyY^(LBXuKw(Sx(r?Mw0^1P zkexuTW`Bt0Xx~Ps`VE>6DR8-(SzMT_R8p+%8>7$c(~2&*vV;vR$5*J_s1(-rtk3!! z33l*L6Z|M;3YyhwDs;t+ng%t2^JYnn7>w3$oA}gEAbrCv1|(4#7@1sF)eYV4qL;zj z_nVTVgZy|~ahDgQKzZB<>^Ze73IB( z%|ET1+9l5~fZhzN+YIoLw^sC5-f`-mD=IvUb-w0}&#^leH>ND>+&}B6kqzkYg;rQ) zKBdN6Dpot(Ezw-uS9RXi^+A z-%KtwU9!9$D2q|X;a=F6DL0*-<)1$urooDsXo6SK19m*5e-Zh(`g9WT2Z5YN?BJ0b zHXwu30$-^p-cjhLfWT}7-0$W*dZu}j(pp!0VrjSjsi{v*C@HYMemn9etXCLQP?cu~vfKDR~9C&IH|;8noYL2S6|k!NnRFm?E&)n=7$sxj*5 zG>YmS{u+xSV#$<;x4uJ`u5u7pIOA72=6cGwwTWU#4l%WtASpfUR3H@Y%C`Q4iyYIf z_2^Yvbn1HrWT$H?9Ep&fL+AE+A4fz++~K<)UOn6SdvV=sYv5NbY>q%eSmO=?^*D z2@jz6%|UMebyKY(oQ?zEW8U>P1y${_QF1otcgS z)%AN3R`6-OF%-7lJHb5~ak((_yu&Qz95hzh<_N z9}jj%K|0t1gI4MOMkNOKZ2ZNNVIQaChd;tL#rKTYeq42{|E>wWO-ED@C1pE}-9{iI zesG)zt(Qz(R^{f>k}j@dh(BIi^rrrk5^BuJs)Z&s_lx2`V3unGj|5)Opha6?)L}He zx+6F9fm(ahlL+kZKR)VBIc2UpX55hN&Ue;0oI6s1BM&zyVhU*$r3m6#hA4jp(s1DPP4lQy+>drZx9^dm9!IXa%@8?~` z<=5vu|ZnOqBBN1+jdniuFbQv7P`zE=Q}ZY;dkw!NH~E@A^5h!nq8wjxxnE zcXdYeb@it)07(IQ&5|FLT*U%o89(@LL~;II7%pEH6r$f!-wyUQHV zxQ5{d$87OEgu!3SrbDF4lLBYu(LCw&cykKA3)`y>G9wLczih( z_^obXe1%wN@qMcAvg~)wuEfaLa>g;hW%>1tM7at3n?Z3%g11#OSb>r6IlFNmje6!_ z_xCS?cK56ZE@89k!CPnhAej%b>+vb-tWCG7&JkU3X}YR|zCnGJjHS@lJoGqfL!(Ty zcC z5ilsy`1@Vfki9*H$)1E!27C2-r+Na*!L|7*6NO?~?@3^RcT({BJ^Y6=f}jte2$fLr z)OTGR$CNIGZ~A?D>;hG1=0qGNX5qxjzLIvrA{_2bmvd+_B1zf_C+Bu~D>wGSH3329 zg}Ov>1}oF{Vh1k^>YTdPTfk0fks?bXPIglI_za6qGvi{2hFlaEMPq1W*5|)uH5B(b z%Sv zr;Mb)pX!w$(gknpI1s++Q~Oyxoynq!2(ACx*jx8s!*Tg^5Jar>pWLrZ?81qlx;3JBX*992zuIqgu-(~ zbGt5faoVRbRP05(N55a> zCc*Qt_f4zKb=IAvQY!W(6*^A2k*CStGN($nYJi^>*Z&f2U-%gJ5ihykdc3xBHFs%< z8L8C!_9c)rkpaY~2yV2ctaqW`jmru+^h3NgUgiPZ9YF1UhXX|0;MbS1t3;nP!Eq-* zdi*eXY>o^ZhRL9#PxQh7#3`yC3yATr<3`-4?U;-CA=z|3%Cb86vrfg|9wxfd?Uwa9 zblgPQ$L-g~;g=q7l}euKf-@GG(XDSK@Gx%f9}s5ooOOk^sX+R})n>%;Pe6pIEl*b% z0iA#U@caZ?C~2fN4i`ieJ3pW)*u{lg%*euT%|K1OfAQ0Ny^8X?{Dn0u%Tk*w?%1Ih z>YN6AE^)U}%{D+*1J$jLb)M%JbcVR5X+puPA2d^yju=?t#?M*f%Dxa$pPC(74t3(r z3v2dg=E6sI8U1s71O&IEfQq%(!}RT1QAK(C>9YwF)*qBim)qqhyJutcAI7!PL((Ev z;+UK8I_(5wEb$>(W)9Y$T_v$Pm~YqfJtBza2DGK8q!U0SclxHFHZ|{Cu-4h@#wJ9& zFh%^q^+PRYk#7F4{i{AzPgeT*%;lI^RlZwV@q=2&_=&)++$V2XLC5{P*`kzJEcMF( z!w-7+9(ply=5U;9*_H=_ACnTbgDL#r6j3J)tb&EV`T5;QErQ-*I|B&LxzJ~q$Kn2m zQN<2npt?I*>M*-XTxTLDMn8QV0`2j#gpo36IZlxPTF?}pMML+f32k>_o)|6o3&!LK zEtag8i715d*`(Zz5gla*r0o^@!r)VHLY=V z>}WjpHc24gcX!e-9PX|6OZ$(H+>9XUMm?D%uwQV%P9on#?}dN1>2FAuh?`p$K^Y~X z<42TzurvOP>l>NBdfx$1u+ssH;Q{)>CN}>XPazrT@>s2v>Aa5fOjgp00*poYX=xMp~C#A<<+g4%$vU*B-+oGfWX4Ds*BbFXQ_MfHd%2+$S0&LGDiOJWVp*FER-|L zJoFw+F4+fN-C{yVN8W_rfMMWPWUBB-@sfL3a*wf{2jjx%=Z@BKf~obMB%I_EEYWY_ zT&ab7pv&@+tBfm7KmXC&fwYHl-8z!oF|P5(9tV~@$)Q$BP>?A*Q^s8zM9xQLtqjA~ zoZGK*vvD)Dt*zrVX`u+MtzW@e1IIS-y7iF!2;Zg6;JKE&yPykb1u#(k9b^7 zcm)1E(r_zp)HIs_a>_psWOzKt@X71|Ip6fQw_=$@9Kr1r#YY^*PR9e0M8%Y*=4WdP;0AkqIo}p>|dolDKE}P^ao)1@k$nX53 zfRZNYIQ|M4-^36Q7ei84shB=Oo9reT0>KJ4q_k>McwG`owmgPFtFf!C$wqlI=Q~ZE z>|7BN(g>o;%MiH!{Ih_z=nh^j6Q44j27Orlz5XKLQd0giO`Iz8H(JetRi7|h{*sfd zY61!Qu&C3%#RBwm&0WO`bCk4LbU-ZHDbhPsOU?qfrS6W9%J>a@SirME7bxTEAt%1V z&+{_%gs1-eV&yfmsCl6*F4t+N6x*%ZuRCgx-(WG~t>^3!A(F1Sb-b!(lj&YakHQW@ zdvmmExWzizDnkcjruaap_U--6Hxzy25^J0vG;sZjMo@~=yjmzZYAYsgGl4xub8g>Q z_t-{K80l!e7Q+0siMNvjLV3`|7;<%S1w9S_y_M~-KrCAq^n7q~L_L%=7as#Zg<*`W z@xi;<>M^1Eq*1(j*3X!uZH}Iyb9~YzRf(RO1OEm~jXrx39|C`OWO#CZ3-E_-)iRDK zZc)$5k1Z|%hI*1Dj<8{+^#kXH2FbvhhBA!q!N%*psx-OG+C)kT#>qQ^~Ez_VJozgbqL_IyQG%Q!DPcOqrw zyC;`MiqM??GqV9A+2}Yqne$Gb`AUYgupl}Le7L%YL|th3W!CQ`4@96Z4Qsugq*mTi zuKvvP7x1f040DO&{U{6W@3+-uJriBAa2;5`Fo8eVaOa0RqFR)G;_oqR@ao6|jTXE* z^Vuw3WF6-8XBZ4WIil5MB)hM~U?*nWeNl;ub=hcvloH>qZ6SqyT+KbYWU@z7(&Jti zziA~WW!qTyPY%$cFIe`R%XqiXL=+)!&;sARAuV#HW6-EVq|`e6)RMJrb30bQGX|&# zUom+cbMj|5OWwY~aDI^u!aXjvxbW4&;G4acUgu=oH7#n6g)i7EdtZaa?Nk725GIj% zZl!c?dZW__YmpfXtC78LZhI1qUr6JW2B|@EMU6ilf2@0E6br1yIrL*+ za9|jXO;NvznFeg(-lUt}8Te7nGOFY|D68MMOqA}9=G>{DVm&0hK)sS#>+41~+Qov$ zp9wcnV5UI%?JuHvRQzN556(!rV9np>vYt%4jM&mR2A3A=!PAwv;nrs&743@wOt)OOk(g)G|XxV5K^bU6bQSVZu8$dk)3G2IMi{Y3MRD_(m9 zjtK35Fyqnu*K^;cv9}rP|INPh;F-|sClnR)dtv?mTB`t!s^0{v2SMlT*qexiA=dZ@ z&}nOx(hE<6)*JcBr1vM|z^q57f_ z67A9aZ%rrVS{0C)7sls?rNI~sk$`jMn~zwqeP z&Gd4?DQUtaubOCs43F&h$I-nm)WYPHcW|U6dE3xRe>HVI3tEW)tq212L$!MMVz54? zfaeWiaO^7oP>ct4ed|UH@&)ix6-C+JkD~CimsTc!H~wIeU8&fQdh0EC-^B$E6eKxg zBd=S6ON%EdLf{#k?90nz9-^`KZIUm%EC!H54BJT}K26^@j( z{_Fiy?O}xZ%fz=sC7K(vSrg5TtUo(kEHLm-QoVyzbn(Pg-SYM(R!Wmio+5@jnG2I7 zD8Vz*Rq^n@4!5CeYE&`|a$rSZzG|ewSsOdMVkC@PbIZWCPcQ0BM|pmJ?Lnopm@WI5 z1sN|Osp_zd{GZ`nq{WJJlM~p|P&dCX`>t-3`Jgu*O|+fz;DuGI^j?1ij|e48|BIsA zF`>(t7Hji<+!Hz*aanLMedk3b#`wV1HnaW-B?~k|QV1VPr1i4-|25|Wrd0Dzq=P)9 znl~|~UMWQ|a?R+P)3?BM2_Gi8-Q$n0!$gk4lD9ypl6|&igV;4xOUgnlYvG7l<#J2E z%qPAo99oR5#pTO)KFr!tA}2l%Rhi|yDtw)7wh{cE>c@`Qr?je0`5s_O)2-_bSweoy zs-LLzl|oKGj%eqRwql6a8nEcB@5`CvVl@m-)@TtF0yQOh6#B?P87nAgWT7O~>19*e>)}o_QSHUS2mx z`WiuO3FUkNmHf&(Ddhaz^Nez&&=hyu@5C`>>!BVq6~C4c#7B69#?_lZ&d~&&+}zrC zU0;u4JU=#UkXYqTkR}I$&Q-r1=4ZRswk*mLd$?8tQ>E|n^Zr69b;9%>BEY#LoFjY3 z%X~l_fxZqJLu80P2frrM?Pg&FB{%u)AjAAy7PvzhH;i@nZI!&ICgzICbGN4gDd%+iW!c?43%ckIjlVbbd34tIX+Iy&gRCX`4V+iOeNWs6m{ z#k>2CDJbT`ZKZ7~FM%TQf;AB^%L4f+wjOUjb=1j4Vin}y^tO4P%5gLp@CGe^nov4@ z)`{#}qbC1jzeya9xJT|B$`3*&QYG2Eenk zVXYiz#Xt=-TrWnoh?o@mOYpGV1UjdhW!Kj*wTt`%@-%eKzmN9X-w%Gr2^+_tHOgE5 zZ3#TGwBeLsye=%m`$NyhoikXALar@Ekm>k_`nBGmFdjhi(FtWNH!XFhU01lB-Vo0Cw=}4vFh5FwaE8F zhbE3>j0L=nYTOj{#1RYqZbrB=t!tmp6aCviLXeIwpc@;*^FCAn|z zf>j?x1MPvRO!p--ek3us;mN3$IN|lnOu%mdaI*vp%I^6$n3UkeC%IDR2LJo_~31VxH5rLt%!z zzg)*#*`@8#$^$O<@>I2_ekk-Tw|X*k23bg?_50ks0BdG_Z)DBr3N0|Gx4@Cgp)oV; zb1Cwkte?$mobPGjZF37F!FM;Z6B5mN!95;Fd|eyLgS?b7F7M4D-{dzp5YF#?h|)Nbd^m>F|;tgHF8$J=x?kzi?$a zEStnd1;M`KS&5ys?3Dz*N%eW*zr0R1uCe8rJ0*EGpPs5tkd(T!>c5eRGkGzR%C+*Y zkN~mTdjn_H$dYS}FORdpSocY17Y=LHe6_L&`2d92z!0c9AL6*6>3So}U)O>!NC3kA zC>cIuLsJWN)Fw~LJMt=@7urh637dk%no%AzS?F*lF9oq{JWZj5Un$!DH^pfe!C}%~ zqYvk#`jEW=Vs~Um=3o2`Y$WUsP3EI!C^GPpOOa z?U(>s;#ps9cI{3^#XWsCUg@CJv!L;HaWSxd85d{7j%yua|&-*Au}8`tSMEb=}yuKNZM|K!>(&}ej1UlZ{Wu9>XW&RN1<7skY26-rakC( z)28959WX30-}JP)cUxRSH^gPRZQusttBXcvj;Wp<^JjNgD_`~jUv6$=|DF2Tg`O5^ z<|*mWxl@tq#FbD$HKBB{Resp*oq@z-cgDMk)wu_$wy$n>Ox^l2E*`XDKetl9 zB9MMOhYwgEO`29i>6a0zT6r>VS>3dg1iJL}Q|sPkg_?<)>0&Eu^Gxy_!}(ZFfzO1vZ{py7m$ufZfDm(G+I5lGTmN zsxDfcQ{=&ijuAZ_Zo7#iXQ&S?T%hkKDyLv$u2k7NxGC`F=)_U+m#lJNbc?*zC4Bkb zXJ;J|%`EfXZ+ek+*cekUorX!|S3Morkk4)zpD!#SDr@AJZw=$Lwuxj_R^y96rFLYq zk;q(D^#)EpS;;sG-YeQXi!aQ%!HV3XyxBJ+7g#?H%VqI|Bia9cWKbR)mjK+xIin&P zDf^d-c+KmmrLa7WO6Totyv2{)6`Mb}<4(c7kJ_-U)%j;9UjsSa32#-|4c1_EdI3he z`@eRHqmi2^Egg0gMMzm;smC9H*=K&w!>cvI_rN@df2F>#tBZC;I{SP0K~Ymr zSN&P`t|XA85y@u>BXidSV{(oVxWN)6>bHxQS)VjvcTnmCdvPIb&#Z~2#Cz*@)PJal z8j>Qh0i;FujK|L;N|H-wXm}0dljFCfdMB8z&^X1Z3*-Vr7$7Wh7P{4OLvL$u->@i! z9#@yVBB8RNPr$2kdj>T9>hY>9l0+R|Le(i%%won~!dd1Ad;tEie4H19z_U z>!NIL9QVug-Jx$Vx$4*6MKci-omFC0f#SP~=LYpYODSJwao(Y*5tEhap!9Iz9~WiO zZtF-bkn@G*(W$tjy^jKCe$e5XFJmh{^EIm7!JXYJK*wzd7nk54qxi?lRFFy+|uve(Vz%rHPaSosamuuJ)k* zP-W>}LEYAs8~P^G?qBCTRnL!V#X9kv=7cz7dIVZeDI_YjYp zMF;JqEIcl*cZ-!d5pu8lZ2d@fbbanEEi*u6#OAL^R_xr(yC~T?r=GugJ#L2k5ngw# z-C&Wme|pm{Q;wftbs}%YTdLjz8w&IIMAbL%$3CDF3Ebq>=2IUROB%l=h5+)b{yncG zZieIEy%QWqwCMU-GGso&hAZ_aVtK;?wAEwZ*yg{UlQO%xHQ;hvv4^_+k$o&bxI^^@@+2JJOz2`-Vj97%#Jpqx!sfaVRjc! zw`Mun1~>5{#9|KwKnS59CXC;J5#CW0lUPtA)`jmb(+Y8CZKz0;7&a}7>nhf7&TgFo zcBP~b``C8Kw#}GpV!EdC-mh%^*?g`}C-{4;;~Go%=B5)ZgGU>0(XUK4T3T**faU%! zd7%LB(89tU7C@n~e;d+W>b)lStA^j?Jei#JsK8#>w_f6G57xbm=o!h(PFM1;#=P~Y zn-J=TTz-qw$&_3z&Fm6P1!=EDT+eSI3`i_`73ULhJh`^K;{aEtW@^k(fxW0O#SK}Y zum-zs?xBo`t8)L1Kz=X@zCC$ywzZ)bGKe!T6f=@|;I+D4FpL)~(Xsxd&a#^5knDan z)h|t32pwRKTIiSL^!bXn@W%nC-mPIuelEh}Q5rBRe9fu>rqNL0w~Y>)^ia6ehg@3U zow-hgZaY^~1)<3a--#=(BSf6YZ-`P%4-W?QxpI#CKpuCzH!BYYmBdWEDRm5c%x8Bds!RTw z)d12DKBfX(3M+0#br47@XlsudW)LS$M2$C|NjnJt8RL-q^*?;~QoYS@^~Xfx0U?%m zH5mYTteRisb;lTQ?9M9@tc8W>YPFap$8oYG-s}G8+G|=I$ZoJ?s>C?yA;WbQvwUK~ zIsvm$OlHc{NzJ=n=~vhVa2qk^1IlHR{i8qdUFE@Bh`8@I1r`>6wGN(L?D4o`2U-QE+ym`my%fZ zUjnCb#Lq|~20c0iH4XOkw{-RyiFf<%jOR7awBzydGuDC>Qa6R7qMm@#Q2~SHk-=gt z-9aFie+_5WTjHiZD41axwNXBa=hAezXqukZ%9_7uN1RL;v(5|CGn6Kd0*;Rd)xMq_ zh}=>=Tdv+_GBR1pJx<5D-Sl1sv;OM~6BqYqixtsg=v#5P$ILL#9npj=Y(gT!dQ31x zqkg5Ce=zb{#EzM>cT4ikrDaiW$Kv2}PHM%&KJF5o-L^mLU;`$r9RD4t58nf=KL`4s z2Dn?CtVFv%zMC2-LkO#`^V#m04X;}3YSXKCN;O%yW_h!V(;iOT^=u$!NzRRMW~Hu0B!hw^|Iny1SIWS123-S-JAumCD9_WL?HOAgNim z6jOY3(cJqQOY_>NvXqkAq;^zn`@f+rrErntmV;{MuZpyYZF|wYpzF|+seifxNyieD z+L(+e6@=^i=CrhQt9sP-y~C{>>icUyj3P^^qQZy z&Wx+w@~0#dmX922>)$gA%3+p&2~05S&>a&>;u%~EVKSz4|7>xhKR+TqmAsY;&>JU* zlf`p|eUNgzl6_cUn27`Av*5VGC9P9&gHebI_*R0}x_La@azdPMH9s7+_m)_ZGNtT?s7Z8UEn2bUjmQ}K54KtY7~Ud;$xKhl_Oa#OKM zcY_KmUS|!{Tfbb?!rU~U0dcF7)d>z&wwuI*tp&xkk471tPRMv_{iUCRn-;1YsO_bb zru_(E#dcd)+o0bV*_eP9PSq7Q{axpK z^}#7f$6w{J9cWM+zIlb^bTV+(rJ(2&^NyT|w5N!uJIs%z4`&0Yy-9PM?9{OgUZx!G zzPw~`{}^k(xg2l_w~RX=^ltsHEL!;(j@UgQq2wFjF@kW<2DGLAPtApyV@ZV2PQWcdk4i2@;iYql+ zvJkNjVC(nqH~4A(>G%q(Ak43SoQa`btuU`{_<)u`u?y78xaDlFhC3Re2=;etSs+j0 zc@hqk&2#;KES-Bilkfk>l~Ab`h00--N~J8RoGqPHl2jt6B}wHlryQEyND^|2TIE!! zRL+s(>~_kWG9qUiX67_AW9QlL{(K+5|2#Y}_u;y(_u=(^zVI=QZ>9ZQvcMyg2fzVr zrBloZ{HI(z3L0xziXT##%QI`>pI#%Q4s8{P_V8otH{8V3|7U52V(~poI=X<>wF2h?gC)P!=r){z=~lmc{!q^tvc2dRsvU&p*c)a@ z`!ifN1M0`A(?#oZF;ddjZff5z`OWw}@xFX{+<=C2!hFkHY~XYmLj`#;Z{(iW8g?)1 zc4-yAJvSxTe3w_|>XUbz(or}pm>A-y@Z|dGJvc}$TIgA?d_;$em^X2T{Z{DM@Yyiv zX1iaY;`(nWxu))p6H`36II+bw8*25<}e8!@{OGZ(~O?Z3Mj z4Kw-B^=b&Z6aU!2t=3+JDWFeLuiwzQG+@Q3R;ZhCFK%pBAAi0PIeF$rcC5sN5e>jpD?fQV| zPw1E^=t2zo<8MVz}xpHWWD zW}cBqWB<S8DWk z9eaeb>oP5YzFd9;fkfNIe$jbCGRB6Sl2a9n*_TiG$ zm+IWsL^<959+^t;M3iv9P0BVzJ_#0C8+D$}!h_R`gv!$qgHtmu*TTADE;OR8`*+G+ z)YF^D(YnV~tR;m@r)Bp$l{^L<^TJ4{jl&M|26-2tFu+f>X!yfbsaEE66&G>qmI$Y2 zxp{fHwE$-SQr9|%RZnVfG_+cb*BAd|Shy}6cvA%R`AD$28+%t1FBp3n33gjmTldaY zl+9KoDBuv9X#1MlG2Ddr2a%n6){yz7Ie)W@j35)~-Lv`*OOIy$rVzG9fX6oTCJl=J z<(cU)uS}LWU+=6rAGS|)v@q1ZoY)>{h6H(@e@V+f(G-pF%LZ7Rl`A*C62g==K@0r_ z`}<;cf#=ulpNFPcJ?3qQd=HoCTIgk|6K$!mXF^I;^_*S#9vW}dbKHsB-aVNL9b`SU z=r}8-cS^%%GU{1W1vFDV9pg`SSM9nnz80}TF57Z}|4k+60(lg6>d!?YYKMhgZJl4d z?xLm7$WyBCvYrrktk$qo-b7361w>N!XQURRq+&POfIp9p7$Xum>{bg z2^bMi1Z#SGuqU@P9J})I?Y(@2c+qxLjy>@Pg&+B4eid^&+j>pGAIDI&F|!>$kt=-P zF6Z6giSgaY79T%#%?0%rexatbu#SXVk~j6@H4XoK9u+b604`wYcJ#`kmso#V@!KCp zR|+KyKK!^0G9k~?*=T}3D!C0zogB%sHC@m8CqD*~cZ#c@z7ajI3$KV&Ucrp5$Yk;5 zL#;T8&mSHY4ZRM3};quYcS)g;x;XVOOA@C$x~7#CX@g=;P;udoD1 z>!Z5Fv)mrOIMI7BJn6-jM;FXyJPNXn52AE+5}s4YTk6avXqYkaxy3N&<@5M2c|}M6 z;qc6dBu*?^0euJ^xb5m~CM6DE($W#z*^kpy%}01=O`iSDCtAg3qmdMCr#~^}N^_Pb zcBaqZ>;%L|t9On#zcODE{{jc|D7!Ru>0eUd!LVk2bFO*tSCJjh@V7f+ z-&!?!`EO;!F`CrLcx-7ukHrR{*S}9 zq0^dL{d01V3j2VOQ4!@zT+WkKRV|4h;d$$~0*!fZg8qoV|zKl|NDWCt6_lqw+1E!$_KTx{cvV^BL1ZIB& zEh-N5?(S{~rzdOdi_A7tHp@E8(T)~T@Jp1Q2Nl^- zVNX(gr}^qR2Jhiw7)Rw*nuD6x>#NUnzUgboI44p<8`{@R6PMn8`5Lw@Cu^y_qHe=N z?IQpv>1WE(gyj!#S7gD8Q=U~LJ~xb?8r)vh&CmPGOQ!d`2-Ib&NNUf6WjviLxs;(- z?U>0e7qEuu^NXT#JF^sa1p4W#bLv!4i zHXJ|DF^w7bBis~>KS$(e0gKtc+~ljbqlK5j$CTegHwMC5c9BUNa#O!#k5VM8^j4oD z%KO$jUWR(35oo-^IKY2+KOhS8=p*{qKI$<#+Oo>r$ zpOj9+F3XG5Z><9jJ(p0udBGiL}zTnT;9rru2HX`>*r(5ua#M?F^ zyksE-$TudOA9Q||68~#mcX+&J5j15{orvVq6PFxSo`Ctj~akEK@ zT`Y$IOh|$Rf?1yVku*RUk`{kUKWDdS8OXd0zDw4ZjoL!p66s-*X-c97r%BUud|DQ3 zWc~fTD^(0C)kF);l1aL_B6Z#!NmJ$(M@?_6`c7sxNE;AWnY+6(Yw-OrATkDXDEn&k zDsk)`mf|tbvt>lZ*XP07ysv!?qg)gFl`lIuh5qb9%-RlG`HwfhAO)87g~M7&`SDW` zBWOLr(KWKm*`q3tqb4yDX9W0m#O?TsMaAc95ra0M`f-C_LX~{=vMqs=U&v(XYj7KI zjiqMA8aa<|E)cLS#KmDnnFZ+2!X{pe{$qtekyt=jOA%toE+jYTUvscLuz_opvWq(} zm1})w;lB10wS7-R|Cx<`NmdDI9(J93&o_y~MHak14h-&dR((N=PLX(J+I)mpQCH)j zibW3OL!G_}xj3%OO!82>P56ZUsjFyT6QY~e=$%}XJUrGBUZzlt-~Z@G;6C^yY9H?C>i=;E{2-XT;oRhQ^UhM_B)5=tlavBjLMIOJx(p@JIOBp#LuJI5oA zDZftG^+MVn2%&S$`P4c6kLE^ohzYc9Z#TPs3U!=E4Y>XtPHtEGDrM&lwbC3yGic_Z zeQ1a=SrH^mbC1YYQ6QEB6*KR9Bx6%mh`!}Y&QZr^_yRgskZ{&)l$EmAT3K}6tTyjZ zw*q(3U5V=Y6<{^kiS> z*(*^+!~Khv{x}=6)51fuCyfI?0~(}Ece!&-?9b!322ue1lP~gcp9)u4T%T78Y@b)j z92d}gzlz}5afpbg-ddo)CD`$;ZrgdNlXaf?>b`z6&xBnr)F^lec|* z#SN$*zcWW9Y$le?gPDp^zQ`d35w3sQ zSdc6UFg`xBwLH2YJuA9Og#{r*Q0psvcTVKOrvH+LmhK=Uewv-Fq3M({j)EbQLE&|< zkO~rM;C^$ij3`)`)tX2dGb~rX0xJw}R)%^_e`GQ%+)BTmtH@Z~8SYA-F=|7E+4U_H zEDDu*Ikm7la+JndiJ~Mmw}N}5we~vwQe%SH1Nh34JJr~putV~n^m|xnX{1ItJ3RZY z)m~VADVf1|yBov$ z_Xw|h;US^{`@Cv>cG0b?9GUIxO>SZrohj2#z{=!M!XK=FIejEcOrnZNxTv__=`lK#7)%6r#+lxeg7u( z#*Y$dtTyTk@ETNNe-!#ax#f#jIzqUCEZAW5W$OBupM)W+w?wbk6uky4*w4C}#oXu! z$RU62$uW|D0bf8Ca?aJ)7kI}&3|>zHGisbNfy-qeu>#t)UhBnOG_{4y|&jH#O$<6K^?p%40*Xya6zpkP=~Yrn$8dN(1bMYQMF4`gg*nZ6-_~j-R&6Xs`dp1&3uFPTc!QXkVcLVsqVQ|r9 z-or*eBWLBX-n85%khjLRwsmPTvoY!*K@W$J&mY;M#I9c@QIpW&u8wOOFJz{xmn!` ziQoq8lFiI|hVrqf5*I$X|J+}?{(YNVN_AO!J;DHZ(bv;q81!Vtzv;k~yL4+UYQDj_de+PR>ksRjc%F=X}QEh(`^iS?DZA5HtW2~=QPn%-pWz?pBa=y}sy-B#j z6xoA!Px!`VN4g(Z!pf7gqbd9Gi*{e0K8_#RJG#9~3|wpvjEUXil`|4#9U|KQ6dSRj z4G&`!Yg-0w=UE0~_7k6d-z;#ClokIyxC(e*TM$Wz2D}3ED)n7u!P;YY^uq4n;y+Ov zFwu(pB2a0=&FR>$HPi7!KWUPW+n=lxBYgt4SKaRz(YrGD^L=%1NL%t>C97zs*lKk0R< zaDu&tc2_?2chPY3B^}zL8-GlCpUoSh%eUbJBXQhK-$p`0??#3fdtZn)kMV5u>d@VZ z&roT-PJX)uFUn|Dg>690pZycC(^tl1@j6qbGxZuc>bQ~d+*nvSnC)UUQ7bv*k=yma%`qT;5y@HI7ej`JBb!V&x;`P$uM zc0PGV(8$w;ckWe{S&nt}TO~c6?dp4~ymy!W61>{xSXSW@_8|Z0Kmh7WIZpU=veVgO z;r`XaU&FPvv+^Zj6#Ku432*kD1Av)IrYVM6+5o%DS!>Nq43})eiC^{1wswO&h7360pp->uz;Ki$NeY%9fkpHHM zVUaBf(O0wxl+Y!AZdrhETc1*Mq`;7fUXX2Pyq0bMvz%UaRjqa%;CrD?@a0y!_sJN# zljwlHn^~24RlsQ(nITU?vl{WPL>vT6D(#3DF_8s4;BOl94rmBb9? zj`m(XLXJt-DSI67(on;^r8$Jlm-?;H-UwaUY?|gJ&*499q-KPQMSDcViTtDx23Nol zSNu|>8h~}-2?zo|oPD3)wp_6-Ys*|(j=BwEIme&z)%cokTJ(Z{FNqf_?n^;kwA{tn z$z#xb>ZCvD8cprhSPblsO=JViwR-LMhaRIEw=A8(s#Bth6DKj^Hd?(ft8cryUu%+g z4HdqWgL(_g&%B7PKR4e~?o)j*v9;9nULZLUEwz2gt?I8<&#B9+hZc~RMNP(Um>mrV zNg-lRa<-odeiM*)*bG0y_B%@RcLI!t6fRw6VBQG7Y%4Lj=oy$rVVFd)kHD4^z+!eF zw0A>phB4z&p>-GM+H>$(kqo~M=6NF$y8KlwH^PVdvX-RfyjGAjZa2_QH>O_u4SBB) zt||YwG!zb{lhSW3(ihFKaQ?VtBeFcy@ZjO7C_L3c+B+PEaM50 zLDx#lqMLBNW0M~7`5R?*o<&Df>44RCu;_Fn@9nGDB+U>Ao0{#b(iW`MU-ZJG#BG(R zOzV`Fh%;F17q8U49awC~w$vkff&>1<&S6)!&F3hqKL(3|YW*i;zcI6y63U%9N+V=lWVyw^S zf9qVfnV4ZP4mx3-iHYpqt4>>kL>u7x5ad_BkKz{}(4)5@$7F0qa1kW$u<+0T&4E>= zgJ)bGEr5(eVuK`ha96EPwM;isi<29v7n-fB{pIt|ILUwT9(I)FBaMkQ`NCZk2okxax$ecKkWApM1`+*Ru~c$E=JlFU%a36_i*%pdx}l-MQ2+Q@JZ9^jB>c zxtaN%U(jlBq00DD#h++QknxTFR0#KH@wsSmD-RoXFr*yf_h7cInNq5hDTL&;Y)^7S z&o3Lb6qHblMX1{Z%DsOD95c?3czn?993(&ZFcF1)N4pG-8GGE^i0}LDL0x|kIlr_cDj&*F_kABhG^nXaU$V;7(ZV;|pjND7b zseY#XEq3#L=tW{1s{vmDV<|ga{hP?2xr?axL__%IyR$_45FDm1*E@}y^5eY9=AP!S zuC1=}oQ9Cw*_svryW{0b$p^;^?2j%WrannfpD`O#{3UfZ=RdW;l57_7x_D#YG(ske zCGyJLzv70xbNac@o|y^=eQq)>+t;-p%qTE1yCrVd_Y~no{u^Jd@7+*#{>fl)Zwj$; z&k@bhv-XW4q?izL3z$CR@d0|%Q+#gmyyyRgXuY3&fM0JAek>_*keen(R$Oj9xk;kN1wNQuINX_@Ogz-KWRQvs+~RrUnVPf_ zC;Z@h3%?&#F4IRax6yu1(Twwd`A^#We$7Wz(%$B?(#QF0D+?3mcPA}PWotLBJTri2 zMW}n61-0B`iYS$4=|O7jqgl5jMWW*A|7bWr)5*I>Mmd+ z&?qHERWbCW^9KW{N$a-w!~h)8-6ZK=(n!OiLdcupxoK;z<*JTv@;ZnZA2cL|tyI|v z$ZUaT4RG%PC863D7wDIwfuwsAjLXw8VDSlGU#r$F+4>h+NQ~aBFgWApWp0q#yPF#g z6qK7B?y=)5sN4Bf#k`jBchZpQ-oT?J6iasN))RY!@WT%}3SKb#-C4t3pjsw`RFv>#O%SRn(c! za$nvAV?q-`|MHX!E{d~gli*Ju5rsPD`VU^Tk%YUy7`A!S7iP6INz(zczzCF@wufB@ zb#@wOX%9x0WI~o}B3uyOMUIr)NGhYcPM$bi{ip4_$Rx{K6sRyz&6elW%NXBHe3(dq zVtH~Au|8-?&z&t&agfkwd|`fGXtihdo@cVRvJ_`bvk=LW!qaN3Rdc9a$M-%WDKXu- zp^O~}!Sg>#&(rLyA%i?4Jl#lu)?d~Jr8EEKX^=RCY1OPO7p#V1!EpB_Ad)pd(PS-f-_#pmtq^Q9v?Fz9wYjV8Xnm5hW z#p!}eX6Ufr^5>(1<8RujWYW0>%fgRWO%1LXNa4>+=0o35OT2+B_U^RZ2PMziVqV6} z(QXy&sT)jo?aDyIXCuMUPnG9(#DqjcCo}6(k_9Dg@n;M(!i7QC|ES!fg( zb4E(cT{~a7HAqd<7!T|yjq|TB7a+QvlS{Z(t>U#iYBy08#MS#vYt_SJ;WGeF%G014 z;*pn#g1D>-wVtH^Vem(Ot-P^#i~yi^~x)hOc{45a6{g?5F9RWy5l zDCM4m>Ry)@UVRvq@y;*$m&gG^Pp{T&1U`PUJYx+JWH3H$<}K35(+ewYxmj1iR?R6~ z!K6hI<;V85@2wSTJnLhM;vnYh#XvQQei2x^i908qAdZHOPs{DhVBc` zjiwaJ=GLxi$uW_5i+D#UNMBUB``Cz2~_r&Mww|ofd zC9V(!IdKQ*pb&^|cWKZx-*>6b;qP12+CcnHT21iRuq5TtC7tXiVp)j4_~}TYXEa4> zrs5Tq-p)P7!YGM$&@t}Ijwjx2GeH)?=O>kYP5!Iu1a9+@#7Un6Vk387@aeRd zSP8fw2>~#lMj+U)0_cH%Mj!o;L%m{riDj%o=SCgvzf7Qe%zbG-Lk{5OYeDc=4H|Ot zpYO4$BZVy;XU9S(AX)p^Z~i~NoqIJ2p^HFRzGK#kGUw0Fs6CT-XueEHsqMfrk~U>dv*RJB_4X) zcpDve!*9>(8OP-to#y~u3G30FPoWv%PZur3)5!mzN{-tE1zmAK;~t#ARJNmsd}(VI1>_xx82>_C0GygWA6P?V-I*XoziATUnu1`c#@U2VeX%5*{gGbYxQ+C|88bK zaWDA|sYx})(!TGHxqjI_{UVCtu9zI4jOoSu+)Ti0*FZrx5C4G9`X1*`&j)mxrZfr8|W9EjUeDs*vPZ<*vSe-ukt(65>?r~BdYgLhdGgV zn+Z06hsAw$JXuzup?_&UEl{RaS~}fQ~gdk{hK%sp&mFo9g5-|3!{Zdw-Eh_ z2ES5km(#;yGDj>W#%PpSg*7YJExzH*6zATCpahy`iDqAli?|@UA)5a#R3DdJfs>T1 zK9~&8E8i#HVUaN1DUF=)Sdp9M)*06VIZa6d7JnEYP>v%11afrmzlw(yQ952Z^2~d| z`+}0yI=xR`VJ4r#Y-!<$ALPp;@d11Ee-n?L*tFARWU$btz2*gi$A)JN@>=;?n*IlVk{|G?{0#={uW_*K--vN(S=i7D5 z(wIBphCs&dv!ZLuJLZ&eF34dpk;U1=W4t}Y%x~dNo)-^<4=afcM5D5F>(3PW2U7(s zpK+WOv~3r*H)(~)exc7sqQV3BN`1(SgW*BFzrO=!ZbIS&s>VNfUW4Sm=U%g~=3%~0 zZUe+wWFsno0Ewa0VM4i%} z$_~`1a4rLCs>JA+1$8XnxRnfC?0LLY+9;$vZ-6~?RWuqgiv{wuWK-u4HCbkLPI=g| zYBXs3l~s?*!c89D#cmm&5TkEztV1s&&9qDDSIC6&&Xd=1S0U#gXgslNvchP7xN3t$ zxT!>UXLGlHmd;>fMRxzCpLa)v2ZuaW?+tmDY9p;1M+T$GjxV9UF5FTR*h(GRL(BKf)ggNiQ~9txr$a^cC93# zoVq!{j0|ADsZJ!E@N6KY?|}^ltVdH`_v(aN@n4#R`uZG^4B~&N+PH{zzWF_{AlhHU z7o#pjz3|UHN3PsuFl^*X-i-4suuD2b+YH$*B4tM6=oT~Ce^kk+Kv6+h*AvJot1Po4vlS_I>+#2LYR4;q!)$=dH4X8o^863<4&1qo=J7aWBFvn8}2ZveW)(LY=uRbLm~5%s?uLgD5}w)3xT=o zk)OrSX*Blsmi4A%2$j94+u`-X_H(W7gu|qsrPZZf%3%}hSx!buw2Od5;pv+|%LWwZ z`3OUgb)Wx3Z)s-NVxE(k>E}sOM{XZ-Nl-iV8Gu`YUp`Pco0m(2IJm`b_pSpD{2wgAHw;?C94WqHaM8kkAQL!i#MG7YDnq93F^L+2OB%6;fC;-FDV zy#G8G`l^5*Z)WnxW366QH~qes@fsxipU)KB)L zDkDAubBRlZxk33A#PhqXowkO$wZlr1=DZoh(io}*rtXMtqQRR>mZ2HkD^v2Yz3_~` z6?MOR)a5Uf5n08=!<*+_nGf&aPqvYgP8sS5q?ITB7QKl^<|Nrr_H9EGe|;7N-yiT^ zk!9UyMptkw5sL@bnUOVou7la1DgIq(_vs413t-e54VKVhg~-=}UU))_O$pu!a^u@G zV0i;_Zco}~3w9Hlkem?&BqJ@J7Vb#jOT6>f~V6$jxmrwo~ z`WF2Nw6gY?Q|s_C1a7qZSnf?EM8F97CJS=~Iqv$7=sTjWt8YJtcZ%cv4vC)gKlMn> z?W9Qn^?#Qd-ZAylr84N(H6HoRed~J-AT1H8bL_77>!kb*`^X!pC#XiDn9s(N@>fa4ew^x6@pYpW&1V6%hDFTAKjv7C>t1jG5^xw|0Vl?GN=*9IL z!QZ;m#u=XMQ<4a*$NAeq=WAXBk_jW>>n(O9@k`)2qlDAVgs|+Bfm@JI7q*t|S9UxP2?Kl|zGAIX75TN)w};|AAHR~K%lwOa9zmIS7Z#o+3fxqjlMTF1!MvM@VxOWv zLj<-jry9p5&glgc!zwMR4>*Mx3Zzxu{L&uE#adj+FN$Rzu~fi`@@@?~a_$J3e!T_d zW^EM`&NZ{q?JV({X^x^900?Kkovu5T9L!&24@>e)R$&uM@RwlOy`k8s`e!uWniAou zX4?Ze6rZQAmBCk=fQ@-j*K4TsS=`wPD8YNWdsc2{fF%dXxM)_MoGod4;KQ&7mI+Ao zx~#WzH?uFfBYdy&(OdX%zbPZ-9JYbvv;SKc4%Gh=`Sct7Iq<-v6e~%Z-HF`dCH{u% zF2ux#9*?4wwT17%tv`^O4JmGZMYY-d(|B(SL?^xNf0;}7V3&HJ@|DT!fWC!;iAZBCDmNrj@A`?-bHL3bCe#<$H z3P0I5Tgtr{;a*zd@8m_Ve$33z1Ht@>hv0A_u*a6i9{)Lv7^~PmXpTbwt;r!)RMIEva2iVhW zSluwl%G+Z#(fh(xZC&*{a_I_F-;2{3+oW^)gQG^Qj|Y|VN$>_A z)dnB>z4`JFOZCjUP}Bh9%gfQMt)nNDf`r$D(xre=*NXn*qOTF%4=Os9f`%O`-;R2@ zB$E&-U+WoLZH{E_j`H_CNSQP2@vGzej(N~lSoZ-*-28oh9dY>MU-at6n$f5yuW48z zrbF)eh*orbcu{xzrNOSXLoRis={WzUhO%s1V!r#~*Rurp z^OJ9Hxxg)ILp7%#BwgTZFl7=0|2yXVQ%#N9HMFEay~uXAhTp?f?-}l^c~?>a-qZkU z0QDAi2WaDAr~V5vCs*b)#Sn7M;w)$m`D&rO2)$jehe)Yz*S4swffB<@FDfv4EL|7B zb-5exu4z0a0qZbXlJ_Kh?*!x6VvTkqQ@?A1yja2eo$j~9;OIdhHqQ%<)(90U%H%+3 zg%Tp9`fQs1)&r5?@c2w$vy2G-^|{0kR4s+l0;FQpWg+=PP)Tbk>5R`3_&tqi{S=Fc z!ZKS1g7?gD_lnS4m(OB%we$!nhrfi$^gsN<=07@}DzG{vzWNM+%AP_!!C=@hy;tLj zJ~f=KwR4w&_4sZo!d_c-*outWC{oNpPv+iuN?B;J>%Y%SkTzsEo+adBHzUy$ z@%tvGQ}_O(agY!4PsG&SCjX30A|F}6&STNof`cVA5YWHQ-R_p`8ssJ)V7DJ`L%bci z39c24rgf79^7m2Ny6M{ATVE9p2;09#nr?|P)#(^;OBUEroz0*nBm&Z~xj)7{NGDfg z{A|h_{W;>NYscjBk-NrYusWK~CjX0{3DoWI+%=#ulEU99sw5p*g+(2nH~w@<2(h(- zB&TRSwnm@v<8%6+T0mq8c-?P!9#MQlpxYrO64VpK$2p_sG~TZULM=_M=P6~wW*$RF z1Vm#8B`t$?>7%!XRG5+}g^8-bB@QE(XCTc{Unk5;D`95-Wj-9>?ws~mi6N1!)8^Yb zkp)^TJ|Dty8ZFnT3Nll=nO12jt9D9YU()P)Ookk0`Okn#z&ke=;g<$N&USD|_K$2C zfauOkfDSK1fPnQaT+I7HDu740=3Ww26{C+=L!RJ|(D~ZJtBIWY8^uMY2&mjfiuDe; zO+7P1DyI%|Mv4+W;AihtXg-T)O5Tq`@&rG4x)Uh;dA;`E1GDi{R(+0hd1Rw)z{#xw z^=y{5YK%~ddQqjG5EIwgFHhNsgJ)uEO}%QjV*eFWb8}0v$otPw&YP-Y+(olnc=DlG z$Y8RDw$yxMyHxd$C*!jRSm{o@Olc8$Eg zIVe6Zc!!PAL{ipe1S@1FQC99zbd`eU4jVN)3Ep=?kPVRK()j|;z*t^_hJ6)PTH4!J zR#x$Ng}?}{CNz{-NM1{9{|Vzi3(wltN;IawQJ5j*MnU7yW3uE5Qh?GFl6&rfHD7_> z(Zx)aV&>JI!uJwW`xMj>^v&NyXRF!%y<(jRpd%b}Z+UJ@lmeKt2pW&e8Q&AZn0pdd zpK>Q;-<<+Ngyem~i5&6^pAWo08!&0KC%|(`%@3qM=V+4riJ#0^D~>Aeni!+7s462W zhi)bVl#irPLJBbzQ>Hu~_N|O`XVVi;lN$uPKmvX$H}B@l;f1fjPS)HSK>h*tCp}nS z=h~E`5>H&Qf5uJ;PCOY4=iH24_19e#D)PKv*E846a37r)2fh5~xP#aNkr)h9V3;+8W;dpEmIk>=O=FnPl(lBioQ{<+SOiD0vpp`Qs})!wcB}ODbcAv zW5maoyYzic*9qZOoEh$SgVzlD{p{2$2(eBibRUNAFP93uH%(A&#_%cAVPPGIB#rpK zFk_jD2V=7OIw7+~S!hDS}${MRv^u{f(ftI+6m6V{pDV&5Ar3ZE)W7^VmW+8Dv?{C!n#LFj4uApN&R2 z+qH!!h?wsW%q6wjhC6MLsKO+3?_y!;Twj$5YLzU%DEJb1LEP?{-dl8D;Jijmhyhfg znnb_`kE*!|^ z##!JjEq;sYYnk@oh^0B$Z9$HE+)y2wlL}_vi-(_niZa`SwSu;T<%$)O$^{X@`PJM^ ze)#g~^TOUfJ7^hsTQxJ+{el(B0X+?b_IrzZLh@drgXB*Is{HU^g^h&KcVMNl`ri(V zesd7%N?Cx8bV7IofhkS@avZt{+(#u2<5h>sk9kiq<@_BA%+kLW%f~;(KR2u*VC(#L zsj<|*vC^+*QPSEF5ymGzVa&w#txShryWfLvq6>zGwf+Mn?dtXF-~tAVIdXi5V5gsn7mNoLIzJk0IjYvMZSP ze==h_Q6`yxgnN+$YoC%$V5^_zN=?CD&}(ELFnltWlK7KpRfoPc)_*bzg?sav60uRJ zBV(lYnt=nXW-DGU*C+@cM*wg1!z3+B?e{`_Br?7(r)i6fDENcj(_A2W^gt^1VQNUs zRlI4*MU`7N!XnjqV>SQHNNW#9l&bc;@bq1mSPJ-yd9)4n!x--Om24^~~I8nsj%XL+*xj?N3$4u#(e=W*&UeG&cr zhAqaX3S61V17?*sai+)PaZw>S}_w&qJ(5^7;q6+55I20a!cwbA;U{8XL z=oMwh;#@{a(Ju)e{O=EKmqMN{t#Az=hqua%>>+h+FR@2~qBjy+vvtKmKHR<{u~ZOhenbB|<g$$i#;mYoL9^=|H<4~%tRM6 zH|-QojN0iI)w-mhp0^PuxyC%J>~Rlq68rFcjbkdWw&z}0*jMp~g*8C?T5!uw;SiqC zu04#jm$xA$423s?4nZ*%<%)mBx;&uK?cy42H$J~Ae@*(x0%5Q=)Imzl1uMp z+RboL(-rHN(@*8Vx##ZztND9@>}1pGMvXAoa8n1n{pdZ4-C@xkk|NkzUvvOUc8?-4 z;1VN>8jbpgf4R_xuq7ciaKQT9$om-gl4tszE?)&L54-~20QlEJ$o`?0QXP1B z@++&=?!;;^a=ylBUWl6?XP5FRzm@p353eLAT^s`GJZ`0ZhrV)d&!m-)| zZ1#1lDLNsJA{U9W9^+z~33-PAM1C=XxYPG0Cuy|+fSpE8f#Jsm>N>*C&B9Kp3`xs? z%G`-hK$)s|%nstQ+zHPgFx%lYE|;SORwDmbhs-EC^Iq=o5Z5zpBF9E_${3tM40893 zsYGPI?uQ&W=2SZlYp2yo5izY#PW`g3HJ2 zGoN2BV8gsJwO53jcI?Vz!UxT6_I?iw5zq678@rMic`V4>pgExl4Kxvt6f=|F@#-+@ zp-psjY2=3xWB6 zrzj;t!%D?XyTf8a(p5O(LvE<_@M@d$BAr9RLVFvRD~<7j0%;JrS(uUWN$ge&gn!3} zk-oitoy~Zyg3}g{ZD$$d&w!erDdH9q(iMCYbzB&-$0rAyv@`1D-VkK$jC~WjdPza) zc}GABOpt#~-Z;ktfMHkxh?JQD>UT%u^d6M0bWgn0djhW493|JXfiYrKwDr{F^* zRGV&vv#+GKjr$p%aMj5AR!8dN=EpAq(E|U4O`!D0b*y0-28dNiuM%u#W+ZaH{h(C0 z!LEOg3$RJN31)ubbF-zvZ4s2xoub5sgkCg^7Z56n8#?`^PvBj-nD-amePG4-tYC8t zAa@4r*AsZlR`oLZajP^|16q2(&3Pbr>ZR$EeJu@CC63sVkJeliuHpP)mGXZNHxPs; zi;Eas+h~eI>%+6IgUf%0gM)veF8r5SQyQT|9`$0>m9oT!p(*kQr3~z5Dz2sqR#E`e zY4C>XbVbs*J^`5mP) zqknl7*TdX3Lu7&8cPMXOV!b75&-}1^=N;Pz^u4p4hVH>fyo#n7r!`+|oG@RB@G1^DT5RRR8Xrce$u-*;68cJ7Sv~P9VN>lJMfu`0 zqBj=8GEZ5szV4mCDhV(gR@f1|8GrgpTjdcQ@JC$I&NNB66rhzu!3ugA>|qCvvkI?U z;?H}W{NMk||4r7j9&NXt(x9XL=>*qvEZ9|AbRkM+C4DW=PfW}|TtP&e4hH*l1)URVq_S|^UR@q9 zjN^@(8|VRpKGnylBzTKt!H=`Z50&F%|Ah$a|H6E&UoquHiuE@p?9Ov57Hc*^6m417 z$K9K`pYYqjbbHj@zRBFw0hP=1mggrMp(rgHC`Oo+;hOuK8wpws1f2UsV;0D;@BTlM z&OM&V|9|5lsmM}^a#$q^Ih1n9VUZq*BQ-InB1@Sk6iEahOu69OgWS*_Z6E2*%1c5_n&H#->pOLM8k6i zZh3?KiEmEiuH)Sl!8IFs{o!?Sx_+BF?wu5_1fhylLwioYc6EqbJry9F)Zv1uM>A1A zkZ(VP5#i}f+u7KzJx}BOJSdg5#NrOPkjpVJJRBrH*1BQ3(auKsp_b6>yJDl$Or+ba zvb?$bQUA+4=AJ77piPHsu?5fqYk=QGs$n24U#@7@Y>F6W(-3$C$f6;d?Bt)8&hd!-X3)s2VH2(Vw=;d628jzHfG6+xpW(g%OS_a zaQ^v&4LfpI1jXm@nazoOpI4R*KZoVflwEUi%Zn}Pzr*S-dH18YL?rUDFg?{YZNd($ zLSQ*g?|Rk5YsW&DM{UNec>88S5*+oLfQg_fXW zP9jck>&@q#<*!Qgj@=i>va&aOJzj%MDb>BVSEqZO5BVk7z2Ne`!vc=`@m)1ke?PaU zuyXXcx)%=lAMNFjk5XlbJ3Jy)7&%*7ynF+PCUM*Uo%wSx_F09^DA>n|WLEN->r7(N zcD#Nk^1dR(P;B}Wru_^*?#Cia);J`gkbcekyBbVd9WWQ2ap@b?VFoeNjHBE+#pMB2 z1V!shOZU-M>SW#LQEMEQ@FPzw!`^$b;d$l0>tU9;NegaAatxe5!|Su6p^!;TlR1wy z{4knwPLVrt0MFmT{n$-9jay*3K)Dmi72??1@hbsKtZWy)k0b@Q6Y+524jj${NxWn@ zLlKg730Tm`DZK|%kiQzv2Z^HwXm<~xy8A%yrUho$fk$0y1N$|jl{d|zC~1? zQ4gqDujZNFl?yUG)SE<(8g!;gw$%Y74umyh?K3=J%@lGd$4|Pq^@@0!GiaqlxG-$O zd(t*`o(49RFGOK!Rx05a#R%KGr5=6L-N*z^mOHW=#~v3TMuICY*PW=Cb~%wrO+$Idy0JQ1&Q^)|QD~JqQUaFrhj77u$3Mb{c68Eccjz`GJ z)(7v%^P3x&qe^Dh$)IDPa>y^yt76M&m}gIxx>k|Mi}$A#c6v-})s!nif%s7O=J^`% zv`71=_Fze^fICz<6|oi6RSIBqSDhNek1httjdsXXv!a5u=%A^sB3)sYpf2*HDhOh_ z8@mH2g|=!+L2Z(4gAlEc)@fk+|79**XYgI@C8Ksj|w6U!Xa%8l59U36Y{8*lJPfcjA+PR2CF?`7pG zkwoAg7Wl=Y2w9xYJIRIDkk}naXL(B4$0oBzaIws^luc;J63 zlCvudYi#PFSpRY3ETk-uD~@AO@7_wjkbR6$xly4SQlQE-S@wdJ)<_6>JKlK?T}9Ne z+8PZFp53m>t;StHh5zsoqZYMpYl8S^Co+1 zRW}!#zeW?C9#@{P`vyLewm`T5zG!64@BjecG1PGSR7GKCLdk z-f6cwzxxeEC9jtU?NT9r!!Fd*Z%YGx+D3hj_zM%y4Vmkh%Xn);e5mI;+WJ*j^#hRM zLP!gR#Ta+ANsA<3UH ziw6^0x&S9ij#hj@AaV=qTTUFNqO1JO1+(`uYO8{8=kO{IDFBSf`F4-NrK0qABx*?9 zY_a%8-4$_8soX9^kr_fA-};%NlHA*EX&oJ{hUxJey&bRiM_+rPg9%u^a4vupY!d@_ zy4|?p7z3yKUB|0s|M8QZ!xXM`k6<)rrZ>uz`0sTy{l5ZzKnDXp2|-ggp@6IP!D9an z+K0jxobF@QWFcVr`3s2X{IMarPaL=X?)TgH^))xZ;br>uvT&~g0_HSK;#)GiacdDB z1T+JAWkVMd!eR;hR>y4kYFV$LDqgj6jJ5SX3iPgMyC0>zOCvC!mb%1kc%|CI_?CvvJ%G)y8fMn5 zz}O?M?{DMdPlW9W9H4y5E^r+^>~`79A#UCDd_9o;%s#UemBptTOrCSMEwJ)}b&jIQ zxUnP0BiCqoo1!uS(3Dl~0ijq5qRu`|Mjf-%+6Rhy1pT2(JF(mVCc#|7d;)$oj95lh z(k9ZUWZ?PWwXkh7PLnz8?jKL?osjSknm?OeDFh00p|; zh|7rD9vet%#kV>R;XdgK4MsfWGeR!#&UWwHhHu$;+ms#+uPzEynmT^AOIOX|2?g}X=k?u~7rGtJ z&^`E)j})TTIYJ^zY{M6A1Zgx@q2I^#0}H^%L)C2Qpg6LKLQ2Sj|hRW6;1xOXFKGAM?&r z3c!)F$HcVd&GRD`>e(jn@Y8>kuqMJc5u<|E`&MNbrs2=r{fy}+A1N<06tSL%*U-dfift3Tad=#C6Ji9Q*leq)~@b1 zU{V7U=ia9Fs%L7Sk4uRaCU4en13k4rM_(0O=R1>)M%Kz)mMSyj=?Anv?FL z@}@!*{XZh$&CI96YazTy5{PO2TIhRCcwWz+9VmG1>#$7aT%^+HYHpKFcZ7L*7jH~B zF>*esNgzAykX^n-pC*F#cGU09?$0!-t|Z;Yoz4@fRgnD2>T5B(CqMnmCTqNR1+T7wM9htv+zgpM-Mh=Nt~9(i+tvchp~+qXq;RyQrZbmAkFB3~IM!chl>K4-nLHFaB0^lREmN zs%|&*&Dymt70ZDg2h)`3l9}&J*7~{K`jhR5KO2T(>!%d;{fXYk3NTK8cB(mqtO0!9>;of#n*~*|W{hkHVZ; zSA{ToL+oCcHfcVoDqXyN%1Zp-XyJ+y*%^8qsC<9l4s0N=C0%_BjcBab_1mo0qcm-j zYk{ZyUcFLJzm+_dYQC^L)TVs8mE+Q=IfnsEPCM4Bd1NcRT;{lX>4f{Ri9*o(@sKpo zQp}>;t-SjdTK$bub*AS4uL;6}9x&CjCQ6!IHxeeykU=#!%n;E&kWG{?Cj!W}fuzlL zHp^8088)ZZ^=CqURz3yok`F)alEV4bd6}`m`z5{6T3sgJalu60y|{pFik6J~UhV6A~sj}YgVSQ z+{E!1Q=%`0m=ef$wtOy?7xina=+TgHE10fwbi*<_eAV0{F5h5ho}`laRuQ8^mh02~ z{Wp_kkYh11WoIR$60gES&TfgATi3NM#V{YX#Bdw8)aNmh63(UY&XZ)t>ys+G7eeBp z3O3*K5Vu*6_+y1B1?<{cKlv zepn8qKXl;PEKK-PRTMk48eaeWB+giOv?1=k8}t3X9G~&~J7`4%M$}8P*Io`JDPhMG zXfj&)SUflZc~=Wi%kd}0CTc@JEl<8^84=87c6o>7Q!06(iEdZZX0hXfFpU)#F13S4 z+um+QvGL!NmuY#dE|2oKO{O#kdN9-5s|36#3feeYv-fMd+W^W(U}Ox8fjTzk+b-5} zS@_&&S;9uIr2dctn}QpQ<{C&zTsq zHvgot;)%?%Xq+HtpnzyoXr0te`TKTO!J((K9VQfmtxz1e(Ml*U?Md^m(Vnhjv$hRq+ z4#8^R#AhC1pIFafKwVeD+>At=Fc+@w8ZnsHwLp@fD%9@1-C{Z(7`ntByuJgc7Z z&BG^2tcm+MUnhas7W@|R*xRJ;cPQjdSIN9sZaLasG`w!7^SP45bjwis_4?XZ-4$CW zyaU*$qt#;Kc}o^zFdpj0h0D3-d*@Iu^UvW=+H>A^=nWyg?~8U$T3n>=y=neEZ&Wk1 zL%CaE(s5kS0;;#gfib3*+KNLZk3*)j#?Gh4=6y3?vw3Fmo&RgTyKM_6W7|tzU4uLD zpG=D*Iuy`5u=RS=f|Xxl$Ei`qs^}LO@r4a`pwEvXLN)0NmwX}DCflP1JI9q8(t*{jYMf9FOT}o-78TG`}SHSE4 zjRtq(k)(tdSIFpOMZ8NYp)T{fSKBt}J*#2BIaUw*A0mMgq|=o)?Wr-sa9>dx4#_qj zNT${MOk*S;=nG}nIvnB7Z4Y<(r>taeLxgBQ3rrRMYhg(}QZP~DXl1BoIgwe4H^jjN z04-9`E0<+Her=c}7>s=CXA${AOXlGEeYacri|2fQq}+BQ(=1}Xcu+rn(kK?jCGMqb z=fnhS1vu{`j!Y%I`23{_DqHuO()!b*E0=-c9TLtT;9m8cvsv+w%t=SwxD1I$d+ND1W&@9`w?C-c*41j$ zgaSM26ZX3*>4&<-P3l!+g9%Qxe_#nqgVnooF@`E34&zQO%JdwdnS3Y##P> z|G6HJY$lfmrbe7BJ6?ZzT2+{G6Pslr0Km|&W9Z-kc>MF89rAP?eR!kc={Z$#0``PL zK%NSwY;FIl_ew8U#7#ihvY+knZ@G!3kv_wX&toVCW|+hlKX^*#o^dqE9Jp}gKt zf#7c^`ZK?SOft;zUnRl&;XqZKSClL`WAZDKHu_D&#=Z( z5W{Us#=&?_#ux&-n`qmQGe$5O-b8~Z&}51V;6|hU*9P+8e*kqL-vXAPtHM!EjF}^5 zB9d!bYiF6u7C8V@zkI8DdG4xT6mYyZFH)gnDba022 zSNr$vn5I7cS@H%1ODUXth>AgcSJl++X4QipCv@Ei`QOG zM+x+mb1_W(Ak$-(5PZiT(v75lny}K~&(^_Zfa@C3UF_fykI8gO$v&`&gw|KY&f?Aq z=JgV_OHFTmS`YHuig(T#{{&CvdZ1n%Rq{~1dIVyLR~kqO$g|Nny;jIFZ*3&UFX;$7 zD{wC@de>{NUNi4mFo{(=*k{*f5wki~XmFW$4$`I|ZW3}Ykt+LZrB4bRd0(Dx41YOm z14K&^Q=^}3-eDpTbA>`o(gO4oF6#lLnb2p|{>2|G47>4;>X*?<6pU^Piw`ttan-ik zJr5+274OzvRWxSGQvX^=_NdlUNDLqL#Y0UNFVsDpM2N!0>yw#Vt*(MSF12&=eD2+u zCp9Wf@8bVTVPXfV70S|+CVzLV{Yva5&~lG%ngeX4IxDtep0C&)2ii2rzjl#-&bYzI zmBx9QdeJyx;_ODM-T>?h>z^~;0PepJ30LJy3?%#;*Tnu%ZP<f!n2l8`a< z!y}U+C(3?S+afX%x57e7ZhpHLKH}w=98Ed<1Cs|>OO2cSl!m3&W4)&eK_?%FjGo@m z5&f4-x-Y<4I(a~|ovQU0#}wCc^*t%up86SrM3REwo?#_Cp?1;DgJP3GI^%AV$a9{| zDILWP=b*YFUIG*sNbv*{mAKmR#_eY%i{Id zD=-PZhn$8AUi5{s>Cbn~V4vv_#)@aHVjWsmn17=KXXsMJOjcZL=X|*oV$MAdzC%9R zmA09DL{xJQ9<*!PWIbo@;8evMZ-5Cg6+9^0egBvoOffbjgCu^ zL3|10W8Pa^{4{y7%qjmb*;ka^qcVKMYWjq7uqSd5$ej)P{`P~@+`0>`m`myR|bgifLG3!HPB}MT{Ksg`$oC@m?@T_{wZuy z>Kquu=!-5js2H}v;(>mN%#gvSAL80H7N)hLA?a5mF<`}W4D_R3q zGlgo~rA>&(*%+%0y{@$F>)%#15R0I@kP&LgjYBDn`itBNtsL9Fc67{mG-Wd_(+QZC zZ3bvwdOGaxT`e&IVi21fvky6v{^liR2(Zd*r_pu$DbxHX3(&o^_=u;n2X}s>1S=Dd z=+|-Gw9{;a``ejPcGK%|%PQ9aMH<}dqkw;=L}zox-kM7g@ptee5fV@`qRV^i_GC!Z zGBxj>*DElYx@&7B9h1L2M&wlziAY^aYfl;|jI}J>YoDo0F)=`%#2^D`%7!lk zvCfb9ZjH8o{(KcJk$W5>RLL&XVU5Fxn)>bM(q=H`ZMNZZ;^+263S}q$BEX{A`~lLb z@yvM&iPGLkgVt;2T0*?R_xP)agUB0J@9{U2!1;IMgR@&bW@(6n^*`3O{FocI;>wFd zwnylipjHD%lEmwlUH5}WrKCydouRnrj$ ziBUNcd8kLircnh!E2#Ip`E>XQ5u>4Q*YXLR7ze&u5L`t73H&)*Kem86fN7kydc8>_lRBLK!&l(K4KEwtumlI;J;h3jEYp+>L|fSDo>lm$um<)0EkDk^NzHjTz9t-{Vv4-#kxsc)MYN=LJNbFtAp&l&&*Qp5uHjfiPd1 zj>=mg+bz!*PG)xa6w;-IYk$mlFOa51MgZaylsVt5cq_?wJq+(wYi+(+Mr}fcv7{?E>}9g5ZuyL6}hh zFLklu1N+@igP9{j!~mxtM7N_crM1;#c`K>Kmv{(VtX&7_;~z0X=Dr^5zZtp?R{#yq zM7!6os8i;#)P=fn(!-w1cM7#3D}hM5^epLni-S#z!`b}{F@R+34)L}be^a5(eKKX_ zzBK&4^T4r`wI!+H){jncH{BgV@@L=w=wm8h9nVL6Lu;{apizvXSYGz(jkJy8WA3FT z-TXls#DU~&s$sB&+V0?BQZy~a^eCaaMXx5E0#7W29P!(15D{%-2%Z2eR#AsZvqQul zKZ4b!e$b`$&0N~7{w9ehhz<*NT%q@W->(DhHg73l!W+4ufrGK`Qh3GDPP;JAbKkA_ z;5;L{!ACfUnHvj-1!5^!gyfi>99bw`--Zw9;x3uPDBP<+|dCF0WzbgI`0JLi@{*7bK~7kyI}JqlUMo&C}2=SR?aJE#TaGl zf!`(3YqElInW}QX`sd}JpOvxp%r`-S+6Q^>4tF0D_>Qmo9eS0M* z4!&u41^vxbojO;i)ateT;ly&st7PQhQ?)%{d<%}Ak3|tDtR|@WUzQe`u3H*j?7^SI zclO#x(v)RIJsaEWw$TSy#)Hf!BkZJdm^U|eFv0Snx0Q!}PGX}L$Jz&N+#yvLK25|H z_*{VgO4csm=f35#G#l>wtNKQ~6`s-}fDDP=Nfs{983SeEs>d%i#jlFX~r#T%_;8enq~f zKwnd^BnQdez1HYsnPSTxK%cUzQ(m;am5I=7cWpLMoP6M#j{vne2o8dh{?qP<3E$h# zc-&Z~nr@|E;k>02ETT2A+YlrTsO88-pvAOqdMl@>Wxj6*W1$IZfhPhJ`@NXCr5esQ z$U8Wz|38;eD#;pg0Ze0>KkD)jadi*{XU+(Zfo4|Bn}xV@kf1_O)hxufCCRXG*TaP` z#E0)a%}nNO`bPOuCbQmR>OfmvYp*@~uEAh2KzlZjn){)3|C}MJ*lN#OhPuy6gKJPI z(wD3LVvN5}B%cX4INuP>{612d*EO{|_G;5hneM=epVGMmX-}{tA zdo--v?ZhTwG5p$W<}~}(h!XX5$%ktmXbKU#6+tSP=rzSeTGSh=H)bacoveK>( z6dJnqap9GqcJ8(NL7$gxftMS^mzCVb`ZKnB;l-aFg+J6;B4B?dU9*a^B7_f1zjdAW zdzincW_Lie+ayqWV`SOLtdQlX=;bK4s<1>#p7Te|}kga`t0J4$=@ZHLKEoYr@Wu_M(gW<#@nBp;k? zEYbi%3Cri}H2T2fDla;h&3E_aEp44Tff{YNe8olR-VCZW!9fc`8aq{__2+tz&uP>^ zO;PnQm7E)@dRd8T_L~qX67-tYt1ZkEf57ix+Kc68v&rotZ;tv)K!izfa9G=mD zl0WHo$s!IkasAq27}H~CNflQFwQ_s(R|Z}icpj5yC~rT?Wf>$wst>!`XHNVctU%0l zO|zv^W!y((A5In0Lk?~A-`o}?v=qmr7rI=fmA%+mhb@0xZ}P#F>NJ^Idg;z&gIrQ9 z%6$pm(oQaX3S`=&SEzRb3ZA5ZpDQixK;0MKQ@9t16Sgl9UfeWoshUTPJ>O*z@@>9g zX<3lnZ+{Yz*GslF2D2^y>wHn;I5v>N4Eu}_9avY62=0LV1|AzESuVCoLA?JBYwPk| zw4J#*kMpQ2T{Q)&K$YySdY#f)7|T1BhN7W@s!J@FG7vVOu;4RGuYlsfFP450613R_ zwt9M6f*E=DLEYkR*1^7!=U*l9H_7We{n}`9Z3ZtEOd1KA86kI9Ik-r;LAyG(VTz|X zCyM|!_|^?6HW4-9Y8{Kg#J+=xpAc>|ncNe>f;))SW9$z8auh8(0jyQUlhb;VWB9#4 zxRI+@F?RB(%#-E3LGBZdIRhur>D@-VQ%rhDsfe1fk6mm%;i9%))zyy%>LD>Y%V$*b zSHogTOun3C=c>Q*kFI=}GNsI%j@c_}J@)CtM*UCqxF+b**|A<>f(4hD+{~5Qh_f@q zb-&QMEuTUuo%`zl^nw{65@(8X{2LBLQCzNl2qYYHmCd=~M;x(4#Cxkx^=z-JQsL=E z)2HuvyMSLavE@xEz-!dt4OjsFrZMk%fzUlyc|P^>%f~+FO#7-h2_pB2wNJSo~kkkjV?Njd6=|D8`8vFi1_W}L(9`W9UbrwSRhjN zJ7%3&KwtK~H5f$(Et>TXRS=vlcR_2+x*5F*X=s1#aS+mdiFY66Oj)wHvugH22aKEG zeONS~*89_+YFW@xjeNPMs{5#}daJWxC!-*o|HGJPCU!SJTYJylNp!Hvb6_w~^^2}` zO!tF?p_ZQIf%fPE!k411fZakk)B=qC(MXT)W5DLT*o*0z0}k4#Q9iVka%hJjT)4tm zWS_o14mM<3q$cwSfjc*F_WS^~IqIyr{9B}A;N@FIAJr!n)NhgPkTt8B27h`bEF95K zrUpK*3K17<{tGXOr@%hS+5E6#BPe#OW+XTnRB!hWWY1$NWq8s}w1zNGNWR(|zfy!Y zbn(vlsXKv-rQSP{$ zcei*GcvwJ|6@H_rq{2GSeb~rHyd(J;0m=#v91uJsgga7}&o!qYJs>kH3bj^2+B0XC zUi8@JE!j_Dbwk-9<`xqrTnW>lyfKkRf1eJ~J@0UTFNCa_)D^g5-BF{V8jJzGDHk5mhcF z_KhQ|Y+QzH##zhYW7HIFr1f7msWQ~tJ$tW*$zo@)xD-|--%)LX-@7l-+T{gbj_r7}Vqg5Mqq$p+>wSNH*L zDy!WiCYONE_&G1O62m<3Q}Y*N$6|TpH2dKQ3YbE3zu-}+@Dle+PGi6IUaDwweA}4C zKxPVpg*)lB+XSntN9T+`h&c9x%iUea+hGwGdYu>$5%G=$_kF`{7kroO29$*9z{Q)` zyRxq9Ve<1a1-Jb60wd;%7pTH-_28z|7h$`Fmqb2)53|L1GFk{W&7PKw?j zG}l?Q4=*1WMpJ5K?qhj!3-_D>^h4_d2k_*krT)UQyc@(3c*6`b9;E8Q-=v#>GvJ$sO*xTbataN!Q`6J>oQd}fCuI#bHA#R>n2Cw$8i#ECz04^I<`e=!4( zl4cjpwh5|}W)0~lr)?_9{#!;R>s=(i6bm4eRjw+4wh!4 zWsUbbI!bs4_~&Fae&@!Y{$DzK%aqJK<_h}munK&CPlMd&)qs&mlWCK`)eiX@9bQrf zTx?B-|6e~^0gz`MyGmTITS^adm1=Q-`iY{w|1hy3T6!{oeH;rc4jXwkp^r$F<^Jb?0{@K$u0O+bwsrg)_?ym=I)0Tagv_ICP?XXc;$N;mf7Zn!{7i#{XF1n)_|b`fAP!0r5?LS-C} zQ(O!P=}?a#Zw;6l*)FisM;u7uJKCuC9TX=ZCm#sHT$6&`Gz9lI!qiJvaZ`(o?gwZ7 z$(Gyew5@z^H_WsHDIn4>;|g?1_9?;Wa~m{thxpb1AHf@dPa)F$S?i<#Y1|m8ANgtf z9DWI46jd#Dh&F#bS8L=+c^+zn00SW>&4>Sne^NqPsT_XH5eVhf`UY>ECgphvgzNeK z&X-qhuNN>v1psvZcAt7+;Wi}*1s1$q;98nZ7vit4{zb;79l(jnmmbKSK@3n zxK(A2&fF-8tDWJ$96ycA+j%DI*1{vYHf`dfXfExhvhbgx)|Iwg3AX}nmy;)#OB0zR`0!r zOT~Sy%rw8qicN{-iMNek8t=71ENvfkjd*4+kzWAc2QCYlj4|fbT^8i&3)mC4XFNGi zA{xG9ecvhDib)c~*dbE@PMi`@01^Z}6sXF-ME{GJWVcDPs3IIi%Cnxg{T z7KKsZ%GY51NNDaR*WlamxdqV;nnA=Cm%nj{*29A5mOnxpI17i@-y}|$I>ZLnA^iQ8 zUC)??Bb$Y`>QhgX;_To**6HUBe`xmq%MTeaVQe}tvfD1iQyd|hLfw8ynle@r|MzOHNpq|{?E|C z^d+9ZSGBd1XgzgH*J$*bx_o1CC3NTnBl*vOOW^?tz5tSOyMej{8j6w-9CV-F3v z@c*2dSiHab%YYSUM;ZJNp(FP!d!X)AUgv6&DhykbgxCh@amZC3p?7lB5C8&9B$W;JKQbwF~6Gjw8J| zD5A-1;racg

}4wfj}^Gl3Js*~>ACqccf+{B(DRcGCD4OS4VC*+qN~HNV36cyPIK zpN-N(7NU#Z)&|<`+zRS+HU|Bnnk1XNO6DKDd6%BBSNIm8s{?+P5HU(ET$?!}TKZjU zA)uLil)8@vo)0_K1C3u3fkzp;Q#o}VX#ha842fLPohOKOrnP>Di2&4|xdgtjzhi${ zUZE`?*t*QFcYC_2)do9OB4$nw~U)|3qGh5w=p#_ueb`wf$e!TxHqSw>Y*8%-Z z)Hcqw6Kj@oK%DW(vS99Dh~`hdW!nI=+hJ7BcGx}g;S|G7#20ZdYrZ6HeBlne@?wY* zc@p{!4}|+XB@}=yEA?(#$rluqwDxRI!g{195c7;L*eJOri=81=Gjs*+Z+M?>ynGK+ zOe_5+!&B-Q_-%6u+-~Ls3Utf1%syC+mhmC0wi%tb29LCoqCz+JrPP)(OlFE4_mfzN zvhc&L^`ZYHiUk?nBK0v9H?Cb-qHjyOS_v=PbMsKO<(pG7jU{TMAJ zMZE4w11a&oxi@QvnLh^C-b4TQRwX=o+W?-aAXE18lKyz6<{Ccw!*`9)pkI^mbG{$K;w+qcrcNaIx&qCXuh~1plAG$?(--FCuR@}e<3F18r9c-F zf$o$YXRg)dLWR=KgwH z$63g~(jJ6{I+}(!>tz&7D)HKQ*K7CIex2=dk-)Jp7PB=V~`#10l>UT!c z@>xXDu{Zo(J`I}D31}t$H>|5shdE}{g0-^xsT2I?DGHeo@iH9PQ~22h%3n4hKy2O2PP@L%9|c?zMoqxcw+| z-(!co4^NnR(icrz-sTJTFapXG%B}N)Reb`d3W$d~oEWJyfDQB~ntWDBmKviieiTgO zs-H_`GEV>m#M%eWr=xtIb*MjsSd*4_29EN;_Ql9zaU3ayYWJBczHH>#$oZNTn7Bp% zU0qyd9EN#ydR;NFd+#z`GrBSpw$0!rWm95|8}CpaBlrmz?-|Wub*ixB0T)@+7pUJK z8(Ghs|A;e}=Q$myw+UgGRrK+~aQ!3|r7#Uzz?wlobjdxFC0^M}u3|vmXoE5IB!Ky} z@*930U&vCGgm?0lM}A?nNKvlelyCnh1>e6Sb^3~arTo>=yXau;jHm_cq~1(V=r0>D zv#ubVD&a{^(amV?U}n_nNyJtu$K(dbWRAIJ4%{sK9OOOq@w=(c@;lxIY<`4va2jQa zUDP_b?!mgQkbZGkkY;nh0g>eF~mZ!Vo?5 z;7N#R4ZCuI%*{Uk^GNN1upHtw;l=siKdH~eC$^eW!>ICiWVt->Ddyy~v99bwvHpNz^(fcF~A;jMyqT z3)#L&P4rS+VfZf_7$vpM0^x~;Z#hi`{DymMfSpAbuQ_`;iasd=3AqZ4x10s{4sx5r zJAsfsJxN3>H2L8cI9Bvi2~a*B>N~osCk7A1>K6E33rh$*T<`Y3x8X=;E4yQXO?MTK zxro_E@+zUP5KRPtn*021d694*5w(!g+A*}Tj99J}PDZ{#Jcd{jv!_jFB&*tSXB+J= z=TYvb+9D;w)V9@GNiSYK4F%nY+NcLuEV}f)a5S^P@BC?KuP5R=4C2NOGEgpUS`$OJ zAxjNcfH}8idmZf|r8s5A~4f0zt)a#t?!2xQ=(vD$ORUZ$7xj* zKNEl)js4u^MduLV2sE%S7N2hnFkkduc+?2-`xt8d{7{WXF`UNJJAljrGN1{2{Epgt zyZy;SJR@Ak>rD|+QN~UVP+)u7w*P%X+5kWu>2l#p)RCSJ7ibR2Hj*|w^zFw3fgZIE zP*^l@h71%7<%KU9AC-*6&p4~GO(1?VieVPL9(8=w;jh(9q)Ri?a3xBcOvT2`&#CDG z`1+eG9f#z7Sw=z}tb3^8}l!dj1QaVXuR17?{se%LNV>5`$#Go zX$cm4=f0vlWni7C$Xh_1yplv5WgySu<2=#}FECff+E)g+8LSnd@y*67!o*lWLM5$u z(qKQ7F0IGH`i_|GNAMGcz(RIX`pl8%qq~*|!X8~_H;#i3Isb>}D&>)^^Z;Q@wtZ|( zk#}!f-xRqC`TE6amG8VsLjCo!hq`UeBHu(=*2dP7_vL~m1 zONR+{5~b)X4Y&CH>c?!8eWPc~tPGWRK(=|mGInbx!@fhLgtG(L-!>Lj$E?z9vesK2 zpq*UB1t`FP=<~6jd?v(>8@U{6eF-0!7nM_xc)4D$Pc2@~Jo0i{rvZG>Z-wuZzFqOQ zHU638Vl15#xeF+ga|?Mlsu?n6=H``*t~NpK)GQM{Svm`Y-yQbJO+?_EeE)!$it1#hpJ`$%>?Crgp{ruN!jk8kGWScHMswC9VFC~wtp z4SY@l>`41RsoSzi&ZfyKSOSi_4OZ`4 zjK<#^Fvjg_jkoUtk*D3V9BYS}0Me-8I^+J5cF=AiPkU;5jB3o>ICrl_*s@wWGq*rt zMt5`A0_#C0kS0q5=Qt~5+FrqH-AhThhl$Jz&E6roj673WfOG$ej))kpFW;d%^T|L# zwB4T&SW#>dVU}l`Np;`!KkURvfW(Fj#dn?mORsMIBeuy{*?~yxNHazsB@|5)cm^GS z9uHp7m|x#BQ_<6Ug2g)N*T#fO(l5N^(6WMqZEZhQvWg~75YuJ49qQs#nN2>CD0lp+ z-_>RMe4_;{q}(cQR>&Fsh~0-Thr%pFmk!oXhxv3NTjy%|dWZpl;l{5vICuQFUQ(#b z?mAC!Rrlq2YHLT@=>ic}Pq>Hprf$pzuNf(W!wu)?)DYXf@TrT(WH_Xjs042PvYJBOy#IvU;@{4DJV1a7( z{nTU@a1%4EJOx4BS0K-}?ya#_KOw}zGjE8CT9}f9evaLMoy4Ysnb_jzJc-$|JZ9oa zX`VbQd{k0~F2S4-6{8jzumFGpcR=($3Sn#gj{-NLD~xT$B}~=z@i5hX6hQ{4qY3AP za!eHLsXbhF@jKYCVNtMnoH6Eu<%4@vau+_3GRv9TEzHo7kkh;j3{y|f5fQ4)i2r=~ zbSwBsn7A8$$6tHp%vfH)lUgnvUvTz(!>?lvBVq$9{S*`Yk5pK}OzEb&qEo32vRucd zQ{N0ygir2^j#WDVW-yJq#&-nzpNNNkDWtyq2Vow#(^lTr?}0vb4C`W_6rWow+@-?m;U$j5Fn|wH@M73;+jfESwlkL8X@) zjE($3YY3TRTUL3anxg%Kbg#z|6@ACx9N;z=)m!6j^P%`ege5T7_po?&VANy7Q2=xt z$ZKxEwj)M$(}C7acY!@(yvRWyj(bsWAz5B0-ijs70tEh2Dah|*5(05hFwP3v#nzw3 zC?E;}E}BJ)pW>vG-#w@|$POe(_D+Qw>&}sm;)`S6#MJ)t8!;NRKBW5I;z4_Hu1@ws zL@XTTiLwoA9wYrVN)48(8Mz5n3QRxY)CCxOaI(w++=SI_u?AkSPRRSQUgHpgpZ5Ge zj?O)v$@l-`RH#(a!I@P;C6<))tdmqirBXSJgb*Xg*{M=VPQ|BIPAftsR1S08LW~@i zoXrfwoM&d|({JD3U-#~Rwmr7%zOMJ-^?tq-rz@S)^KOCd%)NiUTU|^ULmZLhZo>Ds zFIs|SaMsDav|PZ~#!2DTJS;n~u1Wg*&HrX4%EJEP&t`W4%iEu5o z60To@=%?Y_i{vV!Qm>^&9J+)W{Fk9PN8}^-ObE>_H(n6|+M1-}Pf(Vf_;KlX$#chV zfia2%4;dWY>>5veK)RUK0gS8Gq$T|9;B|J1Hm4QG1-j(9bRsw|^o zxsAj|PsE086!shz+x)^4HiF@(c``5ucTeEY^_4Hr&F(_W9HO-TGM*@Mszlt4OG4j` z!to=bRaLLsMR#`v z@*FwhZIyt6H0@8Y$ULg)MVAS?>F8CR2m6`EbN_0dm1>h`bW@U1dEaTJ3SPlJ&S-$}9J@a}O0cVFQ_OM|r2W}q{Eu>t^#4hci42QY#+_e`U>=Jx?3g%h4~`AH zC;)92@$CFn*&Zf@cP~t5-8iMh5e|emKDLwU&i`nu`jK) zU?(;DFUmaRh@&s{;N+Wi)$v4B?jzQgBaZtmRUdp4HP}tXHo2=VQg(w~6G3|nzkrXX zfpj?)sZ2BV1(lV@!6+!FFwbsQ6?KCR9Wq>or%d^U$~dpvPzn3BZ4x_V)R7^~dPu*$ zj912fipp`v_K^gHN*U6`-L9a9#mPM@X!2^wYM&t`^^pA}FDz!=+^6ZT{jN;$9TL>k zb02EXlqfLx0kx%m>(M@~6#MRJ4@-DCsyc6o2dfKddLjBcTATtN)1G}Q)!mj;ikuKb z4LS;?CxZbgyLx0(9BP6>JATRnF8Urd_qasnlSGmAm;>$I7WqEDkm-|uqi&$`wE3{} zye3kp0P{+@uJ9hN*Uk5Gu~*$EX2BoYt<8FIGrTUVA@m+X#RdY^Y`oU~`@u#cwBs7W zPF`C(cZNW?vUQej>3d6Of1Z<3I#qb<+~qp&Ur@*4Webpu20Jo^2^H?D*Xp}<$$x$! z_z2@lzhmH}H9g`_=CiSLxJ{-L((g6NDpb8utJm%V^EPJO(xLBmYlPZ4}%-I(LnE_Kp7pfmu7yScv)L(6!3kD6LP(ER5X zIih0JdK^n|ezwg*#acWP1O7|g3x)5)n-%9vl14L8k^#Y&d}V!VE9*F~0wld3!78^u zcfbI3Yv?vz&qda!P59Jty4yG zn>TQ(9vs5ZtgV`rCM)Zx;{@SeYm`4XJw*)c-|#WZco*25K3mVG|fGMQcT z!0x(vpW3Hl(M+)XYyX|!uh8>F^3IEG9T9*(Zj;VB>Resn+M4gQfYV$X&3r{ZoPbT$ zZ%ncOV{#u{Ue2fK5x!h!knydXG82h27vCiKr){!<)ipkgypco5y7yFV-7UUZ<$*o= zF=SVGrmX2U!!FVTFBKF2*95&TdmN)SC>C76S+Byic%g-MD`HaHW9jF);uJTSaPmEG zOy+$u+NJLNCnxFQ&U)HwcZ)NyQ2a9>kP|wgN}TC6=oWMyaTatwUc=;G87E#DH#t6& zyX((Y0(55}wV=y_@%aA!8EeC? zRCzxbz}A>0>t#Lg8n%?j-51!kzqQR_**5jv&*AM&bWH2X zyv!PP`kAnw9$vJ5qfv!$J#NRP}JN%d~xTbgD zgYaAR!zc3Yi13?G`aUY1Xb0O(xfh!5c1X@<1YUZ%jNv|3EQ%eQ zyC~j=k@CL1Zt(7Jn6!x4Bo2RC{+Q+Zoh(z1IlyR)D%ibr>phNud$apJ>ct+b!cUI@ zp8B2vkrDIcdfN-8W5x%a|BWmX`AWRPhu&{W-AjTU8?r)(d zBRkN{iyn#>+1iGp=YOPmojmH7>sobEIke``KAFLauxClsDs0l=cXB7VR7Ds~eRCnw z<3FN|DQh>&FSxqpfNL*I-e5 zIFsF6`c<9NR`KldD|ks;EV9vOxo*p6QmQ(*ky5$CO0*#-R-R;HZUro?$-#Hjh`eIp zhg%qXersRe)z%c|_%@swBRp^zAT)oV?t$XKxOIkP_slQ$VC5Ki^<&D)(}(@(EIIsh zl*P(2Z7u1V=bCjyPkGpbYXrgo)n>N<^zRhG^06{os8MI|;@=1v!wL%DG@1|*gnIeE zqM5Jox{I4Hgv>>pQ6MmOOXU)kOV6yf4hdt60kn9g?9_&a@Bw3nC-VL5e_b81{~rB@ z`e7WPu&ukiLF#-MwJ|%Tv!d6VhPNWOGmxHHl)V2SGiy!$g@PBPi3!GI1r`b&n#o5U zpMm2OF*LOzYki9U?vwy$7qxbC8NRl-1#azE-y`}n z9bZTGQCSmc!Tb&`1+WWo z9P!srSdzBm+a>bn#)$K>1lUyE^S_*UNO!C7C#5o1)K6B2+lc_7PewtjhuE^G-|kDgQl_+{;|bY@8M(8+||f9I6GQ>CLIJwTtCU zJu`nWj7|zz98kk)acHj(V#$vgaXW#dd-X0=Ujksp1|=cg8ZG37!6_#EFEUti?@`}6 zsS^j;wIo#MG%D9QEO)sEP-QHIJ{u0`yX-wEKJ|6!RYLa@_o==C)chDiHQ@>rd8=HJ zPbTx~btKna4eR-$j3puJB5HhWiM%vw0>C&Kfe$57%>t+aA>7?)Ju1QaBaa=0tS?7t zI?l(J?LDfZ+P1&G+1rkid|%z_Nn6AAgK$>(*#{{0#!+MypaXAD_(aeBEdCrwb=2wt zx^?5lTI+<51~NviB8`TgDF=!MN>m0Pmn{k9>q-VHWYd1sf%)4TzuM$~2J>=8Fzm69 zYFJ!0XD^+5OqbUmwwF(hl}jQFmD(Tr2_237-i1eMS2CUqqCA5H#&@Kc1#qdjo@cyb z0=!k8u~Po(C@E8ASI$u9T^sq!j10S;J7bs8&U4V?A@cUQ-~}?RK5@6`nR_pF2Y3&W zh*X1KL_3J{q&Lv+9|?)CEe8zjrStgPOm5Nu@cnv2oV31Qv~Y4wzRZpC^#dUOXuDPO z9|Hjm7WrOsiu{KW4LkRG@{651A#tc3NZqaf1<&Qr97(2{^)QkJLigVCxUc7dc*yHn z?W(ft(Qf(1Ws|l3l2A-m!&RtGYIt z0B`|%ld6P!9J-0_DzTqOLeGmNr`lvrQmYB@51mz$w?*DuL9yfsj{N!l(p!|nDE2Sc zcqQZt+U*+wt!txs#}Q3<51}L@-@x4(U_w0~4zhWkBpleM?O3;q@UJ24XEwJ4?SaR* z4lIn2mp62h%nJes&|9!L;u$pz!A4@|Icju;7gmzMQ146TszacM6y;2G)o+nR=}{w> zyh#AT&})$N5GtiyFU(->p(!uAHjgkfHDe;&WtgJ}fQthj-p9V%R1m8YTkLYmJYo0a6IXgKzp`f^8&3DC7kaKlYA$bv)|LIcn-7INTLzYgk@}^uPDIoXN+($8N4{s zRH#KV?l%?({i9vb5yEaGs>BGK_^tFRu2xqF$-`Uw(YGv_u{=y%7(eII8RD>fGZ+Yn zh-bo<4Ld_NwufjHUyMR}JH-f-IQNg)8CpScuV=Yn>pE|e?R%sd#2CC9o_q&>!ZxO) zaB;G%f^&aUjGL!nBd-QA&U5n|)CRZ;9}fyX+tZ_HRzqbLX;Hgnk=1$hc-WV5jqAHG zwpN5o%U`)ACZFQgZgB3frubwEuP^fE324^REZ>PEuKJA!>6adpU2?bWK%Eh3Kq4hm z+?N48W#S*n7 z3+&9Z2^`80uU=EKFq3+Z3cj>Nw#_oQeE0{DdwAiH7nfZ#L#cIIDnVYGF{WD8;VFcp z`ki8V15|6M*?Ygo~|KWY!L+`H4w&2X|Ug#_>oZ7 z((R-NFeBuIa0ZYE*lSL~>WXitG3LRda_@Td#ROJe=V(LO%Eqr4i2dpFBV4G+bs6^O z?6tGk9j-SgGEESRqJ2Y7o96C@H*131+*NR|_*6R{#KcP_R@3Nd$d!vISVqkAf)j)s zt!G;`qq?13EJtHaDj3TK951c9DaPAf!m_b_Xs~5F0PY(Y@yXr+BrFmg*CoYWx6K^{ zytsUD@0(*cww_8WcUrPdXf40jQ}!L>iDv!|4xpwDyNjpuCBb*xqm4NO;|X89R++Y4 zJU7u`a(bV3KUFIL!n_GY!6cCZ)a*-3!rTgmXAuAki3xoL&SU;J;?BJqq+{M%SgsQP zUK(WLTMBAGGEUZ;ya25z25Mo}V*28v(pGOI0Y1Tc_)SKH(UK2<5A5o{n>QXsB`**T zpO5`qkPNP@W2~5#Bqv@|2fGzu^6TOrjhM)c6NSY?)0BAeX^wPI_2*EQ>^qx59|Xo^ zx-5(=$A(`3N+b}dWvLTwv+{dN24Ff$|Cen5bsh00&Z1zyjR~{|;+OSrP9ALdyyC0{2tHtQacPl9phGB<_+EF*nH2bx{!Y(7Y?Wq<^$qNPQ51 zb6Ca1FRy1Sa%)-Y3?)Fe^v$HuRB)x{NyEpg(-+a>c;boAgj%fz*H73H_efCcFt=`( zaHV%2I?|$@`lby#sMlWs4dxcV9mctyK;3Hijc2?^f)D>-9IRmE8}N#=*Ok?7iLc&^cZPWQB+w_6x!|5`bfIlbK^DgeO zV&Z#`nT-I#Vipqf3;)L~sVq@u$wK&zmj)lRj|n~oX85qI>IlVoNP?xb{?AhOs0tPv zGDPnW0Y?E2I<|X^d~G?0d*JB< zJdBqYaw-MT`w5bv@`D7O0UyMPIxHVC2<->?rA}iMn1oT8MGAPi9@yBx5bfg%H-|qN zakoecoGMAQ9c+7pX_NL_I*D(n6h~(_M_;;#vMxI<)*bQ@*1xPGv|L&$q5C8ejAd8m z#+c8j4KB)WxybO9D;R77UGw#$=3qOHe7t3Jd-k)2Qw)X~E+v9k9 z?b)HpOG1?;LrI#YP^}eO{G#CmDn0ZVxIBmti%XLHs~LZk5#b@|wP{}b6EY_#dm_wt zblCn(*=Z2!d0Ocb&*h$YH0)c5rspHZ;cbV-(Nn08Cr2#HKcn!yOCC2NCvB)!$ph~C zCieeC9~kIQ9PYI#eYl}~!zBg0xU?Hh>z!Ovq!VvwHBt>Iq!Sw?Va3v$zagX8@Qw@) zSBC{2aIRXebRl97?iGH2M|C}vlpY1Xbz3(^yPdE6DgBk|cXg^HEQI4f)_5ZaF1aSn znVh-Fv-1Sx$|*)HO!eK>Wfp_xe;O~fvWkT-tN7eg(z=u%0^kvF=|K-wh{YD32iQdDg-q;dYsz<38Z*-6-JLzGmmEacn0NlADvdOacKEhX=eN3|A z;uNSW4B%&3n(i!u5o~@hStv!j$xZ{xO>?+tXkH;Vp!T@L^UGK$=S^9kbtAl{(%XOg zQngkLyl`I+$xIS+G^rEkAeZGIQTw4zKmj{~3@3 zot)VPt|5v}@q+uu+EmsXw#=t|bWgkq0bO%a%N#5V}~a5_(LCy19!GlsnV4wBK^4R9_|VAzb*A@i^_6 z5*OL*ZI zR|3gd7Lm7I$6P?`FZ?FDP4AzF#;oI%1%8mp54*j`2b|L|m)0#a=b@lAs@60iKaQ+g z_Yd;F`iLOAm1o=ulpeEUdc&t5-je9=i@^i2&9x4|Q8NA{=>1tps0tN$qJj74z!m3l)bVoxj)d zoYrG4mmTjwC)q>2Q+^lM-GN}%x56&HJ7}PE(R~6P+x}|R{>wc=d*C;8rEHdd8!zne zuc2-pe0-#Z9td*vit1c})Qm3Oe2ayiwEnYN8p`L(NF|c?t9t;L+vANGk==9pL-dd9 zwj75?A%&m{q%83BXjLkn#R(WHOZ6JGcn7ZPCm03vStUw(`705Ld?l#T&&@+pzKH+* zrtVpADRpy>LQ-zq>KPK^3qDX>xgS%bqatAiB3FyyyyCjBjU~k0UgZFCW zhaUasLqUBUSf)<6*Ma?27a78a*J~?bynzgWg?k^KJzgGDGRg4cIfYBne4(0T%?lfK=jzP4taxt;LYu0@ zdbmw9Af445I0*tx?v$r}k^aSUHmd9EQBUPQ#U(mTiITQ`Lx2QkZ)2;ltkx8xl?Tzq z>FLT{(n^$mepA+1holrZ%zMQl z;W5nNdk_Me11@z*xzBQ!y$bJRnJhUY!5nAWh&>dsVe36*$Nf;Hhs5UrDp<>#KW&zR z1$!NRXsA$;y}i^A5%u!#rXKyr^s;q2e zi$>5s$N8Y!kjlZC;6IlDr#v*gc40i;0bZpo2%5}`2>Ra$S$TaE;(g03Q}D!i&%%NB zgEt!hm(^`Wr%|ic;ajlW1k!`tC*l2i<0|Lh1IE$-_tB3l!3o@igT$ChsFvGh5@dDR zBg5~(#T@E`DEM9UC6*fEf7OHHF-7!f>`69U#M*V_t#q)}v1(=ifbY0#pFKG!cip&M zU)&DLPoDEAT)TxZ_F`nt!mEkp-g+3Y5rszHoJjY)^$JC@mDN&EfA?CY9R>8W65a=k zPKkNb>*Cg)-vWSZUZPriISmIHO7r-U>x?}7eIe=t(%L`$rxk>>kfXbr;NX22mDclR zR!Nt+v9#op@Ejb>YnwX)IMkE8cDhc*oqr1TY83{4*V_eFC5p28xAlXYID8 zJE_@${dJ-W30p-!jBX?&8v!ZD8~@`swHDF)O%I}F0%^>Q*L*%lrVOlXqTHBL`a-QZ z$sUji2lE$cp;t@Fi~iZ8uhB^3VdWm;E>`(j6SQ8KOJ66g%UasO8z_)H#T5}B*AXVX z9j$ou9=qazpg!us)o5RDyIxKd^tj}o=z{7PdRrP!&GQMHSM)p57rc-l#Q0%hEH{Qy z73r`@D`!j3kdkij!tXm)vXsH{meCG#a1B}H1L>^Cdkz0QyukP79RF8WIdjyd0q|{$ zj$@4u{MhWBT*5y4x@?K40XsyUomGOXo`p=JJKss{#ySp1@%6^fMj)m$gY0Rj~mS0zM>Zemf{BlG(Ef;o0-IAKrgp&;+v$OB(y(echiThlii_j;sldL#AI*jS${x zxl%{#m9smRlPe&PL3+om_40L>(y7ICI*h=$yZmHfGbJ)>&_50gYM-!}yRzl|G-qlu z&m8;)*q`8`Bi9slkg!eP-r__Yb%%GbWGo>je1l!jn4zhkZCrILS=V6MCo7#0GcOSN zrhSuIyk)+epC=a6wfG{8%#LnmQ~?}j)-Hk?Egb6x9z@6rQJjl$@v(y}jcq+d4|Iv;9w=Y~Mz&y={aNx=)dPW4m-J&W$hkD~ z!f&#huBSXUX^=(BOvH$al=O)esK zfqj46MQUvUVOrE$@`Vun^kaXttcKLVPmV5bE4OOi@tb@#WymXt_ps&=aqVzV5N_RW zHO#X>IkM&ju(WvO36*qq{H*i1UD^SAP~i0_H^S04v?HPxyA@ve1RwQuscP1sSlbo# zKVIWcRZ6^2g|Io!js)KcW_Xe%M`$q*>{}t~*+!odXmIQs#T< zAH$01+v;Xp=^uNG0zr#mJA!}Y)3X+>M>A-ecN-MFvZE7qOLMI^HF`Sf*?illLV>Q0 zU!PKfYvF&|{kJw9GyPFIIK97|uI!9vWfDVVhIm)CNP52`w_?3AV0)8Qz~JHcF{k6_ zPuo^lAV;J5M;q|D7g=?r{M};w>ijs~#^Z~q|G&m(vY*Rg;pLHJBjPR4Z+qT=8#J`c zP=XLiTt2)c$ZAR!10sLzrMA&m-(L)D$unKanEB{y5Yrd8BS&#kxQlS7Mvi)F^9zDf z`)kXcfF-Ej!AYv;_N|lcX)Kd+khACg6_`g~1)Z_6pnhkxSKUp9UYM`wQWPN{0#mMZ zt*q;yr|wt1SdE{zTgVA{7kFQVDptgKU4;M6`h6Mb{-&~|e_a!;^x)2xK=Tz!%XBwC zd6`(j132(L3icljNPir1HG5;J|CT|M`G& zrd~w)-ra&`ES{P8v;MF4aB~D9q}_fbxwv!8vk1Ig;-RtwTUwmk4|x?z`fS^liuP@5kJ?Q}u@*GcmgBp=W{;8N7$3H)ISYh8-j%%*Nz z{#TgRy7Bxiy(1x9P386}zdP!Kl@H6QY5jS357L4EK*NH)=_w&)-kZ?M!*O?ae-{jW z`ZOnbmS+G3R)l1!bCJ45Ep#GkM z$}jB&>ZRF3+o)~*K;ucJ+{-&4gdCrE)cC@S3kGFh+_(FY629KIKeeVfi-t5CW{>Nz z=~$&N(Lp+s2SkisaaM_kMh=}}+1H7yB&5r6i`Za0oy8622$oxR8RFs(>GIb9c(#wF z=|Ks_E86_dWo=c;z74-l;p5v)DhZ18`Qz$IZ(w=brHLD2qvgj^49iDx){nBU$#GL; zo>$sHrikJfWw~EnmI0#_csn|OPvE`Rad&kabHaGl$H1wWDmKPs=P7~kG4EY;&`Rq> zg-<5o)8dhvfGCIY876(ZjYCa;`Oew-Q&F|4`cs(CwTm$kY3_cmgh^S& z>tX8;3kIwfW0$19>!;s?#LBY4G)LfVN@W=vklAoJ&9qV^(v8PM5s`aODtM{9UxHwx zqby;3jh*EV-cXfMu&(cEACzzCz?<9ycni+4#&fHplT6IosP0==uD?if8WDbib>az! zb0L1-6+B_y=5zC|=b7*-n_jLs#dJ*p>FVfWnP)w)c4r^NK%>#fYI4Br2x_xyR-TbI z;)zo{zHMq*d)?0R`@BT2oS?gndc0lx@NahpQ2HaH=AdIdSCYZe*Cw&{_rjlNySyX)?PYmAJ7=w_PjpS4$cizRn#Q>j9` z_p_Pxz8%%BtyEP|s1(v0i7?0C|a7#~;8GacvmU*9!iCXrgYd8DZbb z8v1hHdn7f~=(r^7)otm#l`U%XV}wDyLCtT-6Eb;Sv`OGbj;>+S=e;-T3_VT#6}wlY zi}x2sshpI=DG#OjG``HV>;;W2nWb4RD&rX@0G^egcpmzc?^ZWX?CsfnI13qsvpHVI z?Y#J!fSt%Ps5T)L4iByBdOZS;&~8=`n=csV z@E_WvV4r>1Nsy7m;pv@_{F(SCx|%P{ z>*ey^Chnj&*9~*$TQq?mm(}O*QTG6X&{la=jM!8|w*%jyH}oFl^2vnyh+}f5s*1R7 z$S7Y|KUCY2c7BL8RD8H)XTYNsxr!e>aW$Ra@;tGE33bGJsmTGXwdXgduzkO*)V&Mw z=y;7~vW2QC&heYmcqQ{YhUwO8`wc@Zt{7wJP@8Rd9cWIm$&m(-1hp7)wh~w z6&^|s2!cT)|Dbf(F@=#GnjB^zq?D}>Xtz}PVH z(+h>Ad1wdHKk5-)=V1HKC;S91#yq8L1?*b0z9OFS?Ie|4f#f>tmEX~WLi1dr%3H!R zU;A}9=X(bffuCRW5F9>xc}II?99x|t0?#^(JpNCyHLnp{jjjj@3zWHuf?Y#K90b6!|&E*iY z?`i<`&8izm>;7%(v{)v>cZdqSYwybH>0G;Qv%c61F|+pmDcLszHH6CcZC7G~B6oN6 zw;rYkCvWjtgA74^f9JW_3bR_5P1tnDS3^8h85^GWgZb>RLj3rZ3r&eurvan?21YK; zm}sa|{5zED2o|NCtMRBEn{<5dCgr)=-%sLeJ-(Q$aZa>ZFhqI zrc_&MgxqU(fSLb7(AIEEv@1(9r0xZ(U-X>RGLQHBm9U~@%hp=~gHQZphgZlGYs0lA zMxyyuW`z2~@TVR@ag7W zkoP#xFJpBt|M7y(x$>S%d1`0S)QdW46PoGU|9L6a5qgUtzMMn(@Lkb8E-CxJ$xyY~ zNr(D&-u;>=Q8S+yR>vD6e_M_1N+JwOhKQoChoLG@OxIC=Tb9nlt_^tK4?_JF4rtYB z)P?NUX8Ix6yd5dkJNzulo~j40&V-v0WHyf0+Pk5_+Jk0|)Bn4Fp=zaWQ3R>y*7tKe z9bh1IV0Hr?cw@he(H3(+GwX00<_ zfgils9vSyIB7%MO8lEc>-<9O^e2xA-p|e7)@n2A`dgNLL?##Dd^I-fL;`Dh z#=i)>Ft;r|e0~+ScA6#J`z6=%qZ&NJ#wi@U`&q;`TMj%5`(pi}6;rq^em*!Va37@A z@DK+cV2Y+JriYY$D`&rB>M~)pncJWtk@LJE72QkkY$x^lEx>0;xWr!vPXVT+NHClT=6s-!mV^ncKL_vix1$0;{cxHR= zGV;`K`aC|24e~oaU}R$!hxRtkNm#W*X(GOza71Xnb5u9 zAu7MVk2qDNeiv-F&9i(%O@CMtxSLt-DMv&g+W^z%h-vmdN}MEZ@FGeZD$yZScV~)M z!A(E#g*N|5O}1l)l!a;wn}d)*NPxRuJ4IjKG;oDJ=b{3 ziFFwLSo%3LvN)au`LAIC>5pZZy+O18h+ZZk0TS2Ln)dx+}?y+ne+ zwjMgYa~t)m0khimx%TZO%T%}#!Ww-wEg^WyS^TUz{AyaR$#`Bd;Rxajc4|3$v@~>* z@z<#RO>s3eeLaVf$V`xTt#Y(H5*@(mdv+OcaiCU*dD)!>W)?}#JmHF#p)nqX=g`^N zk~{}=e4cYNrY}`sTY4TnT%lW2E!gcjT0FptE$q!rfVG*WU{WY$NHNkU9DNK5YiBL@ zrpCWNGqLu?UsP}b-I?Gj8B%DJ8NlJbY0t+?27Pi)^ZtWy&}qobp%IeuxOA#dfkjS1C`?c2$rc{ANVNRv3Qr(FxLK^?{S9E779$uJ$W)o6==F zq%4D@x|>Peq|SjZ$0dl|xZ9gl>cC%iGs)MFsq|JI?mIoa3mXrhT(08ZOHYSqmbl3}68J zjWzMuAb70fIM5N)3I$kKEjO}5XxZR~XnxqLPxvnA%ys6#+QD>LmzIxsJ5m?e3>D=$DuZjMF!r{o^ z)RlmBbb5glp2S9j@CH|3tm-P^e@rZnSL{01u~=KuN-p}f@&uGNr#kt`R9vjzod9~7 z7bs_%%MGV%qul~i;$BXeIs&Jl7K2~E_1ya1VpK(AzPFp7Q2dnma(?t|`6SxKJ@Yf{$S=sDV#3iHcqwI(H%_V5j8+TRw{AM0T8 zKgwFC{4(c{Or8-CY&GmvaF#|q@0KEM976k zpV^)xYs~(n8oOsh3fUniVowv|>L^{V#ThLpO}}S0@dc>-`I*26scDiwU{w>G1GV!~~`ba()+~enV`1)BM8{hvVn#ZZ$S} z#L3lIZ|mt4y4|xq*!a6Vl-#avw45}#%Hf!sa4y7(4vS%>wpG!420j)I$&0(u`IHT48S$b@%`s|22cK3oT(XF|EGx{G&(!mpMC1Y%w9DM$m(#) z1qhD0ctrtB7cU;SKDcP}iz1JlTzz;skUwF$Fhr5wO$V)RHKnJ=T-h4*?{?ec_rEl2Wrq)0dp+^g@`hT_%rbB@#9Z<&a{v`LQos^NM&()IB>dJK`fW2 zze_Bq>D?A){K#WHQu4p*dHno2-7kDxKlak%SpIF}H|)!#zFtzYdz(8Jn|OQt6zKHM zc?$jFVB6b|jRo`j{pXsIj7~Qs_ybx0s)cY}!{A9PtKkA0jR?H5D zlF$e)=b=K4I2r!0`!}t?%Z^ehVYu-+H;*PK!r!u{7-vV{J26X45o({Ifu?5Q+yeRf zE!3mKv>=|7m^zJaF7@lVpYv8Q8FGJ779n=qDi@=G!Rq*GyAxZi0~#A^1^Mim-S}zc zdF%aNpIZd>BZGQhcIX%15%XsE zF>*2{*0GPZX&s>uh4wI;atgml(!Cq8r!M{D^TwX)j!%hi{WMD~1!rToS|zWhhP@Pcq)R5QPh3McEi&d_SVuj$f=1_q zyGaKT>~(i1+yyjgAMs=Kdh91AX6n%4Gd5oaltZ>x)ac0JlmA9ekylq7RAOlo{NpKRS)4c-Y@oIH13l1RC zhhz~$2B<-5AnvAqkVtrhZ-n0V{oo4`(=_hh>52AWFh6qCXkZZtB3!0rK;_g2#w}3X zm*A)WNncLvsEk?MyGFe>fp~{*iWeh|&+|ebE3&z^g1($n&F(-Hd{EX0Cupghb=XgP zqFzoop%-&62~rxm+)Py8~arZnohe5f^4+EzS~gjfP6$P53~~qW=h|E ztB_O*{IxZ5y2_+cc3~oR$X&bFk_oJ~!}~_S7&L~w*;733tJgc2Ac*(lzOb{CZ!~!q z5?}WLodon}4k}sa73nsN4@4&sW>QwDDG3eYeYD*6Z`6hcY?h9PZ+da?(&EfJ^4t!h zp5<$Z%Wwe_))z@X=2RmO_Y8;v;Y+QXAk~J5ZBdr96+Biv%u#(Ud!f$g5xIXN%0}{^ z*t=H%#wQAYC>gjqVgJ(V+gBq1@i(c(u#M@!QmR5IJ5XY@mq%@tdqGH@fWl@oPoj^c z+1Z1D$%GW}%(&||EFD-{z8jsKz-Zi1mwA*CV_C=8^9wY#XTD4sKcM7B*u!gTQgfuf zvJ7j&6Za06)(>Q=nB3Zuefb{Zr(BqFORSuTqKvoo0=wG$i@=U7?`X^J$?x$lI@^!s z_BCBQbbRSCGWH{+iS_u2OB@K`kE(OB%;P`GgHC4+hDYekqiE0*qz;|-JbdRq*N_^k zv~`Bf)%(TquUnp)a9v>t~ELWHM_45yLJz}{?ib#)gm$3-TOZ0 zhUY@p6!?6DUYL?hSmIYhnzWtv#~UYb1s=ZzFsu9T{4Vtr5OGI)w$y$%wHZg63cM6p zj+o(^QciTba)|wc7q+pr@3r3s1GM6v7b`eQmm#hw=8j(^DlT3(d+#hA!ql%Rj=;jKEi#{djnR;Str4F~DiYu>Ke7MlwybU9S_&P@zs-#R~HJl4jKoVX)5i39eI? z6!dc3R0$HS-k?Lgjr?HcPOzYGzw|oqAj6MKa61I#Zy@Q}N8HOm%Rv_S#PPpt)GIRcCLj0X zut<=jWcH-Q;w-vPA4+EbQ4N!c!3ZA-Rj;_P5~D^WBzfX=9V&hsYf2kej@f@cRwF)7 zlky2M!}p-9vJaw$9)$(t>~w@W{>zE$mH+`;4GnuFe9FC|6)ln(znyAbPSq1}NH94MKdD?S= z$yQPDyUBVt$+ic7gx=_SZM0tpt>96J2c%U*v}s8R^AgMdD4Lf!A8(dCSDz-B9|b%} zkvt#hK;LmR6bB*G1dc&=7C2~|`%FqvJGE!dl|9|85Ct@=YliJx{y&n=JCMrv|Njl6 zlBA`qQzVs;BqPpAl1iMm?c}YJ#IfgbPEyGxaguRFIm+Jq9J1#zvd6)}v5(_$_W0eO z@9#hUFwT8n_jSLn*Yo*&yttw2wdW0ZxU5_(O{p(tDGW zujQVf-jtBD;%OHjnz{TdZ3I_csdHf(}@p zXW4Xfe>H7Kt!mg?{ImDn1E|9^Xu7cZL|ibbWmSnq(^{fz3(pjr$+oBnD%cAz0pH2o z>-YcLx!Jopub0oeLcp5!=VA4{TN)_mI=daB_$Jzc+|uRoa~aBuO=$@DIyM%oqW+d0 zbSyyXH08vsP3QcK>db#bDiYCU22(0JXTF(*6Ybg)Olej+22@TkpZD*S^Qqs}1IoP47?dZ>}2t^A-Z<048@D|V@U~E=+b$1w!QIUcytWb`3ioXS2j|dRk13^t6T{=UvW-Q1mssRE z&|8~O0%0)!CGrnW30=14G{3MU8~)MQbZOez8}(kzD_NDPKcyPIVIIZXzoYd`g5{$q zcOTVJ!6`w1o-sgyl0o;CKy?J1n0CPsF1_|UpKoe_kggJePlIi(u|66M3q&Jg>~yKg zhPnna0d!EguqOhlZh&o*qA`UMNKRW_otxh_19S&!aE3GEa=hb>&H`WlO~3i)d0BxE zW%xgTA@rU0c$9%Xw$}#I@%#L4BE-7lIEQw_xZ}?VL>sw@`w*z>%gogUf5JG?yg~n? zTXvl2N6iro?&(ERN=fBqX}(%ExIQ-nY&SZ-Oqf~sLoDv>sj9u=o#=kby_8nS+vC$o zW5CzW@SN=#$CeIVt@r1Dvlx)9AfdE3WF<)DxxGdtlpIHi&%z>H+iXU zt~XLIWkumvYs-*oUkRe*??m-yN6?|FYN)enkoSv^S~0O*`KoF`kZ$Xj3%Lak58*NW z>qidCs;k|)&Qx73vo!h**jV?!(jFqwg8~W9eazc>uS(m>Ah8DHf&e=8N^L+8LINc_ zyPNw`bj|ngAyt2ySx(b-oh)CUKJ*{ToH1Kaa?A(2d`qZKwy@R9kbW5R8$?-;PnQ9& zQ2Yh_x+NRtiL($F%DXan!wp)lUA&haJ9j@Um%P5K@RJ#wTdBvT`F=_X260lW56n#Sn5AgEY__f+{82#$yf={|;|NR;X<=3q;KW7gagIDnSf>T~dFrR1K;R&nLG7Tt2Qu{_B9imx*kRTSpCJklsb)pX$45Bcc}BCHzbr; z#+=s?8~ou7(8InB_4)B&J4L=ffxlyAGOjGpSjqh*LhUw|(RP{B=3$L!^uN@Vvc(l2 zU;?3=C~tAS_!FysG04*NiQTW$MA%!dbA5x$e4d#qY=PUtp83;$>*QUTJFwE4`-Z`N z;mpG*coVU_3`ENt1EV;nP;Us>y5?zQA6iz|E;#o|#WjA->83B+8ssYod2?h#yn%^R zSnWwMtD0a$;GsXvtP}aZB*Ff{$ifMH5&ze7?OW;$nbdz70`L1y$f61!?!c8*ZFubJ z{X?^Z6zSalTC`C}>+~V)@SFsNTt{?dWb-!a{ z#j_rarK>65^vmqgEbmM>{rxSq_BwJvLSQpG@0_UIlH3ySUudwh!12pS*)ZJ+xU#?z zZ)Z5oig|q_nu_J*DG>?(A&1J%QrqfVAK?|sH7Q5Q-gj;2}*o7x4A=JgJbA3?bb_%h@G$176>^iSAgv^LC? zL(CO=E&=xNf7j)$=*%k!1VUA5!@ssLzKH(?80! zeaeG1?>DyLEtrw6l@n-b#xO;uN0wMGYb|J#yzJE{`YEx*PeQwIYd5)+2vT2CU*elK zMxC*c`-d^8c^0t=mf$&1i@~fF<9RvTIKR*is%*d#UC+m<)2K89FZu%o+l^DtP~pql zl^=;YE%A$L39m$%o@#K0p_v*uwnxZypsR0WQGIom<~vBcW2_x5b{0>zU9<@o8Cl(z zqIHL%v~;D}_M}O;8z?eXI}g0clUUUJZfv0+5%Qh!j2kbbS@rOrs6=VZT4TA*j7=ji z_rl!hF2Sma0iSKX&S_+Qq8#V`Vm#kax%e6aMrY2rN(Uxewn=1b2EFEW>Ad-{f?THo z+>{I4=Nz;bF94!*PSF%r-Yg#)z0rOo=qFQ^7J3zo3)(+*6?`@GgPSyn9m{H5TfJS+ ztkWoEg{)27n&Mkf=sW0*CNs|2tI5Po`+k&O>w75f>Jbi(YAbIF2KB|P?6Zd8&hS$U z&|_K4q-41s3H}ZB47Crne_Q*nXP}!?F8d>-V`pqnhJxyvg8nGhfiAfqYfQoo9tDAA zYsxwC02u4fLa0ky7Ml1?P|i=PYnab_q8d1o+|jbFMWsBs?m#g*ey$QJcW`znwH2P-nF^%h+@H6Ig59eW8B}=-n)Y} z_va@K?|+WWN_RBR>npS`M*2ZrEFf2ES8lXG3^9ro!pI2V>4WLY>hehh$>r1YgGdPJ%{6R|anUuefpg#w`H#jL=jE0FxgVk5^^8ij^Lj0AfAo&jVRNKAq@}n+LFoC=e;X1X3pNr4Cqpdhtke0Ni@Dm5rmCT# z-k=X|^-MGGk*u_SHhne;hTxn_*S%>i(63VJwHc8lj#gEA=ZL+TwqXrv>!c%t_CeC( z{g37Web=rOOo@5sAKkc8&$|50{<@d+ZzpKo5)NxH0;)?=}DSDyH;xaLFQQ+bp3vHu%}S-rG)B;nWjSQp&Q z^2dJ^k7?!Pz2sh8H>!b!3;Ovl192cht_6DgilDZgODW$YFr^J{;rst7iH$OWu^N{i zUA&UbZ+rcsf4{^?<65zX?;ypsO*<2VGy~6!yC1-nEHjwhlagQtehE8aCBMg&8RE0q zW@Wdrd`0=&vM{62J`Ci2$r4+X)wmyUhNnGw2lc6C+PuA%9&FT8EI61Bxoh!zNjJ7m z_{8i}_#QV%D2?0VCQK{Z?rDMfYN*R^h?G*&n@!&L*MAfzqR3y-rsWY5?gp=@jku^;HqjH}dK}cCN<$q6t)M-eeg8#Dw7ifGqfz7OL!&}3F@5>V)FGnYhrHL-wsS%b=Pv84M(k0sCDa@55oxnf9(DvVBB&ikL z0^Ye`dP-wphi*o|ridfdXKmV~QApf>ZcSk}7KOVu6hd9z!+BUo;awS$6%|~6NAex* z*vfsn^({dBfqb-`Vd@!;1l)x`j&dsu_=rb)`E_rUHy*cDMr@juAbeO?JV1+IG4&A4 z3$EOQIZ?k9&}10sU+%~?&6?l#DcBqKZ<(3(GwbQ4jm#+&mY@MS`sO%bMFCEIDC z{AD%dv;nzc&}{wh{eLdCMbmS&dw6C}OnR2RV>E21ZBJD$5Da8Pr0_L;Y=dbi=4ybj zp91Mb+leTfpS(DHvzx^(^o27krw1L_{Q9x=hF=#A$^N)N`o_Y`?u&!O^m)5=zi(@+ zysu=)%0A^JCNR{`#guEz^XmA{y&5g%&#yx1WCcCiKQ)gXxlw74S70ssEMg%k7&djy zBwtQ8M6d(MQx{HRkyA`l2HG`T-lwyxSQx1u5EM!oAzOO6_+S~KkGmzx?C;TcyyvZdTAMncDL75i@GgDc@Xe(89#2N#!zS z(e?BgcYB$d{I;C|_Nds8$cr{~-sXDuxElOe|4UGB)P}J5fPnz@GdDm)a?{t;TirQs zW32C&skIq1VqWewYb8Ti(GCRa&Ud1>bSFdWHC1sz{BOgrg&8k)Pl_H=i?#?tM5Q=U zi}wb=&#%KDay(*MCVnXe-VNYh+Rm&nBrOk=j+l1J)PB)+^N;0l^TC@y!!bc|{iuN- z&|1nS|GIfDMf@Op=5qdaTpMYuf@CCW1=e|wz@INl5Nkc(FH02uG46G3MMN8RMY3;wepKIVN%56bt_8}M>VfHz5DJ6< zosbuo>IkHo*a$`2rwXFM+*gfhpYhxO6}%8yk5;?yc{b>$-rLU$Qp?uvV7$HyUo>Tm zqM0{=lZ9BxQj;2aZW?n7>#7QySPr&LvMKl2wmL!Rvr8!lrS%F;x9gc89)!M(YpLD{ z|&+tX~Rg;3(Vl=5BXq=vb|aKVKJr5gJ~|5C@qgGD?P{Og1G12v-mEP3*X z(&K%0yM$y7udEuqA^7O=f0icR8;53#^0)Rg2f+KMVf?EI&;XW0ILQd`Pg5*t;YDQmRXwEiBg4o zo1p$EMQrf~7A^MPo39#M^S$bERT6Pw8i9k-IGlJdQ5rsLVd`ufCcgfjb{EMRHGDR# z`?tWmTf>olA$M%&F3SvvC**IxT_4p;g0nM#7w8F6Fcr5_$I(Nb~G=G7Uw-~3d};vv(2$JDP`Sj`FqyerB_G#_1HjsP_<1{djt_Lz>V)RA-8hlRp=N8Bx-K(B} zuDlQLfbLI;;13$Qj_%R+lH+G9TSZp7F(a8a%}X5LMc5~bRF_kD4BTl$8)fxQ_UF!? zu9IM5uXir+xrN+TTQVn+_4X#mF7f%Ly8^xhq}qQXRBB?a)1ROm&NCkc&9BF^#ex!) zm6}W!MddfHq`e#7x3z&S)4YDtPGUqRjAXmi9GE28XU1LdjSOIzxG)mihV0e;y%J=9 z^V>nF^snzi1x|h*Ef~NM<8l^WcdFDm0k8dis~dynvQy6aw{pf zas?uZ^P!w>+-kx|jUglYy*>`xGVw(zfUE6%iofwTZX-}BU~Ox8M2~rZOS2q=ha3^5u1M& zs2;$HPM0?5(t$-!H3VaXSplgm=RkSG_`0_5_NPH-9|RJ3_D;W@%+&v8A^%AU2c+(# z>b;*yI$c-~J52totTX2La0aaYj?*wxU^1<=Ix`^=@NsqDxP(UBxFQkeFVlLfG77Kt zQK)KOy_9ODTxY+bUGLorTMWTxQhyxadVJ9St!u%~sAgMZKNDgl(tZeurM5y|JKC_( zNW{|fE>R$<9|-~l{+qc9K3nvJ%%uLPK77CA4dPCKrwmxk9x(0ztLxPJ|8z^JKOD4x z2k-(B^oE7ZTu_nls~%&>Z_)hukL4Ne6JH)Q6O&Tf$VOLXz!(a)(&u(}Wms$@dVZ7X zt5G9uqIXi4ol>W3`&MeBlgQ_u(q z(8hx7X&UH4D6w#bEFw>QVBP);#b7EEfms6got_fE2W*?Gv|wqAj=BVN{43bA+R`Q3C#d?WoY`1+6>N(v!JUbsNmsZ8-$oMQN>%*yR#`xnjp;MYnBmJ z+ZmNIQz{v?2UwKEJ^Y3&#qBeYRer<=!eB6A^X~N1)tT$!F9u+pwBJYq&G2?%Nw7FL z&AGPV>fc}ds3qS|8@a-sviqu*)S~crroU3^@!TWAhsv~vtROR|bkj1^Z5D#u4V-AZ zT}HYX4XWWyv=dCY&%Z8)wUhULK~2VaBIRb?GNU628;pYuZt+ecM*z|!OMRW3jeIvA zt57xAE&nI?No8d|rbT?q8b|z&5S(*QIfbeYb~FHEqha;JxRgj{({plr|GS4cz&-hm zLUFxJ#1A~j5rN-V^rcRteT$bpN^+Y2)--Pxph!wbXHivH#7jy5FEqjLR;ai3Cp9gr zE`;Z)|GOzMZ{ySwihRg>ztjOFtR2z2>dw4G?<}tf$9hxohsW8idcnxU-IGg&zTk#7 zbw>QszAWjlj@T~yo zFok+1sci=?x9@LE6}7whhkeTs2YTTR{jkBF3HzMQvV(!cK?&$%;Ev>RVRcHAmUj!$QP`U(Vjh@$6t#TFwv&Ma;lHrDYUerXCy8nB z-%DX&BI+$k$t7u?^Q7(Gr|~R%oKwEBjJdE##zR!PTKMisFA4Bl*N?amc=BaT9ZHbsK(lTN2^_-3QeagEm> z0_(2?A&_U;2TkWajiX4Eu#r1o5U53gLoj9w_7Erd7MumtM({iwEZSo`Y+fuIREVxO zL)FITZhKS#TM+}x0;IR$D2h}xelo)FW#sg=befwX4$4dSXy|>WOawV|6NeblG32Y( zM9Lc$|F;OOb$A|c66YBC9)U_-hz6N%1^-ED1zyTsUl5e#6F?=#NETV9U+Q3lyZxa& z#xY2YLMwv{nE2oO2fHQe1+z#ioM10+NCpoZonwq0(H+oVTU26!dz$1;d-#U}>bx3} zFo71Tx_!&~OIw$R`F;CetHGbF@fr)96tS&>R!3vgz=^~#)+9G zdsP+^IwNwT;THmRg@r8CbDz{sT-dw(k|?c%p;#nk&PePC1>Yg*KC*a{-23dJbL!Kr zm7w>uz-Mx2>bVj49D|FU46)nu4hk&3?-tK(eIe+u-E(C5n|eg-B3%P@0$y%X&$cJ& zzGU%*=FFJcS-gSTLJCDwH|Dgccy0oQQG|>b{}@Q(s$1bOwpTVZWtcz7>#9Wcm)r$< zG_5?U#R<7{u?X4->u2^&WkVJ?^tSjpfAg9`Gk|@!p1}w;I{&;GHpb-HWaFjoZQYLO znjR&c^X3uWBLop|g{Rc-ZShVmTBvK?@snBlvv{ABg@euh|0})#T=DmA(tyT$yx)w4 zdyS*Nu#2+)DHEI%FhWiWEsp4RBWB@$x2LQG5h0kaZGfG+Fq(6gh~;Fx`djheZJ)B~ z;9z`GOWVVFd^~d{TX=^jTXWJ%UBy|-zLI%|g@YST4d~6st-kQC$J+`S$<79E@s{T~j}L6|V(%3-6mMwQ5Qw3EX`8fi z$avjPWG`|)dGDoIN-RbmFlScvu+i;i%1AznvQ_-Qq+ONfjOrHF`C)SEr#h(#cDAhAoXt1A`&_xfM6F6t5v2X#L)5gy z0McJfTJX@ub%Yi3Zn1CrsHf?|r(;T*=`zh3W9JS!t@{FId(-I`hZcm6m^nsXO$wm-gqsQ-_F zKC0`76jGuKV60tiC9a(dGT-mQKBn^I&CLgTUM`Y|f!$G!7*AWFT);~9f z1Ms|ZcmK%;XJoI55)}PE1y>Y)rnm1LnZ0=1LdWNo4ehanc02oms3(eHNR~7yt%!wt zKAtb^6N*RG^-aW~X*VcMJ?XD?9Ru zV~N#6iKD>C*uoC=9WDj609{oUydb0~@bwx}nKppeIHq1wUCSVdlkHPILO8h~TVsYG z>?ka&B&xZ|&g;9c)P>a!&RNi>++TZeV{WU@QH0jIO5cjD2PJ&oWpM%zxn^ATKg1|+KB0s;l*KCRWEm@cMrtBnftCRZcMPY2l8B2VG#88)15Q8MITEp zIE#vAYwRDU`Mqa7bp=5IAr74O?%Zo5dWXIKBzuNr>yHWKM)p@vAvn~`*#yB*DIDe^ z>f*fOWvKp0nr@K%p(kS?e zP4re*-9Kb7H6%-n3#GmJV!?kThF(?T)PLC`X3tNkSfcQw>-|W1wfuy;{1I*dC*Th4 ztgXy+D=ut04WivN;ipb29NEt2eGJST;(70Nt)m^uLXQUv^rQNYEvv;&ooH|xs45}I zMDA^q3`F=0{3a3RW1 z8J^YsVz8K+8(dYYI=#)!>Co1)Ae19x38FA7*?e86<^<<(spJdaFQzZMA+3|N(>3|> z*~sd;R;U4v6b{6@`iK07Px_jYjHY4s#rnVf0e+M*m98PTKPIkzxNhFt5$ykOtMTFq zI54cJo_bKHnOLH>R(rw6nw0r^Dd~-r~MyBXe5ct^4^>Hpd zcVU$wl`W0BfH|>D$r4$=-nv%%1^@%2a!td7$B1VVfHREYH9?BuNFBf!<}*b1s&HkW zY4XoW&2wH5CEle_Z0J3jz-l&kqCWsHS?&eWOx=j1#J_iwL@QPYaiAO!L9z>Fu+7a%(Qm&R%iQocUrjIA3 z48H4oKQ%{aH~zvHVJp*kqR{M;c}J-^#bd=YJR2|sC6&rx98{Jw!w)@tGUN$vQHdcx-jmARmM z58LDCvPxOe1QZY87W$GX_RL!RA=GdA8s^~Q%I{IqPIw2$YB$SFIk9|*bD_8)4=-4w;oq_SGjHa#j?Br5>WvU%_8cy z61`e6Kzv7XOT3B9F~GU$UDY$bLpv581B7MflJ90q_4ETt`=F|kx!8~*vHxXA-6P+{ zdg<$xX@LnK-hXmG^6VW^)dyn&ejf(-sZhV8xe`@^dSGg)Dv9#n+I1P94w-BGRGL&9 z#YtO`vf)bae4ZMZkgUK1}C6LU=RCw#9YY)^2XZ$^%UR~uf^8scVYVk=@oT9 ze4lda&p~$9SEO5EUoWD1mTwDI9sftKHQK~)2=mcv6O5hVtw0XFn5!=o5X(!fPY|0A z?%8TRO~W=j^uqLMHRZWM4v|?6eouFU4T$OZB5ig`b+#IHy)B{@_Q&)QZ*Hd&b83r{R7g?iF!2b7@VB%VP4zmXfG#NRHmk|04mfx^fL{|0AaLiH&@c z-#-4LvdfGxjYEBd({#@8Z-2o!0q(s@ z$|v!0ziVra|NrIZRz@kmdhorB-G4-bXuQn5wUiA-fSP+>d~1Zr9OQ}Y%vo^W+(D%{ z5*>?d0@Fh)DUJ`N#&iZ8eCF0=ODU=VUAJdVWnAmc3H33QUgZZW<3V`v2)qvm`}{8J z_fg%c*Tgn6RBR?*G@K|dwncnD8tT&I^NM&CKST06TAH$EQ^@1NScAcGenYcxVN#(o zU;#fd#yEUox9-_4NiBd(sIaR^DVaEkI!L__ENZM3_rRu>|htlI)g7O0ZL=fNa4-2z_ zsV?K(Y~4JncTUR#dA&}h&rl|E3J)hxa-|^YAgOBr3YCzy!lDLlqWe@5_d<7DdBDXgO*hnD! zFhba7yZ~sa`lG1dx#;a4=mnRX8k0vydWzJIq57Gb9;l&_8<-}dXY66I10 z{yaGp33s?Y<9lm5MA)a}*2)>G_DNf5nU}`vw+xzmNsqTZXX<<5 zomG4a1g_C0wNO8@c5QT+rX6A-R9Ba4iEBgTucb6C|Kai#J2ENtuhH8Zvq>Kdp1ZXc zy4@UMx{8o__g4LN{$iDoJLRn7t7reHf1xFS{P7W85(@bTOY8mdfuk$vw(l#P;{@TZ zd7a((nuCCyXA|jDw}2+bCD!X$(=B>;j_}@OwEP-ZEawCrA7up4II8``=us(%*b${R zsrBm}T%tE{`Y6muWiJX);3Zys$)ctu&jxGbI(<6Gm2q zJZ-H9dhcsMn*MOeWHqw`~&x39mU|hMXW0I(B&`$P{l`ig%6pXM%RLU(n@s61`HZDvNO;hw|HUqay zF$-7&x88-ccl~d}l!E$Mt%hwO(%%q7+iUY+N2)+%RPWYMbaQ}s&9(J5ncIx#tqa&Q zCKxqIFjj`-DiTQxG6U^*IwVDqea@CKf~<7z@1Ck`l$w16fjO8^%=2MXS%%G|8gh0%jLy&qk|y?~2zx-`0yvY_Pw-X#bcn+FJC zJj#EPyTaxWs@q!+4O8JS*Kdm0(dI~8)kqrL-f07^^Sx(nj`#dLJyF(#aAYUx0b2(I zXHgv1=wisrZe#Qv?vKWui~Yo*Y^R3R3VeMOKE1E*W5r?xpaxS!vAf%VNr2#Ql0ii= z21~cxDs#viuVTUfM054O4))Iemnv{romC6lNVgf3at(!!sw8q$W7HITQHoCD<%kuQ zDZ|DtRKROMaBZ_Gscy}ck<>Obh|kWI$+@MZmaJ6jigf@f*|-~8*bEK(fi@RL0!fAS z7oO)3NH8*o^%S+(Q1FS4zl3M*B&6l1ZG_b4^yCVe9yTBPK3cbc{BgVo_Q;@&NtINK zjcvbM1Gi5DS#Trcx5wEd1$@Ol4+A`7IbPE z_lina(~;Qy?8*{Q7!jed@L9K9vAK~7cR~1w2=&|Z?R1(HA^kA1q&ORJ2L{%}%E(q4 zeF}6pv9dQZP`$Emnv|8?yIpCZH8tHu)-gbNeV@8rQ?<=JaiwN0^Z{CJwZ!KG;oeMz z1}A;n#%t&9O6uB0dwrCb6<7W?WHZnofq%}6YfaQG(ep4IrgER{LT~t0rz4?ZdhVc* zgI^tvxbp%w+&`tEzrqF>joIk^blIdVbW{x;5M?(Fn92-tJPmsaWj{?;oZHm&R?q8@ zlP7wKj0nX7_bui&v5ERN?$wQ^?jAXv^n%DKv`sIRMp!Iz-Ag8);R0;uEI-ZIl{XCh^U?o?S(Bst83)Z^h(G= zg=VgCn+x8~QcTbNLIGm%2VuWlcG3rYAU}h*yhmO=$i|DEYEP z@Pg9#pjb&Z`L_xZa!cK`G9=R1lymkqo(C$r>y@GtTaxxy=5^CkCXLw8HTvP2vuxzXVNu&?IM8`{d6Fmh)Pp!-c&PJgx- zVLR)474*y9#XW-P?o{fd1fOSqV4KLZWxe8k?_@st-v!FI6tDOA41u*yAzt`KbAO4% zQvmgu1NRpC`}3)7pw6E zbcbsQM5dq<^Y|r(vq3rYv1$^KF*vb1c?tZ1sJ^=wYO(398JHMPRpsu+wRJF7ZR|Fv zJ6iQ?d(7#-bFz1EfVnI*MFdBA2bkXEH_ps76Qj!dN9w+EZWf^1M>SfBxl4=>A2Sc? zEl{Uk(CgdB$-jj?qb~DrO>F*T9K`l6GaHx_?@~R2d&bQO7+nvhGK;_Kb#Efc^lQA_vMT0EP zBJ9<_TO{!3El?qaIr7pi9|yWTnL|V$?wi~@;5<0#0@cL^U(>kILF{0?-{Cqa%UKDG zKuFabL4_u7D$4$>NrXfpJ|N}8uOq!@;q9<-wqdsn#1gfd748Aahm7|{)9ag7Qk(ug zyXki;#9%rkXInd!&o>`6TF*TRRNmf$UgsrFwvFnrUY_bw2a5YCVo%`-WpI(h4SrpCB z1R>xwk*+K}ypOo*x@r7A!3^aW7lu=!fPIZQ^mQi>U3F$}?FX>!Zk*Py^WJ}v_r~;+ z(PA_7@cE|Dr3IBG`uU|cl*z0DC#Hg}XFohF=)G3+(x;bkF3kOa6fJ+O7T6HHD;_zR zStbdN*y_tTyqR5+a^i>8zA`(yxc_|*4+>-+W2l))h~tEc7QgQR+uVJ7?0;Fi{kJI! zkGnw5=LbQnw>9~%)8EhNqa43`Un5`vxQafmDG#5x^;&Y$Y7zU#?no5Cm7b7A0jt=fUe*SQTq>kx)I>fL_H@^~e@Cw z%eZD+A|ED0>v|(1)zSg;s?oq-jv4JP{6W)>@(A(Tu+`vUk}NrUmZ%v9t=G*sIHU80}9s`S^)DNxcpZLB5(mP9|r ziK5Oet2+f}Qpwcd(POMZu%qVlT5ncKW5X z?#M`lQzKt5>i%ZA2T64+p(-Veg8fmP`y~5V+E*E_pEM&Yj;BmpgSPd*6cp9@8Zsmz z%f-IK9?BgDJ;T|3)9&CWl*B$t0{q&iN>G;L1$n%wo4mV|cLtRfL>_LlK01G9K)ain z%e0}1A=5SO1CVMjl>(b$ZeZgs_nx#ph}cs}%}$Y&ya#pHlRdy(t8%*~LY1$~@Q*vS z8SB-qQnG7LIum(KLfWoa*U21{pc9iX*7fE-CX~2NS{6R9-8?uUJjTd>b`LBwvJv90 zfLYTVKI~z%>fn*mK)2Ywm(+?ljbntE{*zzqw+LJ90|UgsZT}9d)oM zk%+7i8!qtX8D4U`icK$1XD7Ey&56)uw>(NNZ1!|1JH6k%vBQa4Xo&{r6}F1^MCS8& z=6Z>ykbFewsN4D>)=&JV@OsNQrjv1o9TnDd^V&97<9*n}%{D^_BitgbN2_rxzj>8EN%=$V1VO#W@w6O>iJl)Nyt zK8o21_Ds2f0=-;v=Es?1uH^ zckCKi9fZQ{reg#&rT^c-B3mR?Zm%Jg3 zKPOM$p>59Mj3CLwINn!FWy4(jtxBum*WL;n z*xi-`qnJff38rj~_>*bP`^6}nkoMMtzoFGEJkt28!t6vK9(Qh{5~1`2{=ur39avV? z8cf+2lQ^;r7P@SN8CmuRD8&Q^R^X+mW;E=S#MziIo4?fuAhr$AtA(6zRC$N-1!|Dl zfnDO?3nx9XqUWBne|QExm)ys1qwk%qI|7-2+1+FP!#7QZSbQfAZhIw{T1d0X7FN7Y z7PE(&qnREu8NoIn-wXom+}1~CrRusp-7tZ=Mj7QRFMMKJpsNzU2jqTGyHl^!CcJ4L zHyL>PexShM_*Sq4Yef<=!C%o~YZsBr?2iccS)d;ig5yl|qXNBO8hG=*PuHGW-iGu3 z?YOZ0*a6MTGoVfaI{^3!@*uV)FDyD?q{KEr-sAFoP@H!-|7NP}4c<`1w#uR>j%=cz z>#;MiRiZiZ6a>Xw6!&$1`#3MzD5cu?Q@V2aX(rK6(g5EXxb{gG?eah(N?E76f8`X_ z{1BP&J7I|SXfa_+pPJuSx>XuDOb#cQ)Uo9PTiH4UnVy`wpxU_^Ka1K7{%~Vph(+JI zsi}*brkndN!7qxlZFY|#5mJ zPnXI=>8E!>iK0{T{{rSfKhlO-Jn!T!gA3ZDMB!%A)6tNq$AWtZRr&9r?OQTwi^-$G zReI9wrrA%9m3A`cP&*|RVIaO8v)F#ao|NH2rQAcvwM(SuWE0pJDl(G}F8g)`3So6EphA5+DbO|9XD))78K7W8ymVdXyiMDqNyDlh4 zI4Ux;8O{?rA5&VST;e*7)tTo_2bBW%cmB1^!RF%aU&>kQEu;5CZ2e|?-7CB&X5aUf zeL~&QZ~x1P`-+;MwRw%Xbmv+KtA4Es9Yz6^xV6EgFbe)aXXT?~&%G6-<~^AfMR@90 zD1Ekz1_S

OW0|zl6E#;Z?!6QICVnI}>aB2x1_&uzk4Nqp;aZ$+eZ(g95n^dp1et z4PfIEzPcFE;$CoLKbLRNDFy!G^(Zz2FT4#K3saYX$-&XV<3g|=N}gbjh19J>1kiT- zQ_8SnppLOlhU7v*F!KfMZA(+DR1YP#nMOULjQWwTii^DY5KJi8UqigI*}#5HLGLIq zE6(ChtDC-wuNZWH%9HAGP^vi#5%*?u_tbn;i^UX-ByEL@M~wla-#bL4C$KD%4+43GvFXEeTS0Uh*J zge(6_pOj3jdeFe3JprB-?Ajz!LJ7exoqv@N{BQu<#jyU4{-{Emyao_jG(D!OH#lbN9Pr2uDuY`qB1aL@$k4K13|LgD|HICSmc6@RYT|bL-EO z1k;VoI#)wpPbhyr;L9b!R6Kj4XS6h$FfstSvGM+5)7TvK@WS2zzAErHtDBQrQKxhlPa1bZ|Z_A(cX@5Hp2T&W9Xl3ke}-dgm}IQO?IX zZaJS~4&}_8<~*}G>}1<-eXj5C&+WRl>$TUOdp;kJ`{Q=MFX1jcXI1WHTyBH55TlB- zo>8`YETxUB+lxEN*DsE;X1~L{gfqTZk(;GOZut5$VcNw>WDqaNP+%LW+1Zl(Ww`jLjqmNl&rVllcd$baCSXp=o!-uxIoPbqddj zA$8vqqQ-p-A8N`63n0eYcv}KY=9K8JQA9E@*0TYj#ig4z@Db?7QD{Hv-p`@fB7@$2 z%%p`@Is}=HBLyB_aFNs)S$We{C51G^q8#s$9Q3W6_L{gaf0F|H%%u5L2dD2@X-;#; zA#1zFXY1nj&Ia%v@vxeNP_MZc%=f-t)~ZoOt9z^MR@w|APYZuI?spX~M|Ph&fdxF5 z*is#+veipCy0j%wj1!~04ws}yrp;P@4X&4DG{otPV(GqmbnHuI)mr-seTJ99jovgC z_amF{%-D0?Z6o9!O|=hR&{mH3bKb2l+e~-;Sq@}U1@F?QSLaawsUV}xy|sx`!oA5J zQb=bg(ZhrA{;K%r4THzbZE+6Y$C00jDC>8$J9(y?HmpmE^`C>ajuUJ{e`jC9wCC{5 zFz4GM6PDZvHtTtT=i46_XP}58;3Ip#=Fu`zuKPdj(TtnMc96LTJXq42cBm3gjhETq zoAC!lW?&P(!`|>O?4R)xW>~^U?Js-0wmPdZS!^p-VOf*mF6;la+O8(!agvY8uo)^Q zRf%q?b9+Os2;KIofaluguH?d$kbm&QkJ+`~nlGF^hh{y%Wb z<2~LT7?t-_j{N1_Xq4+^@-Ua=X$SRxtLR-#iX1<0m;W2RYTLq)4!Bgq070!fVoC;F zmrAHAuEStKvtw=kE}F2cw!ar;%=H$s4~*{gA#&HUb5bI@=DQMZhK{b1o~xIlbk3U_f1 z*txy|oH^M2jOlY?k9>Gk@_EYg)wo#Ays8ZW=resq2Avbo@4le);H-9GH#;XalZ3&o zW@JLesdCgK-2Jkx(4L7=lwy}8lY+H<~T$aTe!Nh`|iypiJzFdg@+~ z2*-;FQKy2Dp$G}heh8dHLk_7`OaPtFWYLah;R zl20F!8ixDXg*Tsgg-x3T2(H2vaVU1 zpA-D9;4|Y7&S?J}*U%p_EsWBq_^Afwx0|kks5Hqe=%LGEe)C&mR6#xS$k}fRANSnM zSSjNM3KXqUa2itwY#h3$6>fyK*y1h)GY{(`gESNyPwV2X0M$F_X?)>^c|YldYS*h| zA09k<{-V_f&0W>!t-w@}qDJ?a_2~~+$D&|L($M%zG!E)}r?v>{z+B3cJw-bO&zrW7 zLonA3HB9n6P2o?_MGDBx729y1KC!aPn3R_V$}$9&Zf8aS_`Z{H%w-Ihg2>pky#$5- zVSzWlmHHQGE5U~_cTMroffM0Y-L)+D`til@cB>aWmWF0-F?;NzUTJgs4OFDg<1bbC z*;b!7(0lk-YkqLku9<bqlmU*b%gqe`n$|W;L|LW~=q{OGCja^uRBQ>+~TT2?y^z z2R^0SE*nLRq#ktED{WgqPoGy^-b)UiuP;B3{pnwxN~)IXa;;ij3erw0JJvgM&Xp$r zqMPF8Fh}Vs20Wbr-&L`p?KbBF9I!ctK1*#)c@m{uoqVPbR7P#I>K$k^ANXZ(i_t02 zHf)Vu5hh~k#b6I7-o-$FHzUig{6Hnw-Xnr#hD|bG)H`x`8uH7kujatxaJdXn-_E!F zvhr9jCHpAPImn76tN0Qy=ZAtw!{c&Hzwc7pIa_mz;AWY?ZUL+WmK+rWvsF7mAM_EX z-OM_$(=N*u2Cs87OMaRm94;_^9M9e~xwx@jq)!YFJpKXssjO$mtnckqXWZfwv{K8x zrK!_eBcE+z^yJAg)^c({!xqiym6!5kn~;U|g+|V0e$0bmxu8KF3n?db2&U|2QR>!z zt^MSbynU>*6am(YVHT#b=(Bl0jAv zwVDHzpc?f;ej$dnP$D+H?7qWXHS{#A_~(kDB9ilQv%T^gG;hrykdeuz@?!$4 z;JigchESOkWU2TB?be8ZcK8Z9kr;nMdsAeYRD${5^t(CD!3{s+|17xO-u}D2M`UyA zO+=YX>eQpx{1fNDV;xEQg7P9pCk@V!t?tBZq7>=2%&idT6q7)9zcia99*^uFzQFjzG@HXP{zn4LFj4%*VR z(VoZ<82g#(ir+AuZU+Gj>PN0_0th)0rMBOu6_-T%kZSr}|%EKMaIPzQfA_kj*J^68svU9E;(wzqW0)9P#kZ3+W3s9?U=mm0Q;M)N6 zVA{DkEi0R@!fJmBBcw2zY}&*)S?vjw=uLZm^TGVUKt5|^1OK)s(CX;gzeX!pSj($U zrC${udF7KxQG13(!Zl5SfKxBa-ofa0@GqRlfQf-}n>G>iPCR=wmnPK}gJL!#FuR1gv^pWypydJc|#DG@%4OR(27DM}z&Bp*e zAsPPS*7l=yCW+Vu|5t;NA>2D>s-n^|AlAGIH?{@3k{VPIKYZ`ZWvqe*m2b$A`m>{I zE)@kk=oa?|n&sLa|N5)hY(FWJ4{6Edoa_xc5iNC}SpJs^F`0$n33*lQ7V#^rGGW?$ zFs9dq1aVjzp`CU`oaFBfxW;MhxJ|=62XFpe!Fm?JOt_(W^*h6FqdEePEpGAtS{yY| z^-l`xaxONx)}9*>)^)$yrCx${=E9ncq{;#D`FIO}qqP)=<=L51G&-+%_lGvf&QfSE z7c$O5Avn19)QH91zqFE;-5ux^%q7M-yYLnKyU_v9Y?J10eV>^@^PxZo?~#?x_EPeG zNub7IEogdbfyqOhV3fYWb_+YJSm!jR=@WUPE)Sbs&5dq;kRcfz)p3$R?VXoe32rtp zc~Z8r!}%sk@$X-3qArqq{wALa^?X0x(ix3Sru4os)p)-+JJtfiOWM7roJFyGuJy*z z@{6yDXd}$BlYJss4OM!}Rjs2kHt3%8m z(aH~#`=ho8WVIw3L+_r|frwZVX$vrGsbg@R z1&8P3ppQ0*AL9I>$c@C%B@gT?3Ms2r;sM*bCvg3TWq}d41>9K$Nn;wzp{En2k)8jR znpBhL0xq8m?214MK`TTy>mg4v{WzM%r}|T+4UcBq8lx7nIU&DBF zyfZ%JzcRw{#$JwC{KX=v?7;=(pU|ah$lqY=MyM^#38~YQ2_tw{2K7)1ny#dPzk~}3c(c_vXN6ims37W4g9)4%gkfk8xP#}yENO^D0T(0 z{H~y*M2_*`X%772-bvE>salgtHnLqQzpCyBOmYGsityU2(~}adv&vhMEJ)9QWah2h z+J&|d3|6&bJ){>+`KZLof^Cmf`@d5L;*aimWkyycC;hU*mAziPwen~>F`&r5Kar@~ z8Sg0Ty?A@LW1;ipU~G4Q{H_MWu*vdMP-7Y~U>qo5#j~Ni41Zw1Z;`g*rs}~J{$>qW z37vfW$?^f545sa2uPh#bCvhdw378vL7F1KtZ~g<1WNCd~e>nj6T~1{~YvY9?qc6m) z%GZFQle#a@(DvkEzf2uMuJJZU!y=Lr%)>#i5H0kssXX!-LOnfcFM;!8qP!VM_7uW5 zihNS;1kI*w8da&6_DiFwUSp0~<>G6XrTQnNvPCN}7rzP5+ztx1hSRgywl7gEem&QJ zVmk7xw(O}fKPW`^U}J@++Ah;`l@;TAMWz1Q!smfl`QT&wO=43m1P82+jKH&*bm5#k zdV*!!0ZNlQ$BR>vXbJX@SC>kYlQTq#z~_h;qV)fK^ycLeX{p@@`KwzeSDicgKDic1 zmDu~=pJ>)4+9CZ$OUo19|DP}}7!Xwf48Aa>Bv<2YwWq-qB0n4H6OtV81>?2C73WGh z7oymK;WUX8b`-TkqjR}Qs2tG&}4c_li94n$mif{f9;gLqaE@q-=-Z3V#kpaBL3Ps^5DUy zQsEsQyh6vjFRf|z?L0uUQi<`)u#5O34OTXQAdP`(mj@ZXMFl!vuCM01wwvwIuExny zeGC;Jd&`chyg-ChNL8-iK6l4N%iEJYf}h{jJn1dogH|SG6Z^Jbvhtan!9mk-y>N6LjB$fdfw;Mf#Ud;t%2KW~GNp zR%w@(_@=%}DHpo*19Y!tnQ@nEPS1Z*+Q9`52j-5=8r8RY9-mZh5yg6H(x9gR+#ZZ; z*d*rRinHY>HYmD~aFbsr>oHwl3o1t5nbl>a&PlH4nDwS(pp!G?l%hfY#ht@*%gb_% z&rheFX2qhE66Vx%g4)%)k<0`55s$GHDE0QhS(H+b`M$93;kx^B;Ml3v;kUL{bG4vO zpZ8U>XEl1*UUjmvb@9?3UkB~|4@(8IW_#ihH;bqltD`_pN^Ee6oYabXiBv*;ahFZB zl}K~OCLoy_q(HH!4_*6i_aoIKWcwByZuS$FM;p5Xd6O!^@=9UUKilkPlBji zV2N+qIKw=i zUqxqp%VoW=L{wtFZW<=$gC+U4c-U0^0^C=u)@qSuJisF`3?CXm zrisRul`a}sD@3?k4ch2_eux#t`Odp)+BT%ef$DNhQ9MWAEuW!wrFCyS0-eQ0JY;3A#Dft`^evI8qMHmQfT%i1?WyV8DdOxV)y8>|1ytZ44~5SoYLmf6w~rb z8G~nYj%zZkBmUkrzYvV_JY+)bptQdt<5|1azI>A|A8tP1o?gga_kFb1&`bFyCC$2@ z%lh|Lu)hdr#LX5BSE-82k)E@<3zNO_40F~yYNgFB*b{ad^B6DcG8=OC^upN85)CvP zBkNuqq8|<#eHx;jIC9}8S4>VD?oEuRn-s~dmrt!>TP;f&1LPkLy}|K@DFK%iVGNxQ zBstevLb`X4*f4vOIwAv^B9)SiUT6Nil zrXlIfV<=;sNmspFC^lcRNn!{%%R9$hyuM0=&xP$l{;qrK?tY;+a+Ay#W8HD|59GX+ zaov4zDkzb&XK?PzNojN?#_@k@IP2XPaE+xaqOsgAh7a*prO&?fjDbZ0I*B@*&0PAL zl(0fDA3q%)&3euder+#9@7uwhntb)8e!G9&Q*cj1?i6x_A-ufiDb>fhjTmE{6t&Xn z2okM6n&Y5ieGF%Lyob!>JVR8%Z|`b!j#m*VSvS}>5ONwh`gdW^1XN1ej1cobw>-ta zwgtv!cph4~mZSB17a-j#w3jf-8Qy-T_Oh~*frT?utTKAkra9HnjH8STcOIY~8_@g^ z55$Q7lgEWWaMD{{m?!`z<(l$23l=}u=pwT}HoC+&rXjHJKya{HXgrM-3_KiExvvpR zR=ceia^YJ#unGXYX?@d1^jZEvDQ-fOseG6uKu^MPe3#&z8UI!th*)nGY|$M$R>h#*ceqdw3<0f0*no zhl3P$K0tEirw1Es6ZNHf6l*mHe3-DYo%MaVyI@at2DqcSB$%J;S@|XxMc2_#$N=r?hRN48lR&VIF~s<^?UuF=*TL)OxCl<1 zxQ@=(X^qvDhhr{+8Uy{gZ93jfK*A9>M!1Jgt;rK~WY$Ut@iauzeStXkqt6s^!)Dzh zxN%9+oYLsnfMWsW+S6mWKQ!N-VXn{)Ur}@V!}G#jKLGXG-5GP@N8u?ShHkZ{dDZS^ zcWTCvXqDKl`%6_Mxn|^0?XQ2xgyHXqcDb!DN2Ml_LXef70^+f?Nci2ENA*f^k6R3OwD9#1MrQS+BabSOZx*Sm|Jb9NK zwh&_XE5S7iI+61HnK5{jjrZOl1xp`$gfK z(@EQ_q1to9WpKxeDm0XKzxbVn5(-Xq`r2pYp#c@4<@i$QI8y8`T ze+r*^#(du$kY1q_OO#(i1u=6`=AfTP0MYN6hD%<0`idYOcHTF1tsSH*@VnyJ@O%&q zh>XgWD$A7$3k{Z1w+l)q)my%UYD~7sC52ko7D(#;eskz)X&NBpWe`m}m$|BN1^7l} z(g;!Ra?q?Y75U_oX?p0zfuaRqiwmPc`U&hI0NfH@qKxH% z8pQXXCz;kxqw7qlF)-k>k5AX!LMbxf3BKE_>8jAG19fT1(UdfI%9HTyeA{+^_JdOS zlc>jO#QyVDA-wJ;_s4(VOLxVGyvMWnyd4lr&wx*C%1#~i1LpD#v0v`IsD+85QrrIG z4lx?$CL)VTBT)~o4B-|N%@?5Qu##@`eXfSX$YeB&*;{Z zZp!uTzdp*CHbvxIqX7L3<_gP(DS3yceG^exntz)4ZG9=T&8IA!wcMPfjMNX{fq->Y#qPn zQy`6N>rDa|U?Ra}EZ&;7Jsq_YaAdoXOV2YQWGP%Z09+rK@Yy~-zOxqJgO zX)a1l&^a)t<&F?%%}e>KbE2n@8jFpF1~$Bd4pyP9_D(NtqAp(oi9?Lhf&mC8%n4$U zH`V}sOpHXl)~j)dL|c8NTSI>Hl~sEPL#77?cpSmB89B@i3Hb3zf5dj@>I|ejlLYMg zs2w;qpU`1)h27nj(wl_8pQB2X5I6nM!k|IaB5-nE1$1vfEVj~QJr`7<`RPk||8Vw+sD#|cE!u~DE`tv0Qe!?Erg5qQAN0F9s}`PX+9_`cw(0cs()_1% z(ci;;H1sppRbMdr6GSmM-D$_JG6AD{8QjHaRC36doE%h|r^(>_Hrctfji&|f2G)JU znab-VY&Z$Lhv5v-17hU+Uto)vu4^v`_hf2ElDn>C?Bq|If}`&Ceg3w}kPuxXAXRN))8uc=j8;%3rNImjz$ZG)z?2lE)u`MV-Rc z4&qh@aUEiG+tW_|H8Rv$1Mn$EUmK+=oNC4=e3~E-27?-)eXlMxzN2p_(OMo=m&|ZW zoyAQ>T*``jfE*SHEscZS?=m=FumONq@jXf)UY_g|nA2eHyTKdG=09EJFpdi$_Y9cK zr-O*7ZCan<8qmdQ)qG6R?J!IZUC6XycTlr9@*1-_7Z#y_n@=RV%uOj8qTQp3kEov4 z+G_x!W0%p5r*QaRgagHwwjovt;>&Azuk{SyHDnCpaCl2QN`D)2?GUxn&J=Y8?+SoL zecyE#wk>`MIq34-ip9V3qDc*x)kS+Ui172FN%2($Uc;X#Z4D zA8VVX24vgwp&NN{G%>3B?gH=h=kTqsCv*pk>2(#Uq#z9cPgDdT2J|7IHTZCjP?~ux zQ7RSCr*goKyd}UPQlG4Y8po+?tW?k_F~>S_EA+I|o4TWfuGCi(8@WrNv6^3~vyeg7 zxpJmvI4k6JJ8Lw8*_=uSxFU=f`~HNJl?xCjx~@I)L__WUyK=Z(GBIw^H)QA=(eU21 z!oIdFVl9amI~v&d^YMq;bAFYjrl&8xKKU~ZbN4Pf52*Z|8CmprZPH5;Fdp345SXw| zTMM}`z=hr-xWS0Wd5uZT1_!um7*#61p)^73Tg0_(NSKc?qOGDd_saA9O>>zq)=Yxs zB`eaj%<>)m7VYB`Kob>frdoPzE<^JwCq<39^>ncB`tKf;Gdg>lpSC4mE|Nq%e2^0s zB<+q%rb>|W7~P<=NTfm`=FNW?31haVo+0Ae1DhlwbUQ(M8xY6PdGK}*kc~M_bC^8i zr;b7V%!RSPz$DM(0$w7jmQsR3l88Y|Rx%l!^(8kCEL9Ht`(AQ2B<++gcS}cg#48~f z?m2t)#`ctE6z(}SvpdSmzE*El{R@Q2qA7SdDdR?mT}el9*zr&asm zUs`zE)avx$11|TbHRMFrNwdDwEKUJ#Do!SdAo}{Eo}S&r+I6yCyUtA=qq361Jb~+F z0t*KA2)f#XL+e7ZR(t%DILSB)<0e|zXK4gRP>%bncao|0_JmAy4MvuBu(EaPT0h`NyXV~k811WM`e5^qwpwz%2CjSh&l*377Bh&cYQ2~ zQ)x%tKMakh*cxsBW#&F76CPNg`xJ3-Ay^G&`^a{v6jciPR1d8eP1K#+7F{jH{^G&* z2f{KPru}(0b2omi6CBPjhAkUbt#zG+{B2Ji!~~>)s<2LNqp}vuZ!#Z%}#UHV!%j9`jK~2PXU+!_^3}Lo@eJjo{kD z>9#%8fb+Wn4?nV`q9Au58ggi58_x=#!s6$;{@)*+U<=b!$DrT+)WM&gTA)D+ID z(6e8VaD+=hWJBK7UZhX<$WV@B&^uidIkK9Ghg!((pb6`b!cS@Ej?`zDj-5cz8}2YK z2^8z?(??d$?gDS7e77~DT|oVVQ2jm)?2xl#s2V30&(};4D`>GFyI7IvC!c|IaN6jd z1joh(Y4S3~p$MTmJ4KN}r61yIhP`L`9UJw*bxxfqiQkFDFI zW0O!%#Y1GKa0TX-B10U&{F|p|%T$ZtHUhQPmD19)!(sc)(;=rcKE{B;FyK%Ri9K-qV@#PuC#lgsx5k3|`wRngK!q7c(P{F8TUCArdN z+>=vq;q@i;+X=l5Eg;X{!9J5zz+#J;$A57oPujiSe;ccRI=_O5s@0#zD%K6L;~*Vw zZ^D~)QFl-YWGy7rk+81DyR&ficdWT_*99gmUI#V$Gz{(5TD86N0{yMJic0^)&Rry~4SNG)r!H<%3hn6saV!ugi6VRSMuqUq zWmlw$S6eW**7Omf>Gy&tWtqAf<&(i;?{wXzw*#XCtvT#Gl6Y8;cv7zjabfS|xxqII zv7&(1zd!3rob>;Q9^|}l&dL^o{>j-mq(MSmo;FjhTiZEv7Ul8q$}09B)-J_`lAM4N zj&PJ&tv=kJEu4%;gGUE_3ZGi`;;=^C9+ld}O~AiSEeam;HaR0kEK6?-J)sBcA<2sI z2{n8Iy59g#o(!!1P5Qd`3ji)vCk@h{g0=M{O=dOs^ms{y=DJ&4QdiBgBfCPXS2Uud zI8z}&Q)b_W@qwIGB}*=A=v<5%ABNydU90;_{(AlKvR2Sc2i7s3`e!^Dl;3pm#De28<)L9X0R1mi6crA1XYs;7Ea4sgitwuYzj z$IJH%824W4eDzbehdj1G>nY-z6N#}BG(Sn~*J_NJ*nU99(UTLDx6RcN&8rQd*r~${ z>Kdnrm@mwl!(cJr236TvM8r*FMBmUpy!jjv{Q}cDu-L8rG|7BnQetUK`Hl-dwF7S06w_gn;je4xpfEcI$FA`w{>M&Me!4``Wf779VHFWNoVqkYn1<+!i#KL zebxYay|}dXT%r7V&dB8BjBv8#ZQ51=gel^$`~gK?3#^!Y>^_bjoTyW`Jrtf}JVth2 zj0;rzy#K}Trc%_jlrYJXv7w!DbXp?W9@$S=po$wXFT1$*@I7EAf-Z#G%u43 z;<&orvYsqc^E=}e2R)_GYjsIc#O-i0(|{)R7IqakuJ#q`4NO(BZaRhwJ&S1&z%EQ( ze!DnW;>}|)CfA0=%6U}Dcsug0wPVlN(o+AS1nZo(4_vA^8EH`7d>QtJ9YVtCGP5nc zbp65WW%9cewcUcCioJxERL@hSzy5u-{EK2^&x3j=j9b}z`ibK^eM-x*xPA11%MgkXftw2F)l=FPhmSbQqxR^N~%I{;e z(x;+44!`cgASo=R>~+|X`;GFxU}(`|$v_3p2CuE6s`uP#9N0N#7)bxWK6f58U=_{m zsf$c^T|06}V}9?UH4;)k{0Ucwtz|7q279mNL=C@q7e;#{Z@g+j` z6@nwv#+)Bz4VX?KbwdjLY7zAuOzI>peQ6x0uVA$swWpEhRYh|4UGUf3H115R>g<1^ zijLFZ5Q4ia95UkIMwhbc$H)Gf+s&!;s>QQN&g7qALMrmBot9U`4b1Hb?~)H@xd5gC zhgOY1u`L@134MKUBf9$2V?&3Rwio5b+Zq@2PNhSE=}L=osEDodI9e0@2PwHh!HV!} z(l=N_6KlVIG%3GtBcuIy97Up}hMCuP;HX_F>T8ocT*!bNX~;$`Ny^U)8|*Ewc&JK?=}-T_U&B}(O0^UwLhT>P=55; zaAm==Tg&Z5YSk;&h`rz8ga>@JCd^#$TG_g0#9y2shbj&c)K}RFD!RHGAc7a3RDLi< zY6yZ*Ry*TOf#fN#s)MCa$%o5j-5M(I5KbKo-Z1a$ zvrsDwME?&AdJy+~mD6q=u|%g0LJQr(4S>AH<{Je;*(IK<0?*xDF67wv zveGA!>wn21iPX{e%)cE{cWf55#$9fGe2FM3&SjmmL@-|=eu}sviXN!Ir&ZfUY|6ec zSd-K*SgmM;)0Wc5VD`&hDtJQmu?ya@FU9;g z29m9={fE>&UQBUN1o{sW@3N!T#52|>B92H}0U7Jp6(qQ@Kv`~jXOp0tv?fan!1Ts- z6(z6|bY~l&0e-0RSs9ve|CeP@PeGce*jhQ(Mn!Uc4L@~+6{csVw27_7V82ajRA30* zW3`^fNSCJxw56SLjz=#Y53D4HuX-LmaO7SZ2@2T&F3zoJN{m7t{RAB}57XOS!~!ra z_F~EMuj~CKKkQq=-xBuP%7!`Hui0JW`meJ4ak||j1~c{k`HUY@CmYQ(TuIG|!T-JW zH}JA-ww{K6wZGuet({s-YnkES=P8x;;1L@e=oMW-Mob>}h=|VYgv4f|K^99q8y~1{ zgrBRvFE=dEBIj{mPNUVgE}=1pY-2z+RH>X+g4SU6e^&ruU7%*@#}DHzV{xumbOL$m zVpyYL(2qRmpWs-c<#W;8*fjuSBk&*Ov$X$9W~l?93X(d^yaTr1d%jnIC7g(x%N&^6 z*`DEaf0T!45&i{+4(Ttfjqb_F<=2o2w+$Wc@X9oTv3b~Ow35pqL*AoSbC@8i{eK@C zgXoPFb9790JeZMTDSKQ>OE$xUQnpbPTfW?p}J=UqA`k(gz8FQMQ zqz?-ry4z`ix%i9l)5jmdpx5z}{@`~r)P}R}6a(VTV;tzeSxwW|Pht&MC;6>ZVk0b{ zX#sPG4ZYbu1to8X<~lHjqDev4?W}6%Dli6wI>#|X1scXTTWim_+@#|1d}Mo$UE=&sMDhM&VK}{QN89J0GIWY|lR-Ma zvS$Bbu2FUh#c9O?_#{8RWV{bu(97nSo}QqQuMlmr)YmYvb1halsQ0PuM7a5rrZMJ~ zD5Gt?&&)!zxr`k4?RB9`8peAfEfk^pinpw7yPSfZl|PD#8gn6FlB7@zO39-=Aw)f# z7{E(ne$8d0Tj7L0A=n$eZEb7ui|}tu(}-{k|%{D5X^c z1b)eD@XiW(8$S3J-$};c_}4uz>}i~$+kV)$T1@L_{{hpD$Pu=dB)KwXT~jW*_B*WX zhJMh%MN@sqin{IsrV__VNxpP&f6|wLwVr0RQ4emmBQQ=Z`2+F+MgH_9s=(C)H~4v< zIj@)LK8*Nm?q_~5kqzlkFmJgg_CI-BXejWndD6ilqIjyT_Et3I!vB_5vX3E5D~v?@ z8_oQ|);|*i>dIg0>qF|CO(h!jY8)pk|E>VfbZzHHGuP;O1LYY_2M%xp1|x1?WU+wu zD&aOG4=dijU^;YwzQO=H9$#P>9mZMmFErMY<2lDBiHJXn{*;l@mMzp`)g??~o%qLY zk`lb09V6)}x0;XD>j}0{io@v}4^{Pnom5?w@Ul|JP05x3uODRr*V&D^xiEqPZakdo z@&4evXZFuh%T@7~5`(v(&%k@<(a%B287~QZ<%QtL}DBFl{Z={U28 zT3YK_i}=CuX*{{aiJME_k6Jn0i*BCp4$f_D-R=)bNNwtjTG;;;R*j5 z7Y9KI-?nC#uPSW0`X0w(s**2xXxWbDaf0$BXsf+fBkthvRFlt}gVThXzOOo$4@N@I z%oi;777cABM9ysNko;%Q*EvTw;=&6!yLrX&z&S6nlzkAUuRh;k;4abTNDJ7GxcI|T zo^ztj?|wy;J}-8-9nO?5$2*B%3Gah7S)a9Z%0= z%YiP zMnw3Xr=3PB7?m5%eHxMBrn;DQ6_{92AG+9?3 zKK)<26+`bVF8LqWVoI>I(4Q%zg>=g=KD43g-={fEUm;|SBIJib9k^k?FHdNH4aY2T z;uR4rUcwEg$Wq$DbZyZJFV~k1i|;7{GbROB`wB|Bj?E*7f>eu%djwWv9Oy$?4TffL zXBM%uEeY|mhsm9_x@kl)Y-c&?iG1)c7-0=cY5w?Jg8?qdu;e*Xh|5>Mf3}=^3JSR6 zy%gD{6jo-bWjTRGOD#*=9E)2yrM(^cew0NIA*p(Vh4QtHdDYfQ{_;0i&oa?S+7Gxg z$@K1aHqUE*3g=Nk>Ow2bFA3N%GCO>T>i*E<(o0qV8<&_iNLSr=(w}tOTaEN%v{GHe zK>nR$wp;GG!thGuU+c~PMst{Aeda6PPP+Ry|4{CbtNi#yqge6u^e_QNxwg>wFf;re z$4Y}rG#{-aCa_WmZD`uP#=RQCwKcNnKHfe6@d0H|kd{7=;-%R%&=hG-n6a%*taSra z5hwjpM5T4s`89SFL`)W!w*0-tzN9&O{nJi7xNXZ>Zv$~{RH^K!wpS&nfA>+NGm{)V zYPFQo^i6%wg-OPSlTYTszy|1m^GN*y59Y%i5?aFi-RCTYUOL{IGfQnb5ej?4(X(r+ zEgyB!bOI~==;*ung6edSe{e$PyE+{;d7!5;bDm?dPzPo(H!RW{C1`cJ$bz~Fk}5$S zd3W01A@s6`#&<88%#?rYc7}lBHZuJ*hAlt1Z;?i@r`=3uC||@FuX~T zuL#zcJ2bbY>fJy5<~w_q+n%vViH{4^&Z?VnrcTsd$-v7L2R9x^Jc$kXXAYI)85;E6PqiHMvuE*OmR&-UvPQ65(F73YymX`3KRU z^L7eCZB}f{F}9Z|6<)Z-IU#GMG%OK3(M7{g8#uy;3{KzObXwt$2;J|d>r~0?59(DQ z-BMc|I(qu|*!!!{DIe+O>lPU&Nn2iuW3~L`gSc)he!@_!XvmPJ69>U42DRS&Z?hnu z^k-D0{yS>KHWxSC5+k4t;iD6+ropyODQ(030%Oy@;}lk;;gtc?klKAp6l7j-P^3btkF91Wk}4jMWB$7ZdVsphQb)Wq}~Jb50hGmBQ) z%SgYEo+9m+#uYFvZ~!64>-IJ}f0;KjWzTl!=$2VxN6pyJ^)AYQ1CRL!+ub@SGNfJ1 z)NNh2!4>hUEw2Y1S$`h_;hFOm>220)Gc&P3n9Abq6W*V^{B`4scr^EosQFks+Sxp` z3{G^(s!UqInULZY;UdCe{O@$119`O5j#u#fXZVmaAph=bCs%%dU{s*90um_Ye;ym_ zGSPCcJTdryk2Yyr*maSrV&{$?jS4Px-q$kQ~ z_WtZT^v7_yKxddE;RkSof0($A?^tjwqBbF;@IUx!$_p{ZdylhDKf9+M8>P-W{$%Op z__GyYg>E(vOY?nGJ(YG*FTU-%E#4AdH>O|Xh?34AiYizzg!cWZUX<<%CJSm2v^TOY z<`^*xwtt)BtZTdHvIpbZ@V-pow1u_%zN%)}&v7={ zbu4*=((EaqBZwFYGIQkv=5O9uev8U4yTCe2o*}4~hqYnHzONVxBl!Kd&oWF5CYQ3D z`66;%#4?71t##^z&a@?j9A-={(`?!`IS{A@ELdzipv;wv{`vaZZ3G5Dhh>T@id6OsbII4`mrpv&O5=36Wc{@PUR zv9jKm-4(cfdE>`tGis!g=i&cm4pjq{Rm;9ppX%H$TftUEG>)662PS^6^7j(h$n#k% zsEHU`%ZQNm&s{vvklpIlj2~^IPo4bZ>rU+&D|Z>MNU1EbKRY5Y5FO|E+*1@-_WBnV z*p)^8`VDpPniDf&ccaYpX;@yp*p~cX3c>g$G&BIW4{U3yz;A;HUgu(+i{TOd$t?A2?KRUfL z&GoyfP2z-VE_{{$=UFI?Cv_^$Zxpv>*0F-m<(S{iuqvWRHILu7^z5S`uk_qtPxOc} z{=rAds`*v^PnZ9m+9#QhaLKm(Sa=(#Z=Zc823s~_-@c{f(J9jBDW)>lRbz6(lTRlw zjBdJleL7TPK~n(5H7eE&$TizT=2`A z_D#z8e=MDQJk#(0|3f7SAtZ-YDoUZFvn5GKDwVT|q!P;^#~mEXA&2o!R*5+zm2+Y= zHs^($bBGvb<}|Yn+wAmv_4)q(baQiKUfXp&ujh4t+@A@sagggDp#nDsRQeH=z1>-r z-DII`YjkHO9{e5TY#~X1kg;*f3a|Pr-XqTYngQDJjo#0Z7tH5T?wQg4=9}f~1=|nq zcrbwAN24XV3Z^oAgomky@M_PS#ptfreUGMEWLo|%{^p%Tc0@Sxd=nTlf0u-1v7u4t zEefkP40G1aRms1BSnbN)kEU9l$nxDJL`Agqm%tO?1!y8{I7bl{E4v8fvZpxo1nI9$ zDj)9~6}6T+=Rnzx+Vpj{`0RMGO+J8g0nP8{uN4f%Hv;!QP)avbOHP&L}c9e+=gK3|2^Q*Va6K(U>%3N{mjq|xI7nk2JdLfQHn~+$-313c=MLN zC}k@06bn54F0*%$PMVcG8AR?g{>{1sMx#4~`H}so5)GJ@s4?Qzw?DbKSju=HB)8#m z{SQTN(a#OtJn66x@qq!gbZwswl`+!#sAhJu(Rbsq+8@V9#+tB}s{Rf$`-HoEj~90H zvsAtd!nQ6|Ipk@^490i6rj*4zL5T8=J_ZbhUua8QxOU;ea~c5x9bx zv|1%~0RPwQ5;b}E*WG4stBXr#FZH>_a^F4gNB^h|&>=B-2@HDUW%F|n;J4~oAHu)! zKbos>AMDb*;X`q;{Oe&=$M0jW1)P|JmO7zE!%R70+>hmNv`LPOa*ywo!Ay{P@SHQ? zVzgW{*iEp5pt69DS3}%Gf?iq1jI{0`kX7wefUhn7Kpi=4^C5+TZfo#)*vF$?A_-?} z^yg-zPoKLj2;x4hOg_e@E3VZlwL7)NpY>N?N-8b!ssqrWm+MCIG@&>24O-BRXt|n^ zw9fL>5^`jtrrkq#wJ$w9i2wZwb`Bq$2Y3US)hP!cCS~{iVz7jWsptEc6X80Lo!A)~OCZHKrC!8D`IuBg_8n^O#J_kv+`?%-@J}gJq<-RuGMk;g%6m5#q1ljb6GjTZq zXpFqB4?5#{Pjbvs!SF&(L4<|O2HFsE@@;_5i7XhF^F(+`irb}n z6y}HM%R!t`79N4k<>@gW3tZXomLQrpI)+rBO2$d+fHwLAlA;-=-+`oV&PTP{PxVjv z-|RAgBIqS7&~M#rTZDyT zM1Sr5b+Z={nlgeb=Jnr=oXk=A$b_82$uR#`snQ`*QJ|OzY%l{Y&l4#9Fk~cGBun^A z2e>`%JKa9f^>B+^X?#l2?p~Gz%sWy`^H)zJ4VWURm~JOfX*2zjrdfmc=_C1jnhgb9 z_m%viN4`)}s_0&-s;FSPbeYV$3b2$7lO`YFOk_g(q(cmn zBPv4-vP1ejmsZV+Qo+~GSSslSPI#_t_@&x7V{v=E^aWjz2a(6OWGu-81j}OxcYH)pi^?Q$L57$S!Ll2Ca2MJX||&{E%FT6L*vHxRcM(+)mYCXg^u_dkPR$0dUV zrhQHb)G3RCF>jPrZ_$64!a=iT)@5_ehpt(2fAnn3H0%IT0r|W(^9o!}V&cKTpFsLy zjo}-{z(dRQ-?nt}JZ%uak}HVRWzFP4k*!ccg@qUUN)W zLCfCCa=KnFPEr_YbqUWnLgDR=;L<(orGEtIjC5l6>oiYuQ@@qpLUC2YJFcveG;XaJ ztaVNH}G=bbkr&8!>l;vB5Gmwe5ME8I2fj)dgusXZtm?fo!7 zdXMSs0@Q;wt{OhO1=&$2anDb4ZWO}aT0GN^A(?Xa+$w>gZds(^GSleh!0Q>e*Vmm^ zgXI6EotpKvMrdSdVPoB8OBLkORARY32@bdCesL+@4vn%o`5HjXocjtiYFiKnqGP%% z(3Tlc`FTAFg4*f%mAss4Z~@)4a@}n^K;0KLI^-M$y`Lt4J5q9a>Rf*nAYCr_y73K& zQ2O5OX@V-d*$>cAW;m6wjjjYqWOVe;5e+V@s*hF2(KGX#4Bj`sP}-+Gt{h}l@P3;~ z78I71F6Y!RFJ!X%a@bMs?jK?2Km%0URLSn*H2U7LXg};MS5DpkBwxk%*{St>awm3R zh3{tM#NE7&goqo4qw+g_7HZmn;NiG1D)rV1{x`%EnfF5V19DuA36VfJQO4B@yl5UcC{dRTSw5a&P*Ho2@_-jt2iOd(@N@2LZl{^RSZ_y3texT+D zHSu*9_pfEH7;f4eCZ5YUL4dM*jCHJoV&fwe0)A#Uh6&bv#sYRB-8Y zP^NI!SbrL;h47xN6Qg`*x}l^w0Se`rvHscvPTUH7H|up+^+#vK0rzbEIBCs6`SP@@ z=mo^pD|H9l1uwT=n7@~gzhMwEO>aJ$C+&c^0Jp}c?iJyby$;;Pj7w28f7LI5-x|IN z`*Gz>*uGBl_>kvM9w+i7gFAaOaqmNZ99`X1C+GWUArdZTuIzH%&D}^%9{lcIcR?5S z>7d;kyRPy&*R3hZV7YkMjmyx53e3wQQ)$2JC-`yTVkSVMKTnsy3u9WDP0i+1T?N}T?IT+I7_U1f`wh|QS0T`!$GU&^Aq z?#%dfDK+fKp8eB&jj0H*UZHO`eOC^*q9cAL5jr0sCI2HerPp&*k8br|h*(Dy;aVmiIQCO`pwOapj=wlfXIlfv0Lr$j5m z+n2HkYpp2FLDAEy?{4SB{i_rHGKc zG>gk-`o%D0(&d6IZAYECnT4~j>ud{ogih*y(v-U3#)Z0k0wV!FfwU2Qh{$|+=1k#1 zzbhTI?^II%N*AfX;L;&XqWi4Asw6vJF^5_Dfq#5rH=tSrW(Y?E)J545y&dI>_mQmI zn^hY{bQJ}dHPVTvRH1TS>OshfnXKww#SO2tmT7JvkmcC=LAP5@ z_IzXW;ZViRRV#bS7`N%#XLLs+&0;FVZM*+G8pyS*a=wD5Lr@xRI_Xrk!oQ>_`jFyD zjA3pBtVq%DOAxtdb=`kxusa{7?Nwj9@?sgTkI`9I2qUx>lqj)^hgXnC6UJ|DQkoiR zg&D%9fBP7NDN*B+x%S8^#2<5|5hkBW1$ue~u=ooWzqa|bSNnY?d|A8J*1bv56g#iY znaTF?9`WIf%eAsqHtEWuFPd0Gd(O&lC30s&aZyWcAvV&9z+3IRh#c0>QuFR!^##YKGm!-Tud4Usn1i$HS_70^` zH}`!f(+P%pjl8gNW4AWZYuSaizyI3)KE?-7B2*Ccl}I}{qs!L|+a(y=75OKMQ+9*^ zxFqUX|J@dW;?Dpi%0daOyu$U2=zq!SJfyzOTDaqE-J~!zR?z)2=eb*4HG%$d%PQ`G zLa00$&c|s4gkIcfs1|zGzr+UD`HBs|K6Uzv4@`B>eCxUP&IC|3h9LKkn98Yhn6{1Ld!B1@9)D#oVX+zU>J9YBR~=^e1yRG?8uJ^;fUZ+r6ClC6*fA|& zO0Cu>@D&<3A~e4#AZ{oqxfB8mfB% zWRqVu1HumERIRbgci?-0d&;~R=Fle??Eopsn7Qzyyf+$Z$f4XQiEqQoQgmOI(YIy~ zkIYp1M0LRTHiasaF&^mn@)gstt*dak^}c}cB)Zz}UGZ#4iO<*EDq&>}!)|j`KiAa{ zdmOKIv+Vc%U9kC;4EGXYYMw9+OId`TUi9t)N@K|!C5zIWleTk9ks>BPWHsVuB5Wiu z8&O;O1Q)TFb@ohF9c@uZekkK8wHSl=cg z!gp)<7aQFvU4h^@jJKO)0-(9s5s7VRuNDb;+l;ps|L*_4gNQ+xZ1}$qKQ|atG+9B2 z^gucT%)476QMecS^{g_h+WafFIQ=OYVFmUW)>-Sy)k;5|ZU>n37GxTxo}D$;GhXE{ zF4SByjg6dWYQzd&|6$Zw?Aa+FEUz7Ps&LH%S5=P{Ks{j!-kUtajeZoWTXA_g{4MI&S zB4Fh&+@$c5Vx&A{&Z5u)2ocl)x8}bX|DBVEIpk^{B+bdgpvMyQhsC=7wG1z)zTvYE zZPJ1J`{!<~!8HgC>I4fdg83zkD=iZMUG)9QX}nET8<7#Nb{94NE>LTcv3)bv|GI+V zaYFn4=Ch$1bFq{00&j`~HVv|ibYvTAFzX#=1=Pf54D2U;YE=>3)>f+GvVLX2pjL)g zea2DQ(wz>M{-M;~#tbKxZg^4VtfUho9GEh?Fa>TTOJ^&mRM8Wq>`)*pEzvP(NG?eF?5B~5SPRF z7dwAIcFVO24en2ORj*U3#8(V{VMt;o8U$?c4+K+Up5CWQ@fI29M<9sgOX=lpnuquL&ewGhHGpM0Py=h1j_MQqX-jDiY2#YWZV87Zeg7s*t)>aGE&bmea5tA`E^0{`MpctP8H}YeJOp~ zAs}XJkrSz*^3&8lGy5X)?M%|0x~NmyVkp4@j7S=2vR~oEkDnPpn(mU-V^&8`5MnCP zajGFmT^fSC!(Y(}MTi`~cY~+UVM&+S!7tw|>^7Vm z0N>FQd}nt1Od@1wS!DiiEZ`w&bCTjJ@4w`eqOycHa=FB3hHrYyjAD|t)_v+iK16KW zs?u;h*6n%Zm-^+rE^+)Y$$ytpaJ7fM4cygzxFBF1X#HLW%g29>kaEx~0{QJiI7CB~ zC!X-FX2-?COG=FIG&tgZq=oJ9zdWs*1O8SEZ#4QyStx4r6JxdNwfbG(?*CpJA^SI{ zr|AZ%=#F-b+S5^D?0UkuhH7nfp?R+*B0{nvG8F)y5rsJfNzI9fErv5$zYQPd-sh|a zSUo?8$y~8!j_;|h?rNeHJp&BawE}HPLsiM9TPMqld#O%;@9k;5L83;eJd2gfc;3_K zw~Z1hT`So6cgHh%G$n(W_JTd?z8_j5Zao6ghUw?8_Vy5o~D%xce0lSV4F z4BxX_kkn%Cf43DC42B=&rK!V^1r5tDIQ-F>kN9C!u-ki1`fT41+g~$`a7(j?1E?P- zEx@m%iIEv}nJLDx)xLlWN%1AGt_&Duw*{;Z#Mv_KsI z3?ucfhk;|V(cgQks10LbrIcXacZTO4r%`ffqGk%~BTFEDA?PPJjPgBB(RMzh)qgZg zbdG(}vhCx23)wvG*9vbgs?bIGX926?d2>UV{4)Jft3(^X{%6Mk%jb(c&g_SuD$H0_ zb$xvNMrhb}yi9-=;_t3e$c@qHR5Z}*%zot%PR{ODm1q`?O&}#k=hWedA9| z#BPCv(JH+x@Im6Nc^F@A+j{(SeRq6(F1U$3ze_OjBG4Ltbd|I(x2rkSc=5pcn+>gA z;XRcMxn6Q4SML%|q*L)4exh(o7Rfg!0iN9T@0sR^g|HIc?<92PE?T2@bA)gJb` z)hgR_em_y8>_C`##w$uD(6i+P5SC-iPY3d`A9In($3GLGUkIA5Z2w{6BGiDAwN(JH zL1T!^w7xrc*GTO}tKBX|)d1qUi+c$C^Hpzh_==_(jB87`1d&A5!nL$a!^ruLb zORGctTq#c8qAeg$#23Qos)%!7pl{w1d}W*d^keQ&F07I&@u{f!t$AOVj{>+e7E6)U2f#Ewj0EgUIC$zJ@KEsU(>JDNVC-lkAJ@=E;<{FvSu$#TGs zQV$PkMGlhBgonSb2VY%V2AuBeq!aJ3UGJ?Jqz%JtYCAmOp{suwqoxh@rD8YHX)F z6#_VhMz{Y?xN&OrE>BI0XR4!(pMtLj-f2aTar9J{YyW6(qwu1b=2F}Td`((D)rU0$ zzgad&SKDQHg6n*p{^ya)$5? zARR4DZ#P{FJDB6}-iJ8)6-($%8%c?F_Y9xVZ7jWH>t59Bo8Z>LdljrXJ~Le9;W|h) z+1!G4*bnL2_4i(`d*7Q#;{mHfb%hGstX7C&}J7lIus+{|NH-n|3*B6sj_;#fnyA;8TktomVM>DbSbvY~fd z%QpMUGMmfV#jz=gSv37e^&r(ITFJmoe_fB7EzcRq=?Cj(sPK)F1c>nZU*}lT#uwu! zT~ND4iVR0>Qa;TX5(h7uU1eOI>k7t%`mzZs$qo{#<(qkBR(W7IIiCQY)_kLkgg-RK zmew{$eqVlH*p`?ZF&dUzTe`)cY1WgYTKk0nk2T`DccNO4$3Biz7<(bdzPA+x`b=>A zz)vLW``tWpy?NWlUE2BarjhG)HQ5$%6!E#8?#+W^P5Z~Ll^_xFFPf*>s(^Fp8-Liw zI-t7)`o5==y|+tnO3CHLBr_JrHPVbkK-k8KjMwYF2ghZ9YRN`T6ubQiTi->lZ7r@x zz(g@2B10M;yo@-wOb?#%p}AAp@r^5BiR^Zun)yLMwGWnUftA{pzwOG6G?musGn(hh zG&FxtQQ2*FcMR|K&e(7;S@KOZ-qS2Z`noDnAFydd~;blPj1wKTO`Wn&GS@qVZ$ zO6th)46?q&0@}rUw7~*mx|_2i4y$%uLKBN-w!7kEfG)=^OKyZcUL^sV30p*egJMT^ z+bKiVubGLQHr!GnJqPfE(lbe^?*2I((^>h*ePRSN9Z6Ezw)N1`<1<^q|5#t#PBIc_ zu+phHrD!koC6~?c%vL89I8Q_aGg*@=2ci6_#TX{-64(6&Od-^ZBa{-ky=m^AiM-SI zGE1S!PjW!&$kFw?jB7N46h1t_VH4EcHJ0SZ1|3^3w8rRrl_?btZfH%|q$?bu-` zH$DE7NqSkkpDA+@CJ#Gn;Q|AaN^NWG%a($nB4M07$?DV^R%?Akjw6&qlrjHszC~Jc zhm}crt!$Di^D*z_(HJ^bY>PGrfr%|2xRE>+xrxElUc7dxJ zSIkjBPK8x$6XjrXsf!kEt#fmjF$HA@9|DKzrr9tAI1e6>W>B+)J&&6XPWTC|7aYY)mm!4%Goaoag#GU7gOFNw-ax0Qe{eN z^b>;?2i{6L8HeeX+pR)LD3f^G9ML+bV&Tb4?{WLMrOvz}4Y)F}Z7u(a%|{>*6>ll+ zt8UH)`1%$;^bn2Sy$kl-b?WFSNyeB)4A{n^dIP0n7PFz5bLy-WUP2Wz%iU6bGv_Jd z7_Wc~v0bL8sRO>M-~xa(|N9;J=YS{jJ!T`}JIF!#H%SEm(-Su%-*E76^T2IvsVUwA zS$q-Dcqoox-pGX&B+!?D|2{tpK#(m280w!?hHNG1HK{0z|?MUpJt$=0B#Ndr$H?2HvYC%L!Wny*5h4y+|d5tWXbR)o1) z{`CxrT5qmY=dhf)}I(bU_e2q9b9eQ72fGFgQ z2`MPRrzP>OIz>aN@+^R&zTd<#EAoKl*a>bN z#5-}D5NjmObpHAO!=yujS0zu$+S|mwx@fndc59-m$Pa9B#9vz+CC0hy+;knW-UnBY zyR_`FjeN~!^}AC8-&hNHGx=RfRAZy#=5sH7oFM_%@03kI8h%`!oQaIr(Ts@O*L9Hu#Al9c{=oZR zZUy7>kvqVY!sE6dBmPby>k?=(p3W_XoXU?{efmEI1(f zC01iDvzUdhgnI_NH8t-5-)W&r7B9y0&tvRrgbdeC;Q)PGwLoqH7$sdYI40+Hg?2Ue z#36dNG>{4om`LzQvsjL##sz8eK+DDb`$9HV+5MFO%XGbU1rZC%mIaSQID#YcJj}-u zqKlSCMKA4vix|!9x}0J~!Q~BOMQ2^ihW#uTJ2$FA)nAFfFW5mhPH!1%b6k!X<#}Z# zv-PiUJop*v`;ys=dCYBoWB(bv1iE4_J~2{giL^VAQ`TG+C)Woq1@u{g`pKH#Eq{XWs6;hetHa1iN_Z6&_e~4XOgJNlD~+sNcbW`SW87 zho=^dSr51X8voO<8j=p6YCSD;r5%KZ5E8TCnBR3OiK%>fmW$v*ZIueZt1 z0w_X=W07?j=<)C-n&`ChJbhh%pW!~Z{9JD}ylM$jCI;r$2IZ~7f%&?$7 zoif!7K89&y-@mnIQNBx5qpJfk>}22AN|H{1o|q|Kui(_k0y?vUwF{9{Plh`WO>Mf@ z3aI0K_1U-ZihZIqD()`wTv@}vSeo=)(MY9;;kOkMjxqQ@QrbqDv=-D5E-07)@(dCb z9#GEw%amw4w`(6p-ZOWB74_+F!vgvMt>c7a0e05Q=SjLcKcqe>_GFSmYWJTxN2;bR z-USA)O|}2C4>OmVBF?AO1v|U|R&EEaM!Q)xdvL4DzjLz0=+V?iBJ4cBIcx@J;)(n* z$qOFCl=)O|pb>~`!vz3shJ+|5>zjxe=CiLuAhgbQupis7(V}5tV`PjJyjZRpY_un2 zQ`Enqyy|>soX3f*EXy9f`fAhzJAUzGg=q!PIROsAmAU15swf;gcz=KH!MvDPdlPbF zG)6~!vq`L9h~am}O9pg=045SepQanL%A6ugecF2dRF(6)#zW8kp&hS=kTLJ%`ceB( zZA1R~U_bRUN>rZw@e9cgQ9DT3)C`Ffqhy*Jno3g?(6I{Z${59_en+5t8b;XsWXp}a zf~U{2y_xSI6R`qKJ@KAPRKenx>-9!@H$SpA=JV<+w{E5|GQ&24UoSmHzlF#=b;G%N z@+Qo7@bosqt=9k3tAwFIBzm&e18Vb8w0;c{+>g*YQ2}W;DHm7c7Nf2$$!Y5FoRLjb znR@Lcn}?9Kh2o{l+x1D3Tk*2oBVXK@TFYGm&0qfR7HaG(=7ehNdruF4*a@oL3m*Ef zJ|K7AKbVn4R*{m;fQz=dqo=5(!+gz_6b`6@5S9?yUe&7x6Dg-YXn5&!_#5KRS45;8 zQ>^`ccnZrrgKjrp(Jf(IiY`y$xQQc7=_vtx1vv34{wV9d=0?*8&`{E`+uc{a6FKs$ zh=s-9=KT=8X~V629a16T+@125$>+fH6y8Vcb_2c|B@iU~#wORF;P%|8XHOqlh}_Zs zDE~8Kd3|)3iVo?!A43?#d2GtJy^6sQQm~TArrsnXI1B6$j@opIv~3DI$x+Rw%LNji zXGSuAeTe&RQT~TT)fm73_o;{N(mKa-Gw|2Uw$TEgM01lJK4}gji80N?!Aa68A6boX zhZGEU9Nb;rN?coKmL9>~@+p`uDspiR-d%M>!=s1)YN@PTcYc~uCGaw|?(q&cAHRsl z|MkALKeZ9Q3*Geg#lq6UGQ64AN9tYa>lkEkwOplL{8g3&N`)wPF@7J`qd~O&>A;m> z3wl{$#2a$9NPMC@pGD4YIRm+skwV}xY}YFHJv06*?Ru%ju3HXG1~=qQ=}-FW-agp} ze;LrQY?y5}@s%0b=xC2$x)14OUtSuuI6wJ|69Lx2L%hy~Wu}Z|ALhBVE)g+fflURW+Oe|1!cklQwR1TIS6%m!YKHx zf%Wg7`XgEc@S@seB!zm)Tr*13Yd@)QA8K7#58~WHrS#k3w}6Xupy5cN<3_b$Le6#n zSU0a}UgvfoVI;hb9>|&ev^NVIXinJA&9p?`zbNKI*VlNNl%kl)mEMS&k)PLxbjwpm zbLtyxk(Kp~G=AIKs?m6uy&*@M)zzF99TSG+rfiwQDSZG&d=_;ewy`hc>C^i2CTEx| zUcq>zm}WZYEewHNQ}U48qj4@)?(fd54|irHhh?iaej*N&`7nG;#7E{(QzskdF!gX= z7xO8w0rWv1?5KcPHCwF;KSGy;6uWLV`80ZmPk$h~{0$}eEG(wd!z5qJgk2DUHdMA*y^BI?ouv)DWL8u=TD?V748``SnCZW;=aXH z?PNd5;|IjoGnbZIhyav#<=>%qc{?FO2Or-|rJVd1;k`C_%t5F9@)>nE+^Q z_4C8Wu}5?A?tO>^PX#?PItBK?8=7F`#%d#)=IcQo(8M47siXbt_LFB_>p{y~xu1z! zl3gl#e^kzN^DMO&qN(l|lp;#(6$mb$-K&L>pUiDFsbx&GnmiQRELVb|F4)G4ZsB*% z_$hZ*holn!Y`Xlyxn8>;T>VUMKmZ9?k^A6VJ(3fr@H>|G`CG%i8hxI`Hso~G!AsQj zu3{WuK9THzaOd3 zwMp+;s_Y>B_VPF=GCyFxy+Ct0^@E3SSunZT@zkbX9Ovga!?uZy+UzL`c?|ryFAiLg zFR#z#Hh zt5irnQ|V5NF}tFXLo~tI?c7)o2(Mc1sy#k7!fT>`^_lOrHUZv>x?q(`GJO(bbCtwc zjSc7nWWhID8-9Dqa88f2{az-qsWTQ|PUn{Qhnu^fn7{Rr6;X222Pf;7{Hy%PdASvd zJD-Sq7b^7@gHo4Nv)R<79m>HUdp_!_IW&E+xwk_2t(l5F%cce}fn_o!4l_k+rGuNd zW!Ax$jD(&v>R(KOC}lUI7vFy~TMBMf6_zA4yux;W1k+oo=cb8DsXw=+H9E%moyo#t=DHCci(cOrPXNCf8*zZCvS4rDxzySB;tz5 z>+XDXWQ*QsNn<-~%`*mL*qP#tL{`4ex2VHB47~fa!JV%QrZU@n)t+Scn>TX%mx2gP zTEbwOp$^HtvCb%mETCWiL+(#~^Gs>YsOlmllD>ZJ^pkNJKm0E{s93r0YS1Mq!nP@g z4Eirwd6cG2d+%TTEfQD>N` zzuQp#=~>k`fl&g5x>RZV{2(X$m?@9@(z4k*^7m;iOYD!Ck&)9_V$41w!~%S7F?-xl zVNE+%R?!`h4t?g-sxf#6XGUgOAz51yt%cIdAzCv(=j$gph^LuZPe|B38sWF~OzUC2 zNvS~zhAN%w!Jr+4(^HW^T4bf}Vvf)}g!t)hC?oFz@?~F*talsGhfP zn7m<$S>In>1)JpDjaZ&-?=~Zxb$(FzvdF-{J@nZ`P4MrQ!O~{wG=bJy*EdoyGq~Xp zsejzlZ}*xtSS#%eT7S5BemVL>va!~ypO{xa~C#cW#(dfYAIJncQRRE6$$PA;np zU0MF2%*d`Z7HmbC2}s^e2OPGf${F)c)!y4B9Kvz?*!oa#UZZuhKLk0E!}Hg*@m zTHaTS;ljmrlx_cTl=QB&AHm(mOP&rLh#<^Z95-qvuNGtQEha-L)MW>sqmw_dOgu=vI6|Zr7TBiM2dua^h98+vhG^PULI43zD>r<~EPs2?IY?#Sx3Eilyg~+FTuTjlN>%^JD1Kek zh>_3oCQC;C#N!Ullx8i9)n=7|m>Z4|BQH_L;&@-dQ#YlNk$$1ZYU zAqBD`nP0G9$Iw*8)&k=UUXX1ocy(#VT#z!ccs1ei& zdu>?1Rc-7#Fc8V|(!O$z7uT_j2vvTN2l^iET7q4MJFdyr-u8;z!P~{!5r#K=KvTq|LH|?O#a$LU$Ni(XQCBam%=^Go@`fnW2qiBVAa)kN`%lr+0(G z5va@fY)g9>o;YZ(_ajmT6_S9w2gMs|#cDFr+hO+LlFpIzUZ zzqiQjvo=D$tDw6#dtVtX)qPeOZU7d3!}4EkcvuL&JaE;lW$utWv|O3B=C+%n7lprS z!>z*7!zfhf2VgNkI9Pb&35o&X)yy<18~2Rc#9#%ZlRyLBKhF$w4EpWj%{YgN(PE`< zmYHM86jSX<(D1e2yy7lM1qib7*YOYQ$LpgzI%T8~Pf7N8Uqqvk$z~(97*3(!487v1 z5Bo_LAi?KrS67#gayjZ^7-Hpmp{B~mE1F{D`k_K;nu%R#!mpf!FP#J57YXn z|M$SN8wu4dW(3=>Dw8(6@S_>HVUvDDj=v$p%S|}*(TpAOqyInXb?vp+)2GMtVaMiJ zmn4UZyYPwJK8MO8?vL~yY6|pPI|W|Xdl_Yz264Ys{|;{f8V%A|+7C$)*YGA=8luW_ z1Wv{Vh!-J^;o|cp2o@y}c}RVl^8!-(Wuwn@)xON?9M_DH37qls3dmGiWacbSiY8OW zKANSEa~8(K2dwH3=fLZ17~-7*Wxf&U2}>zw<fQ*McXq;;Wf)#8q|4CPaoUYBbf_E}S&?fNlwg8q!k{b!zpjdBAb7x5phneJr%@ zg{GgkT#sFqnW-5eha)~B{#E30hQG#?`45KO4_b?Z+a3P`UWOBKIM`Iz%N!Yr+v{?4<)F>zm1(6Zr_O_GO8~ z3}&~^PvY~XOH>N3+?Km@LM z#>!RiS2K_N+wAPtPJ~SiHr$>X0j2>H4!YOpe=#iVuS(ZQB30d3Leol`o{HdL1io!PmGq5s4km(5;^T zg}2Pt!z&-^kmFIwD=+@z6YEZA^5kHI9)sk=<_11I{2`t~7Ddkg^9q9dVZr~gJY&52 zY))mE1v?T-8riWWtZ-F&{_Sf$U=PqFw5%G)FNm*37H>zKE5n{|#yk9800wL(lQ2qz z@k7hJ)K#^273H+kS>N>AkL3e{E7(0fK{ex}F>nV9F}hN{Ur+E6h{I^;Dw(oT9Z;45 zCzTB16;Ix`qEY``|bY^$fPknH80_D?;1h0{`<4@f) zCR1>Tt7(ly-j?ACC2+U!S|{la#lo=BWnHkN;`-U7-maA9;J(^D;qCuUY?^OCz_QCp ze9fe;m}#)Nhsv`Zh&hnHf)cnvsVzUG>@=8Ov_Z0!;%%T|qRXS9&$5}cdquFwYxuIX z@=Q2!3u4AllU%vXev8@UdoKM#UP(4Zlrau4OS~a25Tg0vB+3l_m(Q}hi+;t?IjoVK z%R@+){uhpsBdemFWC5H9`TnJw*lW%BIFhRrZ?cqgxg+#)Hj^=Z@26(S_0f@G-mmMU zp~fAOhP$el`%j81TU_UejeUFvyVrY$XzX4o*sOV~LGxvKSb(7}jpf6L7D!(}Hk}cJ z)t|(@@4!l|l3`KYhTdMgExR|FVXfP_uz+W4z95jD4#e$DL5Lu3oom*7#Sy~gXoT0* z!O}f|WloFv_(bIKLPoJtwZG2fM7@yKEq+taW7i+32{%y7i3L|M%cdD73(v6yK>9wx0NzDajV7NnJU`{bo&e5|c zcu5B(XCuI|Xq0uR_8wrNfBzY|)ZI%TRwa0OlVlV(s`>SIn(;Lt>tkSoLlJD00PfOP zJG{($yP6fv4^&E^fSy|BW#Ac+ie_C1wp`m= z0$k#JIpqG8J6_x+Q=hc9-N zbmFF5g#MkU%NSIiCnt3SF2z)x5Z%Rh?R{Su+w!1g@4!;?8!0NU(UEigv{5CBCHzVi}a*s~gT<6zD zVML=hXu2+_ho>UAPho+GWS0*npVX=M|AYQe!>;nju3DtpS!~Bu51Yu5EUFn3-qJyN z$HfJ2jx4Rk7rXJ-Z?8&l7EipVGM5IPBHyF>!Bl+091`I+HvS&NRS$Y{yjPZFQStml zkQYtw@iM&0opfyvwYcTT>6;^tbvZpb*ocsvG1?%iy6lPe5GbjWV1~#9q;@w4TgdU| zSLL~37F#$cwv$Abd^3cv_?DmQc;aZ2WMld3<#YNuSst&xQIA~eET0li-{`B>bs|2O_Xm28f{AbYbgzNBQH>o5EmFpu9){ONS7B%AmFG8eTbCmR|qNFvA zpUzSPoa{n)N=qX~?tvNYrttcdoAm)^KF8;uiVi?P8i%;|f>@)InE1>1g`e978=-oo z_Nz?4gWP+~`wGrRbv655bghR)HenJOE{?C`TIkms;j z-O}$S+RHpC=iJJZslKNOr%cFH76-&cZ>$z0FQA@Zo1vXDXqLC&D=z7zg?6=LXxtpp z;o&9tA;SBVi2rB?zR)o4N@|Sc3%7G}_wLydN^4y&t_Rnb8Y7ko(>vyKwh5V(PR46A z*`eO~%XJ6y!B1y5?Atccw2O4XXbQz`bvc#s)*Ho2wcsI?hkOB`aXE z9Mu}9oj=#7p1qdFxK;}4r75!xDIVsYkmRD9fcQ6>l|*sI=flzB6NWR?g?%3-N1(^! z&)O0UUBG*|f@rm)AQJWL?sG@g2qrene*fzBa=kmeaLBAojhEbTtu0>9FcqX;By`C* z23H{5Zp_mUlK4u78DLdW+@jTGiGNX=A32Wk!wpPn=+!&7zf=-R`#Hh3vs)@}Qe_5o1y;k0rndQ_-3YIBg6bH)sY+ltSj3`$k)`|48gS1_b`EHa04 z<&7`xEM(=%0J_#=zKRjm5j&nEdP>71 zAH7!ogPo52Z9Q^<`tEQzO{4!r=4bYJXZcTh^Ow=V+q6%vD4p)%Cn&6yph>XSHCKdo zP?sk-lgUUGf<fO>t+{9g}%fV2p z54#YlzSG3${Mq#jdzQ<9(s3VQF*o3Sm;<7xD`owHT?&0e9FZM`sn%`~O>9FpHQYCt zAzz;Iq@4$W^sBb?_2a9DwI@&T13PA*?)dE;p{HkEb}`+YJV`-^NCq7~oNzAwIAGf$ zm@Z~O^(fU#2S}lflqLmkiQ9lQ*_Rhv7Q5W#>3QpxsQ*=0rWEkYjq-2IWHUaHRYa36 z>vV_zN7TE=wfz78|8x*SDhkn%bfQt{xD6ph2dBHGBlTz;3|AN{w>F1xfnACLR}cE8?k_vIPFSX}8@=IoKO zr$acFd#W8XX5O~;6*?spDyu2)ll8tpGZirNtwh_*F~3FLMB`h7CuBs!LiRmdj{=t3 zAJE&2tc9RTeiVAGIK3!C#cewqM2ne@(I%xS3$ao^#(8a+)(p7&D?LQ$BMxspxz9L} z_K*&HNI$GAaBW`AoG2*qs+qY`X@97~+6vVijhpM&bR?rTcxXG<-{u<9v7M_;C0-EX zQ|i6`;>LklXQi(4GI?Wg!JlN^y3{lEEyq^Qc`R+QEFo|FJtXQsTSeQLTxYE(r_pID z-9@P%nV=UHn>3>3NT;()fID;cMg}U?ELw+qBYx#d77ArH6-DTVoMKiF!q9am^j9@|tF9cB_Zs<4Q|{>HEtS$1~=J9{Hb z-jv6jUFEs7In4)cOdaZ~bmv-D&_VzGMAkZq8~ zt{@97UIqV@sO~era+8zCi>Wvjz+v!dMUvy#vYaw0w4jl4lcqkA%o zCE0b{=y>c_HDX4PpRFBpRI12swdahv4e({nVCUW{i^z{vx z>U>kyJg$YAy=l+4@ssdcG9UaiV%OS^h~fjwG&{k2_SYRp3Q*Oa7*It=D0RahL zL*4OsIqj=ZKvibCmN7UYnw*_i_oBuI zsbk)sW8*Qv`YF5|hWQ2m01u&*o33=!RCLc}wLlbm#E20WR23=kcCMgi8%AXm zeXRD3s2C2zu7U9g(KrE}_l&u=!xl)E0DD}KNcPNSG7of7%~VMmAimxNztxhaM57we zyPh$}&xkRp!8<{PZ#|n#0s~6x-euc5W3`W&VB$;Ff`(2l+Oty$?WQ9-4d=y3_5PXX zW0V{-m+LEe0pa^FL+Sb{^ra^%!-N}>pp&@*SJH&j5Wyi?<{E=X25EA+f+10QJ(KL# zGPDZvf-hyK>fC?cvhzV|$(|Qa=y6VKq=_JPmK7pbN;S1S+xhNLPB6qrLUR%_y~fPc z7vE3-?MF|zsyo4-wPZwG%H^S*7fDDpc9;`p7M}z!QJTr<2i45cgTlHKqYqazN1ifu z$Ea`Lp;wJIzDJjz6xSxeOH=6vNqkb-GelcFyvEVvnVxVkgJ$Mc$vRl(cX$aqIeVWp z&2=UZ%e9x+(9n_~*IU3Q^qZkXG`+xH=I$wt04-;46hpx*SPH#Roz-}3yZpg&eT@4C zP&u=^HR`!SFYNbDQtlU(+wmLp31-WUj#H~V2(|eNbXxw~+8Yjr87e0_#fG}{V?W;y z^BeO$y7>@xk4T?z2&SS&x`u(0>_ue;B3>dImq5qWI7Fyo_y^cM9#!t2(18zf0qpb3 znoh#{Nn}~O%zi5-LXX{}rzpHPg`1ztEYDq@R}x}uP)%<8;qK!RYV4jTKMK;sPdeJ) znvjbB7z?ierh<={!{~#fJ1K%}%Oasx(Yvon(!IYy3-amboyH9B*dy~$0iqUAQpEAj8xL^36h z?+Z=Z2K2CXODXL4UsaY;2ukS=qw;zG^y%s~%XW*V1J}h@gzpuHpJy`dCN+u9HTVCp z4vr_z_}SdrL%~2>cprBs1(9Xa1j&vW>jkVb%CJ&JjRdOI`CD)0Iov;JKX;uq_b zNPq^QTDIQxzS-uhjgnwN|7m?fGhmO>DEyqnZdMQqtUZV7TBsRORi13mT(&nXr$lPL zo87D^=7BR1`rRF}WfQUVR3{-f)bD6z(R#TV|DXf3#_IWRTGRYLF*t^t#tbk@&B$^d zQaSBN-gI?c4e{0#8#W`bksF`G!tD?SAG z$2~3`@5fJH%Sh28&!4j+)~L;Y+^i{x;U3SVc}_)pEXl#dDyS`S?DCSZ7om2-BVgy+ zo?cdcAsW$ww6*`J;ML7dQ%E6W@8j9ykxEyrgw2Ki@5SUQ3k3Z`{Fd)&hp-`-3UkDq z4DPagu|Ho-wn^662U4b9 zK2}IO2ZI<|I{c?H-DQIOE!r))F-P@UheWMGE_nE0)o)>sKH*fESMje!*#D*33a8ZD zf1b=t*<5ZaM(y_e7)*XUI)M`3YkhU(jQ+CnmZd_h&OG9>g7E;87oKg5481zKc&$pi zdC++2QC$=9fU%w(w2UF$6?B)I!H?6@1&K^M!idoHEhQnu`&vVb7l%sylSdYWOGC-# zb033FfBkt>Qlb6s4BdG$MzDV8gk@9?p&!-an^bV2<@VX1$OcG8dEj9rg<^BaJ(LKO zR4-dy39qh#kP;`IwV2gMmK?#oZU(MZmT;0-Z8uph zc>q5*`f|Ond$_}MBfEdjfwZ?_eFUa=QH!R8FNUEHP0j;_>7fkDaM33eP@y9D418aOip#Ex|02&SsLxwbbLcBVc@rf-B3`KdFqos1>*CAp^V z(pFSAKRvU9_91NgYBp*2lSp5ynZM73ify-j-a=((F@YfQ#+S}VM>X#FdZoizQQEq=E$K~r6)`M z(7Obe!Glz6UrsvE;sL~NT(6iuQ~abGaxB&^+mh4Y6*gVGv~1MPSDPpu5KC1Q)`YH* z+_+Qwj&4XyZ3vuL%sAVQQ0d3T#nPX*Og&PQRZCW*KY|D3=7YBTwj7fSxBFBQ9%BkXa%(3ge~#+@l11xW$pU zxfPf@{n!~^bCCkf9}6lI)bO5S;mFasR0U%_>P}KE_`;`VZ;>YqE0_`meUqV(52ACW ze4kt)qG5s`G!}7GeETL)0FIe{W&$goAU0DUR+Y{A@50?>e1%CXO}01a=Sdlth1}i! z9u;w50>|B(eoNX|X)k=S9fLguEwcr|_k%{Mxn2CA{TPR5D4fpdxmdi=AYew4Mpk9V zanvxDz&Rulx|aK(J@%?oup;v@Hw}{MN#_%?+W#{5wv`WX1`Fm_NGgx%d&j8it6L1e zP`=uw-w+QsXuURgZMZSqOTi!q_@5Wburu`ZL`V|7v_%*&<|nbHYtJARgW{j7v%SCV z?Row&sz%a|m1N5D|H%K&n*tPq8(!}<+1sVP(-$xugRg3`fqT{wxC^7GmJDCS5Z_{u zzZ1~#$rB9z=}bFe8E`vh>s^?_MbE@MKzCI?Ex#dZSuLjOv6WYkT0av`F}wMjCX4%_ z<~D*ose;HY=73{F1%(2&0R{FGX~7 zZEr^OsO*U-E4caLPa%&^=aN)g%NK-e=0ST3#cbdr8@Wx_P8N)uU6e1{V{#yjZ4N5s zL4G;aXn2Cg$(U_C^CGED&=RKyerV0@50mpH;?~_>#eYmEaQlu2eBoca>P(^ZP%nsA zPh;P7+Q5~8{x~KRZtpPm=Q@{`AFu6b_8gG_3{uc3*6BK8IpK*8%(mOio?TfP-teS& zl;~5Q#lCT+zw@{L8Ddh;h+or1f(n+}G|7!s`FbVEXL@G$%wUX_EvMadE2zye0Q+n; z;-KIsG36$rdj3bkQA<4B>jfS3FzDxgPp^Q?seN4$Cw*l5Rt&16pUw}20Lou6OYjV^ z+lkWSv~Mr^SyR61yuu@j)Ja+KbibDJ8RZ!=+hy$`#(4K-l85K;f)5mwRnzF0J zlmm3l4I*tLp~g9}*VUFGT0~ruP2?eO0x@g=QA>QuyA9Q^W?p}W01pywh>3s(Pz(0y zCPKD>;0G6wdx0wFhbOOwXWEPlvpc4F(P$Fq#?Xp!CYJ97k*S+tbj&mdlZjx(qZ3cw zIREN_}ZgKPY%%PHU!4!Akc8_$;i&8fg<)42KZ1MftZ<1su`%NsVVmfkfW z0+CMz-V(mAgOUk&Ss99clKADgnDUh>72aJGv(^xc? zoTH=D#J8?y#u}?c$*P00h#N>|q*l@CDt@XPGHLGYcHepr_#>IO-sJIK%FB@^xI`B{ z>s+&tz>oRg90n+JFk$$)$3q)PuNAd3nfYg$!@|FmyOfvd>;zuyDI`8dnNi1``S}q0 znAwlKkL85CCoZ?5N(Yd4DNa)VLQLYRmkiDFOC{BqYJN36w#lh@boh)Qs4RSzy6clw zz|IGQ3b1V>rFl`|KlZ$h3U4y6iSCS;;y!|ChU72M?87-x43DnFsJgAHNq(OTvKQK{ zPcE_s2IrSYAB~8uOG@c?9=eiM%{|>)|TIGwwj*L}Gx=bAV%Y=*LSpq9xhme4f`WFdgcYAGl%F zy@oxz|E*{%D@58HHAM6%V0e`~w>bB#)CeU#`Q#X0xq?3qkWV#?WnV+K*Gy&~^!R{! zitDNZCb|VD$79ck1JVQ?&Es!%4ZYtq2IqMZUl{UtmEh~xEfTw%1o0OVAXuE(~bS||;f6D#vf+5!1xEGUH;B~uk z2S+W|Y&AM8XQ3y0yYF0o+F9xW=A)m}zv4t>;**!OlhP?ZR^rOL>Dtl81R);{9355m zNH_^?qY!AKmN!LY%g*QRL*nk4F4DCMp#VEuv<%c%^_wfSZ==vmjSWygljUN)) zBKq>CvZBQEn-G{6W0sX-m0+uz5sEB-SInMnd zr%QJ+N4v6US$i@&CR*E08wSND=?ro*S+&#Vp#8|4uJDE6mpDUK@dE&;CZrBm7U{`F zMdvuL-IKn_ugnzO7IikX^SqzA77Sb6T&`aL6llFkmFw8Z_2#5CIhR#Hh!b0WZC>2R zSyA;A~>J6B&c+s>ebU!DQn_78aqPT|RtBwx_vb~GK; zbhtdsWJSKovLOS{k7I>>%@&@5CjwMrNUU-YQFZ>Ee+P}%tu$z+kHlV>C5l>^7l&-7 zZ|xkyN+db#rR^2WLt}(1UD4kd@geU+bOT4yAPy5jy*WzJ6&MERz`2-~%n@uvEvgDI+Xr^F7ek88>Ou=N|vB6oxoq0R0L+2w11Vh9v8a zG5=Y;Jybp<=$T$N`g8Sx;Xh7!^ciAWB?xur zd@>B2D)(2($k5^J-Pa_<&w;EZNaLH~pwJ~}FJeK|TJepuB%iFymB!WYMx#%LH||%( z!A`4mM^t{5eG3>>ar^Wq6ZF{`Hacgroptdd9#u+gYiEqn3nJ}uRsg$nvSlq z5aTO?hLD#QEMCn2%xl_>c_@6^QU&SF{+L$}jfFc4dmb;7RQAEGZd&MJ3ctvbOgX#w zYpbH^3~@oXZts2D9k~?$(v9Ytv(~)~*}+~(EGKD~Ic&3T5!wEZ?IpQf2ziF4`nQnX z$u>=x+%?;zt+dbKS-SKJK>2zUi*Zhx_M`BMbqD-aHlP3NEplP%LrSE}(cj+ro>v(F zO;fy7kPa2XW)|>jjv05y%gz{wHGadsN9H(;alTd$9xZkElx!SOzONVHG{Gk)1q>+` zfV6)9k7-VQhOfH+&lyoI#Bx+Yi$EI`k@ZuA$t*?I>1RYlJVTqEB~Bm@)B-hCeWh3P zDa>Q;&VGv?&H4UCZ7~o-GJn$8!K$zB3gPmslzRs9Oa|EAPVhGp_W4bvDgi%iA zd^DTEOi7rUpB<9vqsuU2_SS8q8WafFE zUzti{mxR4@TUmdjUBurOwStk;q`a}EW;vYZmF3Q{+#M-k(r{CgS#`6dTzH9 z(e!CV;+OJpGPCI@0SwTeONUl8*L`tt*yb4k7bx!tOHp5RZKlj@`U&3LM4#C=Xjly< z8EF$oLB^!jHBoms(PnL&GY%jt zgF!_Lwfly{!qf0x#QE(wi4#+E7FCb5qi4DMelG;(K-Jx4H5jeMn4JyCL<{d1{9Nwq;k$@U zPA(n{&7*6+m8ZQ9MHETzWd9(TtvR>TTaQfX@nh=j$s03s$H<6`8E&1Ufc2`e=nA0x zoTxh~)M#^yE%y3;w;4t5k`4QKOtsbgu-8xKY(8r?;ry*Q%{C_@SvuB(z8VTlXfC*D zg$4qI`~kXXS=hE*ed9tSCnOC%lH9O(B=Z4Nk2h7;t^9-U^3B#8{Ps#i$TkvQr?+G1Wk8A9HIRcKgA)>_i#+a$Pm*rvnB%7E6`?L@Hf=Dt*~D*LJ+DW(d{FSE%Vad8l> z-Z`-pduSYfA1m)?*wHp43St8r1&&{%$BvYY@}tELK^O7}`^vq&_CLiJW_P9Jucehp z&%zEbKPsHxR{ogP@_7D531}>+KFjP8Rh{XJb(m!9nx%_htTiq%-Hh{{0w>mxIR?xL zi`Dx5o}=2`f@@_OB!M%AxA?}pW#_v$ZQFhqKSf-hWnH#;|HyB9U^+R(aht8V0+-yS zzUx!O3jqgqed%<-?tc^Oo%rr)b}`KxYest6C)%sC2M9Vy4`pnE8dKGb-%aJd0Hf{_Yi<2e6L(O>c*6 zJAlOw!Uc|XyC0%DSXUW1veF#drS@^)LF~jhqiwn;PpLO4>s$^xW;`&{4zf;~bUZR2 z?Oqp|Q%b5ZWG{W~W22^mypii|&kH{`%lK>CRqs;tyKLMy_#I1Wja`m=!`1}Z)^53p z&_sAa-4O#SQ%edMhbQ<#Mu_WgUzq~w@U@uTspbs|=Gh}npg;Psm&zgc%&gNbo@$S( zRIv@I2B1UnVewjP{1`aVsdrWv*&QTyHD7)n)V!7kRTB1Q5l2<-L&k6Yo|<{M6!_P^ zY*dAK4I(MfBFAqw5?A_$)HuwXj``07(4l6SZ%Avdw_S`_UVrAGCl8!LJO4@FhhRwI3GBH| z1-9Pw*|nSd1IST11xHJP~8_2 z*nlN9%WB%y4ULO?NpFGGm!kvf#-rty7wItdRZOwD?Xb#~!=mN7KV>KtQ|dckP?`V| zyDGli>Bro|V~?X}tmWmL>}^a;0$Go%D}$ z7P$#S4F%jCG{@Wjg%mbKzpan2c6 z8v}N*couh35P`(AQTcz2E13_nleD~}iJ#ij=t_`|rtws59;{p8OuWhq#oes1E0&jcEYUYu@0NWQZB=}Ki zsChfyES7#t7z-v8cju;?H>kndF0$#uDkeo1H!{X=>|#P-1968q2fnG~nH{H^k-@cA zg+?$wau4!b?@#T@k@`k9-TLX`rcAB=d+8j}FW(LAKf{+#EjXy2pP!o7E`RKqOPXY} z$}Udb74{%2w5U#i-g_{^diP`L&BMa*{JkwxZyp@*X+~8aG;=bww};>ZD8h!q6IrN~^?qRdBAl>(HO+sYv(Ii5$E{%crlB}? zP;=8y34DsSn;rD$?ImbB^B2*95>O<`3s(7t9aGO<0Ph zzvqPj)y$u;oSILF-MD)n032HwI}w|Iemw9Gd(Jx~KG#BowGvZsgM82Sjl#ogMcQJ8 z;bH9eAtIyT(%Q-X&HRVu&?9~gplfi9vS<{Es7K>ophnbqBlY&;6Q4{m*sJ0IfKQ&n zDXQ_Npv~>z?WFku1AH@mTlT;}Dt#)Retw8L(Mblg!w=HmKB1o_;HyWDc)k7^`@m&Y zp@dTh@XL{2Rvg?=1=F#e>7&d2w*OhV(L$qJngGZv3_QTH`e-j6z@Z7B`iPt!;)$3^ zPDrJ6tl@G2M<|T9oT53hA8Z!7AYP52B;JDNmlcTOO+-PHfRYr#P!hbt@4~J(%?o)7 zy5!;83y6cf+c}(RG&RR`q@fDj@OWqL_DLGJxTceM0jh){vK{ZC3Vg2_p2Yt%o6RGu zhhwzzB_rHHJ#z$|pV&u5-s8_!3(C7a9Wi0i*%l%@rP7E4V58^wcswlJ zdcRDxiHMrLl}gQ;#MuR^M=hqZ((I1|)9tW{hzq%kaX0RPLNm~`^H3~2ri-sK7$JOw zf|51?8mIDnW_jr(O_kkW#oV#RUi`Iy(n-PINDgOl$$P56pSbtjR~XL5m1OWPklT@? z#!t9+Tv091h{_w&13s7 z5SkaPVv^CDN%c4BVg7^sB^iE_c8YiSIc1>hTRb}E?4g~x1n@u>XMJFsXx?uimGQm91{zi)s$zlH3_5DRj8r^ewBR0H|ErLp^#8q++ zL;{%Rn_Cln#Fz{`C%T{Ex7Y`&%u88ZFhOf-8s{F@zJ8AXe1h?L)&l%18tH6ab~}OtuJkI=NS7Y{gl-~S-t99T!`Mo~ z`TY4g-P0s%ejn_7eRPEP<0YeM1TzqQ@9WfAq}es+dUS8-;v^V7-cw$HMzEtWFO9x` zP5e|8;|v~~SpHs2%w0KRG*r+X5UHt{s@#g6qjOrfqNpj)rV}(m&o#;oX5eE)xN%MIC`7LA?BasKJ}vk?(#5HZW``sKniy{m1EQ0 z=;2(`@nA|aTfJlbm~AdeY4Nn~Vug2w(%tC&#uiI=>I8KthpAry9)%Uhm%P`G2AIx& zrkx*p;5)duDu)>j;G8t?ChS-^Cp>Wtcz01(07^SMcxZevA;RRgIcRO;$Q6Am?fbAz z#2ct=gWwfBs*!swhpB^7%AD-Gnv1r*x7i}J0Fy@EHOJp{=9P0A8I!0Rp6y(>@R6Ao zTTWq>1bqOHZ2%G(;KnnWffmT{291&WWzApj1*MxY`US35tvwM%;*Cp=rPqxclwYAz zeC_|5-Mo4tAn1XDsrahIjxoz!d=hK`;%uk+*MgJzqLfMJkNnQJ4_t$GBHLM4H47BU`f;L6a1R8TK3WLpA5?u$4pYKQ||FFClY+ zF|6*#ES-e`ZQtWN{E}icW+$5ZUG$&md?q&!A-nS9?KE{SWN-^W(}O=sCWWPIYctEabA#$CTz6MuRoJQfdIP&+UX_^B zwYZZCoppumQ^c}kbF?c_I`^{hl7?TgZ$-*crQqS@gcO8BdWJSVAH+2XbUjrUDZ+q- zI}oO)2*DdLoNlI;8kZSfMOI#p(J%|Opz*&Yf57qHpU(+Np@n|pRn0U?74*mLaKB&M)u*5qPGw(I@VB4zu{Pu3H0f+q(`zpt%fFlU|qz-eBSw1O8 za-VdL&|L=Vl<)Dx#5TZEg@zd8VgU@1bN8Q$&~PejI?d}DLc3iTpJ6LH{?soo0%)~N zQWeLnCY=2xKWxJ$_z7a>zA-|;J}9dBxbov)M3ueJbUEV_OG^xfLJipfUdr`1ozFx( zn?#!L!*`G+&nU|rwx`R}at#a!D91XOaT{c!9aCzgt3Sy!Wgx}`jc(?8{5N{h1+0qW z6piXp02gx~MBtmas3L}nUtwxK{e_I&Uw_&QB}0@7ASJy5Nh1$^AQtY3%Go4tkS9&O zOC+mEEVaEy6fnxgka&?zc%r?wrn^k3Mr+>dS#(1{-AVB-M`lQj69)z&*$vFb%m9Cj z{Q(VDsvuMVkkx0`&g^Ri3P#x0$izM1{L}@d<0quo4JEpC&ke$cPND<=1bt2s-2f|* zU-vcZCY1aEI6ht`*?6#>xv_)U{cA)-?|p`Sa1aVCeE-W1E;v>&VLNziyf9|KHD)j* zh14d4o~gerDTtmaq?Gl^EZ38e59xEq=T!XRkc^_3O86G+vIcuQqX~d!amfLt3K*C& zEFSq?P!kjz;j|R-L!RlZvh4tZz$w2tb!!Mm{ISNeJ!C8lLBG(n{`Hm(!uz>~4f6XM zZS*M2Z7T$q=@@T1>qp1%Whm))BXr@n<;;Z>HzcAv`!Nw~jWd~fXE3k+;kP}%?g$wF zY;D~^$-*i7?~E0@&Iq}Hcc}j#N7Mx%Q*8h;&43SYBt3r$4}VN2JYRy6R-U2ujXOIR zV+wi|1+Rr4`w{Bn{6A(Z3O{Ge$&IwrTiHIMu{XPfiX30-D&E~v7LGI@BT*Ml#pq|U z_muO#NPo34{6skbIm(Oy>dHsM-rQ-;UeEv5&rWibsjnF8O>bgH^F8gNBasWX9m^xb z8z8kmo9qlp-!f(BL~(0$@gdTtZ%@*Ar8Ux(e9L)k*(X@QBlHj*c8<|OlzDUB*r<|n z0dL7F$X0XMxn>n~b?#dXQy+tfLoM*%P5uK;6Ho(Ejs*K0z^l5!jerqK+RC)-B(GLo zf&xm>i=r6n4a`mz+58$v1;sAEt67HL+mNO%C43TN#Yf0aBX8pAFMi-yv@OEN$TwL+ z`o%CFtO0nNQ?1N&18Fo z{iQQBC2kq03O#gJG?5`XRT2YsME!Z4gl!xHXCf*l3Jzi58kmo~FB)M3q!C?u8t`?4 zxZcSEN!4C>DeyS3J+qfdM~}#{<{z)70dy~BYThhcHUf;DY>r_Z@J6rLY>cUXC>eDlao4 z{ahv9T;|m$Dlhe(7M9cR(+g<7!TUF>OcorRr!HL~EnG+i#yM!1F=A?qZT)WiAuSw? z=vc17uVgX0XZl^4<+>ha7X;g{2}sl!upo&kV+VvOvI_Xa#*O}ATde~>6h$8`9 z!w~udLDcEc_~WPTSRC$N^u*Wz4<*HELsln-iyvu!`~ zmiBh}E$+jYKP`;B#XgGvXJ);ayVzC97R_gwbx&#m<{pfx8uzCL0F&8_#~AH}4l^RW zI)ldHJN&ZH5%z+9FCgTLVJ;ii6`RXW2}>XW!VXdY`%m;MQNF>sf;7OZV2H>Z_lshD zSHMu#!npisOE$w(L@B;>CnUz4GJEqu>gCmcD=r)&`?>eD&nY0_c+X1~m zG}(MC{~~*RE$D6MYhlmbq>!fxcRRJ>zEmGV3_>47g6)oYiC3Z+-cxBxPtClHCXsMF zA4kX9c-XJ;bR8WNy$U?Q`D!1w+V9n^g=;PMI@~!!-nk_M1wKV&@o^q2z!ZT>Sv>zWgf++U)nd0*K9g3aV$%O} z_dwe257ttB@m!h%5a4AHz71ls>rjp>kJeJZ9V$#KjDy{d%HbZP@oz_=oU`m2EBE{- zMp@0YTQ1gSt&TXi1yHsxbA&4oh87#oGzO!Sg5fRHeBWsga*<4HV_pc9k z9>plK&UxGi9ReXx#Ehw6N)eF$hJti*uT1jg243mhzTpH>d?x+ff=7+4I(2IeVh#UU ztE@>-cAxE5lDe`v5;kIQj&(x4)P_Rclu4y((Ju8aGhVkLo*E$MPaXrdl!v`@iXc#= zt?t$$g(W%>4QsNw7&N&^d!%`iENR8H?ixyOrSy5HpI}5(h{qbIfYC%i?Ia`m8*?jS z+If^%SnTmtiHv`M`cAe@u@sRaKJGzUO!(ef3j=}5Tgn|n1)EuwC)hnUx`f5GVa6v@XUr!SJ4bL|}?9y(8cl z0VoR0UGyn;;27yKL%)V-wlx}NsZ@vP?tTvB zFVrw$mphfJE)fknot+=n-uLJjjk_o-u1TNxU^!o)I~fBUUmf9RT*agDViOIi^9hHD z;C-Z%h370mAf9x1y)VE!YcPBby37>VLE^E&XJKpfCe97>|LbxdfSHl@V{VQNV)^V` zxF0|p2$=?*X2b;43z_{Xu}^vdD=G*?%4W;0+@#~?0|wfeO-~uEB*UzpZ6wVDVuVA7 zlEeZqP~_nk`;P9GlKFv|->Xek#_ufPwQ|YKI!g({bST0_4p!TC2VNHEllQr4p5?z! zW@QlD6B{;73Ipu;WF5E@@eRPyT8lIlyafGh(#2e9Vi2xGx+615g;rSVydM*DWArxa z_Y->C{AZxgVLk_PG;nAHP;+KJLe(r=eFm&a=ZSi1QZq*$L|)22Bt~o=e44N#HM`Ec zEw*oWF#5>~7C(u&Zg{mnz3#wxA-_oS>r-c{O7h#b;BJI*&zB?rPj_Qz&eLs=VOCvE6B&-iQ;j;Rq!Oi|TU^^x!Gj`G z8TUC#UQHSiyO6yLF>J@qO$*=8_%ruvH|~63o_Oq2Jl^LJl+)Mq1v}3)Q=85M@r0er z3`;kjbI)*0N0>>?a10%&#^a`9u=1p%KL{o0<2zS>5p9hy&Y9X!**Ju1-MW2)$9;Uq zHSw_J5k49GKvrUT^!4&BcBEpYbM|EHrZxP0hxm24v09 z40xHrB*{(qew4NF{Q!OW493DnfX>=M!Ps%7cbAL3lL%2$g>|_CGj06F87(!;aaZB{ zjTl&)1Fa?D)`V~z^5+%68RcK;pP-81h5Dyn;D=;KEa^m9Bk&b3YdPtVTx5UECpFU% zsKS|KG^R4BIz_#1p(q+;ek<|};0g=Q8CG4-{{*zF41%2TQ|EiRQf|hDI#u3S$VtY< zyp$z<7zFkv5i;|5`hHRgW2h$rJIQ$I1w`Gz02oD513v|&!N{C!=f#<8R+~7tTqP$u zv*v{(n~`H~J5qu*Pp0PNW14UjF`{0<7+vse-{T&)CuT@YM+J+$xL>$*3ZuPIW=i6- zyg86>l$r@ft9j7&5^K@7p0vf{^z&?iF)CmD>!K)5$kOzO!Q#YzO@diQ8}B+zOJs`@ z+btCNuAZejIZc|4>l^hyLhwoEX0jg;z&Gw ze-y9_i7k7vW*5((+?##@XpvoW8DO1$;;OYbUEax9nz&R| zp5`tPlx`CP+_#WpIaQ7JAU#AafPhH>hIo~IIIn^k*rB_4V@1BT8)P+&CB^#zaiKSJ z7k8(?k7;HLQp#hfNv99+e}0bM9;o#E72XT0+pa=80UXACY03NqXgByjI3B)W(Roc) zgwACl%Lb@u1DSixJ1Jz(lhf0I`eFoB@a63;*YP9ZX+)dH75A3Hxx^brs=*^$0>t%cr zb z#CmJ=f1csSkPo~!GG&41s&83&xn_C?kV(8H6HQ}8umOrU`|syhvdgjP2cwi8vx?rP zpZVck_dEFtfk%;%LOH;mU?4Ky+Zc8gu+JphEo67g*Y5{KL>XCrYUc`|J&2hDM)g=|H z%(29}Y1Q5~m6)ixAA;GQj_BjsZ}bG#%~4)JVQLx`iDwW3o|^{jK+WtbbiG|NJ1xskp|I5uowYubECu`*j8Ay}|*RwNJz$p6j$OeCc~D6DdFg$ybm)xr)@* zX#i{4-XvSk(TvT6!oYilgJnqNqke!DdJ|^P{AIQp`y=-&^-KkJM)1jjMO% zU4E#Ya&jGe#XMrop7fIDScBhJa`1uwcwSC)*o5_&P?fcQWtyI)3VvGP*@W;u>wJ0p zSUFL6A3|Xb{mX`3N2e7(7gXb60;P21wWVSgTo11rpn98V$gSELp!Irr@n{PKZTLX z?5hjvniwTdqsbjF9Jp_v00c+9n_%Qci_l`KCgjMH1TLV<>59|Udnw_c;=PNo6)GM# zEuu!#M3(sX;i81+yFRJJ;nQ@c*d3S-uRDPgydP@Oe*|te+$H0X0pAerRbya_M>A|? zFUGG;<-<)#O(~y;c{oFgx+Tni0kZxSWcq*T^^0FR3^(&A*vZ`NS&_c@=I+2*7a)dN zWn?#tr!^e@A(1bSWNyYY+Pvs#qV1FBw%(&SkVozgdd19_(Q}&~B-h$&m1^_Nyutv z8nfe|8<07lP)8IoE&T2#_g2J%b_-w;9aAeWIp-etJ(jRpd2#mu34ozTFGs}z@Gh!p z#7VE$!C-V%-Vf^V>-~XILC$gR;07*}+)}?#U#av|7I-Tv&bJAO7`}7;N5T0S?hbgN zHsu0#?XQCSe+GDnVb$o*4~cf2aFmtL!OY_j!6qBm#^)l|(_ZnYAPRC&d>OLtG&bld zB25ixy*B4A_Dhix=&|goHt^~-K`^{F_|OqsZ}w*aM>4K4~(;~ph7T3V3v|_e7$p4Iw+rb=KIf6m>=6I zwr9I0EM_!i(yNO#eHk*rp|2B$RPq$uP*bE8zaK`=JF_RR-+kt-{h0N07H9FoV6yEF zFl^)^kGt6Af8C)RNC@7@8aT&}f*pp+{tx8^Aw>7kdXg2JA3K3aEwg*OtBZ{OA4}&R z&*cCAe^RN0N>Vwjs3@|IPO<rIJdN!$_qPb10|THA0lbIE;m;CqBwQ=oCv8SgxJ>0ND~vv5^bI+H2S|E- z2SLkm%DJerM??G0*3CUOlqZbZ((~ht2er`IvM9K>YRA9F2t-s0TlrMv?a-NtNzF@j z)Mu{B?%#V0=sbH1HqI@()ont|W{_qAp<^BK)!iSleI z*Unyy{I-n#>AiR1>L=ePkmtbamGN~D%(W@Sety5wnsdEs5)RsTDK&@JQYniU2Z256-4e_OCByky#n5}l=f-r&Q@=tcVVuQ`_Zd&nP;LxRr zy!CLw7M@E{t?HrH20gchg|Wb*=@dtF+=2=SmcLTH19E&`Z%}c@Wg>I!+-|bYbZ%FQ zJEHtXKN%Krq1-Kc`ZeuzTIf5nRCt^B`aX@}*j6YJzh=KB__R~TJIo5$&6$qOA@a-7 zz1>I_0R*@FxfyQ+w4D?eL<^1!#b&v6%#^~x+kJkFEfvX5;*k%9nmqr8#;>iv9v!y3 za^}R%10yM?BQKo#HJ|H7pvu)Tck$$-5KtDFPb^yC>DDWx#6XQeEZ|UaHRQySPRj*y z@(X*$PB{8kqzBHzh#_voH9a;ktA70*d)EdF+Z$n-{xTEGzQYKjY&Onkk$4@W8c1Jb z8d30sonPj1HLWtTj55Mr5`~YVG@1y(Xm1fAmtF7kHQcnv)zlCvmvN@wz`GTCej~qW z$s2OOGH})MV~brlu#UL)UpSDlxYI*UyK6m&ObfSg#e9qaRw`#KTSgG`!o%>< zvnjMeRLsDh36TyEb)>p=z4)Q~?8Wtf!dt?C{>(R}>CFXh1n9q$`)KebSc_);qzRAb zju2#`HKf*>cgJ3_(zso~4uP)?x19C8)4qOeWb1IoC~@Kd+&}Tn|M$7C zwwHtHHd2)CvcUF1X01J2?((vT*O;D zWpIRY3xXBN_5apvLt?sPP?ae@ahDYl>(C9|O{O?86na=X#X_B>XUAtY%RGm8;S6ui znlFFIYRy*tEajb1wahJjvU6P@jxlFq)LjK%hJc7q*fc)5%9fE_7)kuu6 z$#T6Apki!4$AbgoG$fOWH4a57r7M zflbtt+g{_h-2p-Lst?8Cy5iAQ;_a3E82#>6e?<%o0>56s_d%&7L|i8K?2TwXF!KjZ zO2YSgzoh)2&bNE%F1UW98b!)$#+jBfUnZ+MrWsrzfpln%o$acl;v5Ealp#V0of2ay z7mi`J=}hmU6zyq4y1P}$3iTSTHu?VU*;)xQ>Z?JJ#AL98k#~No{m;Nsbhz^x5A9q$_gpa)yP1T5~1+O1o$YjZ^j@S z3GZbOT0)`wD5gS2$ptL&6E#2##)U8@v`QoKSM^6ltm90mnmW!Viu<;mt{3?#o5;+s z4ws%Lao_3CY7jkg@TS)pv=0Uvy)M^1wfR39x#2Za-DgWS0sC+bKJ<-=`zBD=0XhDy z{^WRE+uX^&eP^d9Md_wbG&tRRYA4sW)a#Asn?R3+o`U7c-`_<66`asbm&=+u;B#`A zkxLBFskzJGJdit@4WHR|4PdQ=T=fviZO6-O7M(Nv6(hac)}{o83ay%z*F+WB45{^;vf0roqjMy*FfJquL)wgdMG{l7nz#DN5{L@z8Yzgl=8No{f64pfet zL+IBD)Nh5U7k9_Q)3&4$T0B$C+N?jA8t>#TnIW!M3A>m(bDW>yslpeOXB_+XU&b|?_>8&)mcS=-Tle4Kv$P<3Ur zuc{f-M>#$}!`!wJ)69bu|YAyl;&ApgF&NWQOZ<^--bYC_g03!c{eBO=ONjs66ecN;3933wZv9eGzr>nUtBn z^uc=%zU_6)4LNdZw59p)QCISiuhXL3P+IzY!K{F=YgM2^jGl$B^X0%d?4SE_Ubf8-Iq&>W+;PkdZ#-y`k11|XOD(2 z3H-Y-Zww|U2yvlSar#-{?a6u&_BhD*0y*eV8|<&>#EuA|N&+IbWlMS9M%%#EkB(|S z9$ka*cb$Ei122cHnLMFXeh3cfYL+!rUUBpqLp(s#<+e1rUu2iAg@Q3WTwBJ`S~23H z)zSs)efSo5yv`qww4F`_=3?JZtM`NIr- zNLU*!KKmUgR{`RtjXRQTM>#kug< zl*;FF+cdiRAT7kAQS!ZT-*A(u?kh!@UVOXZB=Oz&t9d8#DI>qVs4%y}yqxHjvbr{% zs_@KL(mM`A39^1OBMWizB*-Pjtlg*|w@vVD+@zP7-iTJ?0jYRxG5?B$Fe4n2|305V^4QXnRd7 z8#1(eyE5D={co=-w;3B3xE6}QHtZRd%5@%VoDpV*qxp}g4L2N^$ihk@-ZJE z#esRVXmOz&J~aD>TlvMu=Hk3=i+|x(&3Ms%CQ#IpHoIUIC;H=nS-eUf`J6n?Rv&%x zyPOq%9IM3V|Jkf#lRDw}Gnm{R_KZMWd`p9j==^TA);zN^MaS&GKd;2;OF^?-WL*4b;0aCd{7K*dXoh>LpO1cSTOl; zDzsXska{$6>gNdA`wQ(wEI@5wIxct~5zY#`Jx%9&)q`@`0~=nRyBBZ5TKAJBGyZ`s zRC#YVI`X+9c|Y}z+??LON)=dEPhMQp^=A{BCZ!r8bz&|W#8IAX)!RuKlHH=*QrcrQX9q_AMKqT>bE;5vH9U*UFw~reE7P4# zzFoKpndW@g3T+_2SKRo8Q{D#)jk!!Hd%8e7U$4(Vdt+l#IMZM$3}^m{QmydZXyj%X zM^c$ekhxk1UP{}HNXDH7XOZ+ZX%33%ERI=lra{;Gt{-7<#+nazNDKqY0;PLjgeHpH zM+mH571z+1L-$GFcG2j!=2a7thnpNA6xmqvCv&a9SeVo6hi%BLq>bKZp9yS5Np|%F z7ey367+(cc+Z4J&A_rDLff`}}(fSe2IvMjh<=61%s=?Et(E592{Z`Ys!Gr%8=WTaE z!F0rke;F)^GoBvRbZycE0?F6BWo`zQZdhTP5SkWJUcBFynp!$g|EXs4nNNh3;i&t` zqIY@0CE>LNF4G~9x$E@lro&-toBllZmsRoe8^~ID#CzD#4qi>)xmmLsNRf3kqg0tC z`d#?N!ttwt7gn&@#G!ef*B+6$=8$vXVL|$pYUbh9@?3hPWiQ3W_`z7)t0s#~y%~@F zW4*SI(zmRn?~%wkBoJ#$pirvX51Q_GZx{UF$~tCS8Kmkud%DD4{41Y58z$g%Ect*T zch3vg^lP!=1xbHTXF&R{UjLn6`7yEv)pv0a@iTTnWYkX>jkfLemAk6%dS@(+E54J5 z_C#~mG-f;0s6KCd83DxqRh^3USXRgjZe8X80dkGedI6EI=J9rKk|;@6QTL9q2Tpe# zRmCOQr>~CJr*OIm&E@n7E^4=jl2Wy*jv@v96=W6|UW1fI==i=HRcK1v+BC))IKz%H zH_4Afcci$uib;@-*bQ-lmmtx>GAjZ{QH{?f0A+`~D8Zir?!|AJP5Y7e3y? zZ6pEm8V3A5qeqmtpV@yf@T4rxPOwgFTIu4T6_KmSXPID#Y&Q> zuq+_yFZgP7)vU8CQa)@2Asy-@!R3wa}KftL=}E|K*x;d;5|}VaH}n zMT*({t(0<|hTekdAiDZB$_+Q*!**=L*0p%^O%z4H5Ae`&;f-+FBe7+AY0U*9i*s7r zN_(zyBQAUsu1UAGN!&O>@3*A~AlCV`dl&5C8@f_NTH*)SCsxy^=Ox$KF$q@y9#`7` z0FlpL+hbsvK3GGP{jT0*G#}P=!%hM2ivSPKI}Z&pLVS%Xu~*AeR6)3#6n^2^DPaNqMvI)R^wmPDrx6{g#{>D?l=4*xlk z7g)ww5@N*ieSv*Nz$Nn3vGCCn@f>OuDxs zOY=)anq@c`EwGb@o|yW)WOP=|TQ&r?49~5{+_({*CJw3n`1J5zvB_1d7JdO{m0KQS zO7gW-{6@gK;Rbqn(m?=}?>dU6nxI8#DS>9QUYu~0h0>VRR)QBs93r~zX^2vEA6yL> zZh+Sl7Mo#~a8&CUv{ticmq|B72lRULYgK*IKZ0CF7i`V{RCS!Dgz2BJ^n05?o&HZm zI|y>$Oto0imE4+zaRxm`bYyH@7uGuj)DcoQVs^WO200SI^T;q%TS+&T=)4B5%OWJ? z{WH#Sw4}Mv@M>B8r=(f}bOjQ2X1C{X`1KG7MbA-1>#wEs&D=?L^I|*rSMw<3udQ5* z0TjShwuR;Jz~xxdc8b2p%fh=H4UbpA z0_19eumsx#b98wZI*Ty@n34x)SQYYue3vojtGjRlcX@HW92|A2j~@DjeKUTwv0p4? zkx)y(vJo5Lxz2cNm_TFl-a!hFu>hZRUOqu~2)^DCUXlD_NLg*N@8qQQ%J*hX$seph zvQY%AyU777TWE0*UjBopiCBAIAKJTwrlS+ipzpA0p#SxxGk@>INOt5Qxu6r<#C71d zMqBiWefh?TigM{M0jYpLJ@$AihVWLk;OxY?pU9(_sfZqzle-pMHSEb5nwyfqDKsitIZCC=UeH5BeG{STYmy?ZshrU5Qtv=pAt>DV%S}e~i z4wUWX-HTAs%fz?X-%R`P5HV5`7|lz65@`)L@QpvX+wBUY&~>)_-|%hrQJm(WS7(<> zg*MMB?~w5%L-b;)LW6~}s{fjYW)5!dqu{Bs8*tB`Vy4MVZ`LVzs)LT4#6JpXz(zk0 zla%YMw)+Ng!SS-@7>e%!;wehZf#ni0?m4#P2=~DlAfT}fsM`+M`4i6`dc9ELS}oIY zJi*()gi@V!C&l8q3Ic$Y1IP`)EsuzER#>6Evlz@@+2YyJ&~!2Kp)TbUUX5!LKAU}K zvhp0zzBdj(N4y5y*d|_5gV~C2VF>#uY;JhPDHO3$wgfQpwEnZ(OLo;WO%81%tAy?y zuVHiCpJR&!Rym&#PH?HhqdosCW%HmAztV=+ON?NP7iyWm)<|-eQXM6W7X-BI2d3Is zo-zK$!>r=YmaJU6O&KW=FDBSi^@XcD+x5Po1 zr^PGuO{Ml%xOQ!zxy`qqpRpP^$wF;hs#q&{+roE2g%VZ+UkGb|D!i|*a&xZlM%U>#1R`Pu2D2Voq@x=1+NUFRA7Hf z)wC}mzY0XNJhC4(wHACG?_Cl7989iaTFzM8Mv6wJ>i!Z;0qnMPA~JOH?;9XE(YTvN zQCPL4HgXaW$^|vCgMAMu|GoxfB&e*J{a@77Mrg~^evv@ae$o4#=J!l|BzU*XX%dH= z>q4e2S3omqj@8Vb(_law{K)`e*(FK+c?v2M;UogW$9mPcn*14;OJ!bzQIrrjs6@qH zfQEDU~*JZ#oZ0 zVZB2Bn;v*1`B4=xZ|J};22r%auMfnY*D|Hw=P2DLV8@&oF~OcRmO7W}Sw~e$KrA1( zr-GaJI3eKxg>*12@%=IC-YH7a93lhXj)c#e-duT`3~63#0eY^$qn`*_)^v@gmHtB- zjeDz%62FS0K{D@=$_KA!w+sAfr$K794^R|ddt!{w{KI5~9|G$D8f4cmBti1FbwA9Z zZM$MF7JSL~P6FxlI86qM)FZFOyBH}WIIge^Qx#ngbO7e=g5hX(!J4#RCOFIBUyjx1 z*%SpeGyW4bdWu}RCOw_CAY_14_$a}og`6gY&!Cu3V8T?awhD`e>kzKc2aIpz?Ku49 zB|}gXV(=W7P<@57R*JyTLf)y0bnowp@A~{6r88QgUC7hfCMaad+q%JI?%u);^sTt3 ziM_}#3q8k+JdiI2UaK8?XH~EY=URmgDgq6qeJ2})R9h07#DJ))5~~o~o~jz_yM0%| zF#4ONIreSyoRy(|0}Zy2s^Sb5Xna>D%Mf_yfp7K;g|- zURYtPR7lLhw8dCu5DM*l`n{9JNk%EZRM zH7RVM@ak?@Iayos$=a4Qtn$_>Q-Ea%IzCkV|tui?s>uRMrzh@#wo3X zPtA%~8btEE5A>Jau65Ikky=)6*~8>l!^W}0XRh`6Z3MRp`7h#C@)~T)fEZD3UQAG* z;3M@8yw0@^J7g9Zcj}<}o^U8YmJ}o)NC;JQppFtQfBMzABMKKc3?g z$GyW&QL-EliDP}$giPw%2kDDUY{5|(I!DaEoG!#Ny))Ecr3FhIR>jMJF&7modf>S+ z(e)dKajIK{+c)mrYcVewj8puOd2TFT6!TwjGu_2Udg7Eoc@^L^FP(QM0_W2uMD8S}W(zBD z`-Z3ZcCZbB0=|tNkQO^E7^19Y)UHgL?LX$z(_*qyJ0VAMBx^kzJ3L zM973e{DBR=i;%!j%wYy9(#7j{6}PzVM&X`sQ{;_?Z7Fb^2nyWMQ6$ zn_H&99&PN0-L%XIh9_{Dx;vR#X$jc<<>}x{GlAx@Ms&T7sr9&?$!Kh|*|MT7|Er6D z&;|P#s$Oa#@s5(Ud&O-%-qP3Gp(7_(xTjCN4D<&+4j$aH{0`!VU+RqCO7V$;^vb_U zb`6M369mGTHtlaqW!G^)9wv7H(+7QG*U%bw)gxsFW8WxSR0JYhX=l+gw(=xJ=Q%)k@Gx)nErz0#8_bxJ)l>!aV!nSxDI1EZ_L(-qQ0F}yGqmm!MV z3Tal{ZhvolR;c|&9C_}uR@gi5on7b1ve5gpV204%v>i9;)3svgh3NuqZvol42@Mo;A2+uVs@gq^x!O&}7-izQ7{Y}Kjd|G>N)GQ&4fyaSE~AdU zL`1Bopf^Lda~>2YVzJK>^tAp;mW;1U)|Oo)g?alUq|UkhqDv8fm@glkpEkTaeV6q| zT+NG~JdU7b!dvy4+MMW`N9RCFAti^UJdenh={w^<{sH0oZaC3=9d-E>w^*s*H8LFgbpZM7K^Ka;*N09;&-VcZ+K(=m=f&)0H~K(Y6tX=fNgd|a+F(ypBdsk0EtPdAV?!D>xd*B*b) zo&{gdp8XQuWyxlHJU&?bS;qJt5s?*(#%=~GUm|+KHU^j3WLc5Wp;>ZoysbzWFERk) zTKo9N`Wb8b`26Ul4;9%+THxnFIq?Wdv+WFS$@T0C7fM+6@g%vA?#smEE)=?lJ8!lTvX$^pAuN*3QD)2L}kf{*u&48+RE^tY1!K$ z5L+cosTR#3-@}HNo}e*09vMu(4D^XUe%&c4hgS!@2T|rs+S9YRbR~Tx?Zh40#Vx!_ zdqK-?(M`!1hja8*Y7U&27n~O0nylu(R&u?dp{-`O#(}4f>qV{Eq!TxJ+QqHQjF6a_ zrI+0u!Nhb}`ebhMX3F4*qd#rz0X5~7+tFu8NV6to-J#$^JAtW?MCVW(;_Fnl>RMy7 zV%}}iQIJv`Vqn0k0I7Sc1&DsLu{|T}ECz6ByPpJ5e+vomPUlh&u;G>)2g}dLp$}3l zUnHWXp zkns;p?`2W=)mo-XReev3P{8=k<1n6aZ9=~f_iN7wVLzma-Xh?~_ReYDwRQ6-brX^w zWvjE#bgyJquK)hUNzlVj#J~eIT+J+)K@I zFW%cYkhzL-_;Q+{Wuu6E`MXBsNM)%fEr<2@@mv@4-fZuVl~MvYqV?WG%n5DJQ9>MN z70YX`%*0>1-=Uhkty-Xg4REL?Lk(dDN5Me($7hs~KJny_LT2_%@4I9Nf5$4qgUDj% zbXJFll&HRxN>~L}=!jnSmf1!|x@>_kjrU(jWwnN#JK?s*Hv@m1#r3)#hKyPb-oV^_SncoUM1V<7W^{fp z?nOo(yJhykF;DVjs07Ddse1zZw~0=7H`RGJuQB`3QuD3~>%1lE7c$2qP6*;}?^z8i zvJ!7wRp!ricSdtU5?&TZaKqpB&Vlr1?wniE*p3TOQ8PD@Hn!(a3_Ul*5mEAk5t1k=F+Usa1&=w{MUQ z6fsNmtR^3o$j(t7XCk`G``5p)cO6PvQ8rOd#Qhv3A{(lWoAX)N$I+&QFx2wZN+jcV z>4^(?6<^&WqDyh;wi>gRS9=*e`#9Q<7ks$^#vNW|+;eN$H4*dlSQn^3& zfMYF`kF^jDH=Wt{mv<6Us#g?#h3#o!v0*U2E=!J`dKPMa8_QUTftom2w z_i@hp`4X4OD~9%&WYNLijB5+>Z}n_J!tBLTQ}8xdc^GJ4EA?SmzzZVFCXN8PJ4J3@en9E}#)5yJ*1D7=(Ho zg2c9}w(%OeDP>s?+&Uf35}?Z$3IK>ZTn=x8FV*v?MODHBX>D@K2-7Q~4W5*Qt5YS`C;EdpYW&Tz6_j1gvi& zf6MP*Kh_EIH;C?HW+%8OG&H``&ZIl?YqFbGN>dxic^a_T1@%DH8xa(Qwd6>Fq2#0Z zSjuegTe3=2AgUf@aV*WBhrGm(NY(32HUM*U`qsXf$$LnK4_KAH9NzDzw9Iw>W65j3 z_*q2<7h@Z$$ICi246?UXItA_LmpYG+R1dVgk}`i4Lb^C5kd)xA2}p}7Y!zIv-i%;WF==M^8#xJOQT|iD1IS8d2yF58ul#ANKiJ8>O=kE{ zhpqlar2T-VES=ZIXBejaeQg?Gr30_D+P2mBtn0GjcyMAL{6hDkv*{ZQquu>io*solz6S9+{6>VJK^~r z0!K5#u4_Tq%fxSVO35v9w zy<0&xaQ5+$N-rZKHfyg_ir06hv(1Pt%COK=2Y2>etFzCKk?Omu$pm>^slC8&|l43KdsHG;z zZr501mHlG>nSZt-w(XGg|K4^c%P74Y@prO5!b@il4l{bhgSTByBz3E*qbRN* z8@>Wc&!=u%^-eKRVb_l4Jr_sX)&(D)sqo>U^}T4_Z+owB&3|Wp08)1&T9V32-u6;E zxN(u(%>g%b?tE^9rL1hwsCQ%u4Ip;z^GR8oKWnZ_let#)_ljgM@%~8>GQ*$d&Tjyn zY3*3ZVD@XrlsmRK5yajp*YA5!lD&WvI!C3&PUovc<9Wv6DafNqqIT6wt6Rcdkek2$ z%p=Y~!K|<{29{-i&=c{*dccjc9WvdZ!-;&yd2mUC?tOBWXfYmXFiuxqKAPIeqTKY; znd}UI>7Z&2zjN*{7YG2r#^C)K$9+F@fI{a#s?Q&ds21dOs%EGUe~N_^j_9}AT)u9} z+2rxg3iNQigA6^l2)OjGtjc`bqgBz=P`Vnh^l{mB4a+t1t|`%V!u0aWVLUHpD6I4= zWaztKcvW%c1GV&NenDfPgDxZhud5|LZo|V}%0OsLc0PWono@JL`MPQZ++a$fC-)54 z*syjoa4JSOogd@gjW?dFr=TlWk^*#A!QWqhsrt~p+hn`5bhEIE{gEFtJ(2A&CLC~Z zOfjj}r>q6MVk_p_=)*R~Bl*^_5Ywc%w##1F5=QE&G}9+E;=w=RYGb5;Rny0v zQltxXr%@7xJ#c@C(xPOE7Tqzg>)<~#vp5;}47+czm^4CuU5C8?^O?|%I<}X-jhRI% zH#J)2c2}|I4t<-$l>~7V-R8_R-Or|5DT%0?jwOn^rHKzYC0L@JI+C6_*sLxADf&0H zxk?hbHrDE(JAP{oK4u^e7IaSU)Xw5edWv-HJk6g!B5TF?6l%pdreF_<`|DMIf7bRw z?YX>}*_OJbyR3;{!fhwB%zyot zX)b8`T|J1)D!QD4yR3-c2avVwnmx@uPMfzDEa9HDV|nYAaOxWbVVE7+q^EZjk{6iN zTcypKz6KOh+LEEl!zjCwGWqZb@Vn(h+i5F0W}$CV!ljAaLC!~5BVy!({_JvG=z(45ZZ(71|TIoufdxC_}(XC^`kB(dbtAfTQO+T*@J?f#FS*o0{4jt z8jG1zzlIWM6-8!aKYG6%_lPsIDE!lDEAA;{f2OG+pG~JB#2BbxKX=eC@n*RK*^`qy zBJ&te{xoR4Lam_p$x}B;JK{1MG1J~dJmiEjCwChs_w1%O73yr-dy~?Db<%xGc-iGP z&N>tSBJ?vFq$yTU=f8~_d##l$ntL+2!bu>xa?jxk#c$JeR?*#MGhrfF*Ych818o6X zm`l!6vTqaB$Fh6nDcSXx%2?yVkG4_it^u4Y^K)}0kT6#wB zK*JzasdH?4_RJ5h?*;!+yUA)DTqJV zBb9ChTJiy=T7j0w;>atJ$CE|3w{+5K?S>HVbZ`L9ZK&8)enHX%_5wCGZKkFU+;hLJy38`hXfUf!X}+Bxdz4D6QHi&hx8KzD z#EHbA7f(`h9y?L@a1dQc?@2gWaemxl`NVdv;@t20_&0pC6lD`6AL{MgZq@gBcNz-~$ynA#p5E|0<&Zmqvxa1i9eo^S(fMO)yxG%13CGFz%BTtz8* zVJ{hZi9WbULi-JK^`AYqk8x^ym}m*yKUqLRtZN zCxjm!*mY;o@ayUHD`p!)=C4+@QNMD1Mq-D*$YrN-_Cr#LGmq1o`KSw%OAN%$M1!6SuDiZ5iRd*)9A&7 zEf^2|16xxvC<6|dSLpqNja6+VE!JF1x%EfkWu$w&xR^XsiH4{d*Qy5BClkOK0Fay} zYKzsFXZ>r-F`xFp7dvlokzKlQ5i8eO9hd8s-Z49qg?JvQb_F+?>9d8~tn7GApeXrX z^j>f1tmt5b+qS1P`nnS1O_OzlH^+OOfI~ZlqkCq;)`(yH%%J^zn!qtyqAN$!%&Yfe zIei6#uT!F#J$2G;_Mb>ZPpzjKLBL_ynGHD3i(Zv8G?a^y#YkNE7VtXA7?iqIcbIFl zm2Q568&cAu9w&)MQqqh)W^+jLoI8HXhS*-D|He0?>y)d6cP3_1@=3r;M9flZHv> ze>=Hqz|j&~f<-#r=L^U~1EKNR&wzgGem_G2?PB5I1-1s>wEndFDv?NZD~+~d1w*=E zFvsR%+wfGap?!`NOXO)vapd{oL_3d>8E1}HG?c6w|9QBU_jGoe^K_Pf=`)O~Gi6yl zIpZvj6GwtAGJ@bb>i%Z{{oqF`d8;@gtJy_$yTLA~qAa(2S4Pv|apWlEr57wAu#1!RS5r2zXv)HmC8umhTwhiJ$nWO9WON?`TNbBF# zaWp=l%`<8OUx2=X5weMKy8^2zFudl&-RIvLmvg+dqU_A}dl4OU!-J%L@NOlvCxYZ! zz-rzi0u_{9fd0M(-?q}POQ_-u!1P6`t~f;wKpQR@rYN3MHB zmOb4oud$@IHfvaVxZbo7a^cMMd|YcnuvVt;X9(uia>)v`LP;Om%dEZP6{vWUE=f`xC4p|b zvKzouxg5T?)N+^bpRi~~Rf-9WK1Ru;RPMVq z>(wDz@rx2;1&npSv$9Q%<<6w$XboCp7M-LqjU5l&6kuGE;gEAdOVY+`bTk+kf zxFle)Ksxy5m2_}j#|KN%a**r|ei2I&9pb5_sd~G7;R@76!xYbj&u`gZsLUs)D5;>? z`v(s7nl15o%&W-WFY^K$6yR-G<{w>PA(W{g&eNWkJX(!hJN%7ZAwV{9SM@MqnvzB7 zl21(?5uYeobl;7#?{6Uz@@SQWg&v=2mlTpj>v(_Gr3!6>G=9wRh}OG#=etDX@0ef4 z4BNMZgN&wAu$fr1>XjbrWXsmq-SCgl4(K5H5(TLs|8wwu4qlV@JP0ibu~J_spj2kos4y-D}kI~nF;*7nAL8uXp`o%W{+X+CcG3GEYq3ip$<6_S>&CfZ~1+am5t{Oc!;_68nHoSSWvruBsFX&efE z)T|h8e&j@#@#f((1#re}M78R7NbjNg6ZHOhz6r?M{D*9i{`<>0aY^u}xkV6ek#sn7 z+aHwG7P0X=0ItU*|Jx>kcVB3soU+ zdMg~;R5{+O7u|Gd?1(UVYEq!I*ZP3(0jlFpNW>ax()`7s`ytYS!!OswTq@sIOnJnf z9Cl?)GeLDE$TC~pThbatnr|Fq1maizsyV5Els=%WRblb+4HcN_Q`G;Fbnfv?{{I`7 z4vMnmR8FgWDkY?YGpi((N+(nft0a|Jjx)2{DJf?O6(KsP38@2{pGQTd;YNZ?)&||Uf1=!nvHd2-r*8J0HM|k?|ZvK_I3GUmwavB10jBqIlOtj z;r0mrNL^KXE8E&g^eae9wNP<3WvSg?1oh>~Ry}oT<%YQIFThz?UX^dAOmEN^90CZ1 zsU*#YeJTgD>q*6VTpOL^`S}FqP~s-(=+zzWTi2bq4KTSsbXj0m)?tjVnIu&fUjYdfa5 zIb5&&VsO5G9Nhwed*D2Pu7XAC z02c!UK>f$>m5UTi%TaWMc3sBUb z{o)}$T6h_Vky0&k|5>%>8?aqN(e|d*RpQjHTQ&7;sks;3w#l6#YRxAjut(#R7vVhz z@1wleXTa4?cEimFrH`$u$C%hERv*;I2&4$}4}?Ym!lwpwgc&j`{J3@rtD=&6L zUkE}MUd}?CoB7@y&D_aS?a^|>Yvz^R^_Y4Pi*`x8X6klDK;ZI1E5f@B^LqWVC<_p} zL55Buabu8!2wQoTQR3UA)qEo{=5(Ot3qwW^&V2`^N`|uW+0>sirf0utAiisOuqIRb zcQx-X(jaQ7ewVO!cQsnv=Ml%;le-w#-c#n@bfixFwSRl_0G_M~Qk?X2#k@?N^^1*V z;&#&Nz=`)cAz@b5Rq+>RIy0iX7hn2~8we`xCL>Yjw5EQU@w{bSZn`no(>a-2RL49I zk`q%oQJ2z&R{9?v7p_&UfsCi}Sd!?f)^AkU$T^011a|J2S0JD3dYuQREa_pFA6Uw#cWzMGBT_E(y zwNL7v>GS+7T0{=zIs!YAW(@dKR)T8ngVY%Z*@e*)R@)P;k|YN5_nwXIV86LVIfCxF zb}uRQKEz7KN}yDidV?t^$#dLvzc90$%;PF-+Bb8LhIY&;PbhFMhs^c&zOS^HmOH)u zBA%}7`L8lU3!JW8FEGHK)O;dBoa9#=NUm@_|o_ zp;u?d7XKiE<~dSpwYjJ9yG3BP5?r^G{_wBh0SS9ysrJ(<$!m+?w&1n!{^(Nk81j+J z?qA*|^tOfvKtEbAD!$f|gl6n|pVVEx*n$Y0;t2k$v)kfjiyIQ+u*E;}U8MYtIUxCb`=tGHh}1ZHC5|csd4%9vK;(9QOH{0m2D`5#^xFO`-?-x4xLEQ1$`l zxmKgw`EMmCVNu%nYl-EzkQ2I>re?YsPZZ zIMB(ULtiTr;5f~B^5}kd>eNQn>FI^m;>-MXZEX%Gjn4;|>MIoPBiqis2eFioVFLbd zW;rKfecSe1?d8QzoMe}eqPD&7sqHlzNHPS%08P6_33eP=9ztqcf3b3i?!LFg} z+h2Gtx89n4C-f;o73{g9Yfh>LWVXi z__N%>gunLH)sVFvHjU`skVd{(X!_!_=;~m>x2?OM1JwhTruUaUVSWrvP6$r!5cGdOr)!$H=6$jM==+ zyk`miX0Zd88BQW9i|+}hS1@TbS;iETr2P-TIa7bqAyix*xN`NEaO=*poo5k;)K!CT zurXR(Od=P9{SQ#RE+lRi+@n1l;GclvozLMDo@JhAi^|p&Tw%C^;pu7Pjnz1KWzCFF zYDcYQe(p)=xT1+O(A%xP#l-4zwYFlAxvTGGfYA$Dc@OK4XdU{^3t@qpiQ7|2vglJP zHHK<^RAiEI&4%MGJla`oId}8<_Y~tdNYz)NbSL@+7+-l-Uk!{J4$iBB|6`PC9z1Y> zOL_>BeKrcf^7Z(&v8^o1uHfe^zB3t3^lS6R`y)uWNn26SsnDh8e&g_K2tBag zcMH?GHhj79Rb5cYH1eJ_{_kJ?5hZR#jOG50;#|8~IY+DI)H~cj>Gv!rO90!hUKWCv z#S=c|Ft7v{pEW#47;=$@fh~UXL(1GAjw{#cVP1Cni}O>HsOptY%GpculN;|C?oEOF zln7&ZQbj+?IG<5~uC;=T9J)wTL>L@GfwWNdHpD#h`<10`Glu#>q1(w@c>T#~u$=NL zDN58P4N{*ycp`XtEB{9ioUaS}($;UF0C-1`Lcna(>xc%lFkKNSHVtF*cu!hoCOf&w zst^L*Xg(tk?HF(_Dh(Bi)zNl|6xQiI>;|(Dn_I4GLa(qN_j~*SZMX6*GLLtTWxS+k z^Q!L{BS`9v5YF@Oe~Y}dLp#>nJW)&1JiqRDG1n^c0S|I~Xz(kkNsY4$BHHgTXMBrK zi{Q3usV6{Q&*1;=Ratk)cv-gQ?mixbJ}{|}eW8Wk-WAreAKdOYZU68>wZzT1nJ|Eo zpzXw~4o&dgDjn{Kg=gas8*00D9RQX}trH?{%_JIqbHV`CCmFTXI49q7Q{PG&sM@zH zWq39`Ah;7fg8pO*yReIujxK&Mt;z#GpkNJTY-_pPyCKUbqz(hlcb#FOfjA=vg9^)l zGbS#&_V%!t00FgaG@h^#7g?GtuO`DlZ6ioOV4J|E44nRsWQRHmdr#GyDfpSE$K$uA zl3^W+4FG$aKCb@dnhDw6yP8gK-+)YINR@hvD-wZo}a#M}6Pm?I4C@)Q;ty()9AC2Q5 zBS-t6bu}5`uV)T?p?t9{F`Aa+F0{M?k}B+zP6O4uxSEdBGVJlgu@l1^ajg4tS@}mX zH;5m$gz;|iD7R0z3m;4BLt}YMt!Vo#yBL;1x+yK9E5K)!~K`#5BQENEItgr zFL*79^gBF}r%@`dy2`&41wFHck;ROY_}4?g;z*-*;^|~T{Fc{jPb zWWhR6xEj`K`iI+|p~(NKBJO;B7umww+huLl%aG4J+*@rgcFt|Ba%vlEM?Kq!mNe$b z-9sVR-N#5+|LV0dDKCr9_Nt6yBA-)P`0L4;Oz;5@&75zy8X>RXY5ycF=asXQermIx zT-!`b@)s($U}-RX^;NIDeGJR{R#tOpT7Y9%RwO?^2-b)|mBWB4XvpaW)N@uvGTqlLfwn8%RKKHD`Nn?u`Fg4tZt zbeVq)PQr-7OKvOkmxc7Vlz;cA_O}Gdt3*_O?6OVhdC}*4Lhk;4Z=Pt-BND>D^$}tv%^}AXxE02hQNG{sN)t7}?bIPfgBh-{+fx32{z!=O zLOW~ZYz8xqKOz*nf!yXsRllwNH3g(2ln<3F-{B{)7ZUuymu|64d`j5zCe2xbG#-3o z-8vRnvdKv8rwH=^uV%*6XQFVDF?qYctA*2b%DI!Sr26|G=|yY7Fk)bEd+U>V!PIR)aR>qkE3y@+kLBt{DzsITSFp(ska)U+4b82*jB&(V%dK~6uxMv zOWmbhi5~A+Oky+q<{)E$G|*kS0uJ^`I{2QABjt^f&*@y~;OKpJy*%apdPeEREg(3M zCH0!4+~N8&dc5GK%skdm=+ReFcNnLku9Jk{1RGXEe=fY$MBe~{m$(|>bG#CbfYs1kMV{&2Y5dBB6C3+Bdj_QlL!2N($`8HP~*_gC)wkZdZ z259zYyKDt2o}M>nC{11j)blLsI&3Kb>-~c0t3Bm-U4I5yrUFhpQ@fy7nBZFY5pQ-t z_Y;&hM^vvxf(vDZP(R{b1gWxq zw*ZFErqbd9 zs>chqwEXsN3R4V1Uu1L(?|Dpqp?Wf-Wb$CAY8y921b|0UguU?Fpw05_13+yDy;?tt z?Dag3BbctUqVNE@rTEULKxE9!nIC#p#s2K_0O@NWGc<~(f#e>bHmslOXi-DB-icW<`adkbFVnA4|Twe9#zO#uau#?M}J5Ud{qCQ z{b2$PJ38~uvTj1n12X#Ku^UHMdSB+*7t^Ng2D8`e@c+d@im-RA^q9KN_EmbT^L>%v zr8ng@ZdqDx2uRQIuTGIN-IpprH~DBT#CLz%Ed|r#PvERbXM^m1ktc8EU4+HEM;Cy{ zb-%0+?Ax($2i;z(HPl$*UvQnBf=M!{KvclEx!mLGAnjpL_qFU?YA^K>Q4wVKE zpE&z1(Q5>-C>Qb2c8z%&60jB?yaU@iL#_i7rB21KSolWF%uzYc33(_D3#VVuFBe z2l`NZxbpHzq^?)pAt77azI6**+0J~^6u7=4AXeu){2DWW*t~#0CgQF?+p`Pbyx2lF zo-$V98^Y*UBH_M7vBD(w))qif37UnaZ!0uzt4%2I^TFN>AA2nUKyxZSmvnQ*{8Ni$ za69lL3nma+Bu%ehxgTQVeS4u@Y>KnPVH?_tuL&zR9UIM9tTHHmk@R(gY(Nj;6Jy=G zV|$VV{?|ozHZ*sGw}ZsbRcSHZ&vV-*Al5y?*H-uFfVNW@P~6$ z5rV+=I9cJ=Nx#U0ev7$K)0thj3{QeHDOWeP&x~ylgw>QF^ zspe{eb{x!Ns9*5+`Hc-#7rm22@37ya8BIDv5c2!oZ+gNTky#}8wjgw|ja33`;lGN- zHob9;#Vin**2e0pQmJVu-v2?v2;8+T@K4u%4Ipa82c=*j(QYoFc!((HSp;8zSH$J3 z@J0w!OFbB+PIPpVlial^Y8d^Jg(dBx95Ks*m-xinro&?cv@*O<>jHBA6HXrB{f+1d z3ub>hUqnSJJ#DObYCcP*+L#_G+NHCb6ZMcpx({E58p7rP71v&aQI1mUSOL^OXD>c0 z5fpgFI_$j)=!QnleB#i^M<@vaJ2uy#vjWg%e%!~Byoo>#2u{^loI^bWRScA96wK?% z`VyhOK0!>jr7hnVypJ{ef7*pWz%4R*wa1g+U}4uxpbl@mW)i9au(kIpIKwaj<9jw; zsWEqOk)cK-+Q2NE0-Ez(c+A!}F*mm}sg6V!pO5HE+-;Y+B^c1nk({*o7~ zw}&H})+}h$Z9*|y>g2M|cXq8ae&UGd`7LP!yNf?Im(RR~K9PY<1`kA*Wpbj1bfie4 zA0`BG^FdBjFhyg2NQPy=sja?3yUtu+3juonS(Q754f^jt2_pl~Pig%0kIw-&V8y#R zocVaa*9q=^ni~@vI6nVux?|QxR#uxlhSx1BWj|J)58HSf-;{B$rr@t*1N0|-dq=1; z9{&B}k0XLU**Zxh)IUDcoDTbjDw2)^1XQzYXy@^~Kf zox*Qj%nefN z1@O7nGfvQx*y_IQah&Z;%$S$3!hIuG&co+rNb+JXAKPJVm}H+n5b1O5=Yh8eYA^T{ z!Ji<8fyk%nEBF|{oX+{Y`-SDdgp;T5SZt*YrwjMG8oZ9;Cxip-kwMDBUx-zUT`a-T zO>R4rL_X;ez}R_wUENhY`7co<#|wCy)WsUJ=h0s&d)*aycs0)c2n`(;xQy68$Z|&O zB_-{oR^pDOAA(VV9Xiyu4mmE?vSNA-r zTKBT(EpUZ;W5jsqu=wvqn9Jj&i0z@z8vBxfBek=7b-?wLXGB$SIb#3BYdAO>>ac$a zy=mMp!Y{OoK#O~q#ymP{=PUmW;)O3OspHfCT)?KeVfex_j7kAFbp?t)BNoV%l>s}rB;U0 zS|1^(xiK=t4m>|^3^Ed|(g15k_O{`S(%H9h7M=8gT=n3hiz)Wx*%-D0!eQN z8`DK$1S6j-Gaj2g(fF#lDvWBVexfnK4%`ELB0rntE9q*!{?{K5$`=^C^~Q@eUsLae z-l@~8ZEr{T!c{HMeZ#hO{=$1i(N$EGpr$S+BxLNCHp~DC|BIK{#UBg(>f4mmpB*Y) zS{*0{ls?T@f{qVS&a{16V={cBW&~mlD5g)@ExjB@ z8x-0(p;m*=zxJya7E=%XrMw4S94B-sLC@h+n>osZ$C;7s5azG9fJ|6qn!nlYlk zi0oQ1s@U{NeWAj4=dpv%p4CNN45@oGQ!ewtG?n64uag)KT;lE!R`%6|*n2XUbc@1E z^|?7ekUfkz+GpL@IqHV;tC};@qAd~9en9EOeFA62avKTuoD;lIZ~sj9bX)D?3acZB zm$$uv%L#m9#Msf1>hW_}P?US%J!kazp-(D!e{B~0cdW)XMdtF-O*sQFX;hkiyt~#m ziloxq#luMX{Gpo-!B_Yrf@{cdjKCd3oz{E$l7FHD{`@Y6UAVIu;ZR2OeJ0HP8>&DG zMk-K)Jx+n&v`a4ERI1VkM_a*6gwOXwIc}S4>$lY2wLHB$Mg#v4OTAHiIT9#NXwC9g zwO6G~kMwMXSzy&K+l+P2%nJ8T*yG?EQoN zaR%6gheo^j2o8j}+^OvGnV1TzmlJ1o|9Uehj)hJgr-o&dE|;m7&gx|#6LPY9v*n%% zyXwxGt=`^BUKL#>7Mv~3Q7tZ1kb@33^6iVf%X|+SAj^b2g~`?Prz*cJs*gOE?1g_g z)Y*0r)j9CPKs4*em|~!w zZhmNO{kFXbp)KRoP3@mo6)o)FwkizeyC?XQ^ZFu4+|@({*fQQ?T|mZ5cpMn^{ZQLh zPsZD1<&$6s@FYt^qS&0@nXC|GEjCxS!g!p|%Gp<~p@tk?AfPk{gnQ%v8 zOh-HDVdq-fZ?5D5&enLs5g;k>zlcyv0J*w%E+|6cxn*33@ z6M3~#{J=3O_sjd(cf#IrEn%L2VBh+8;F&G-P4WKk#TRq=;!I1Z+2-T6 z4Ni#Dq6^iyu=^qi;A8=+^pY`|h(vlbrX!WA6tE_OtHT$=dG`}N@h`dm!AY<(p55|# zv7+#f&3r~T#r0D4iKVy0NsQ|W64yvE{q4g8;##lQi#%WAM%a|!S{S~`V#CVj*JMU* zL4=c61lRXH{X!m-ThZCEH;Lma|)hRt7(SxU|@re-j{Vx&C2!Vx{%RuXU`XgrvS z1MI$w>h^{L(xbGbw3*I!ioqTApl}HbaWzqg!{RCoo=J=c7w}NhqFn*Jy|)zpfO(Ku z>~k%P@b--=|2)rw2xVN{S~7BzydEGXiM0L~bphNSmI;&SF+1hd5qbboGAa#bGw3nH z5VKK1!A{YY`bTaL1Jm4#&X~G6nD#ck`7^82F7Be@G`ejjQYj^(9MdqA@#yBowAal< z`=bVf4$5~PDcH7e$zabR5;o|cmD^gzEtQn2Y~K8*>H8;I$vIOqut~!~_%B-QQ&94P zDQcK`6gmpFP=S-`NYvb;KA(NKU#r`9iVjxG1Ja> z3KYZm`E;r#7rtj~t{xxXsCrmtXd}a4yET*Glyqj(x+6x3Hg&sT;{#hg$M7Em_mU(9 z;;{<_NiSG8co9_OR@_H7uo3P0FcMPH9O~wL2IZ}^29u+>?hxB+TH-sK5Z{A3(vmHA zg=P-4*466HOmDVN>gXQL(x1gAu10kmx0fe3%sPRlhm!X~!fIQ|tH4uit!EcQz>!wJ z6AHQ0k9!K~e)$6V9ubGk7w-m)!uNxiWa>Xr}SYI}F_9bxg zK*fPEcg*<%YwIr-8MHI5JmZ%pK9{{~z{|nXWm8U>STh8xK5qDPcLcT?afT+TonT^9 zc?5LQoR4|DKaOb{(W$teNsX<;5S%Q%X%A9q)DftIi&j$2w!kyw`Lay7Q>1ql0#?|s_v zH&jrsTuLHKW}9ULMgaeJ?Z#7k#5@u^Km-u6NV z!jkzG8a{GZg^ju7`*Qlm@4G)9v_YU?Es0x0M#aZIg0Xs{iVMX3&Zq1Tu1i!8CgIyK6GK_*S3b z(SIcvFUW;Icy<=co<38PV(pgLLBBJCbo&_>cB(J~EG*s8c??Dg*`)r3&83}LS9S_a zhx%8SW{<#uF6y78uMKo56HQ;T)q-j>m1g=h4?=qQm_EuJ9@B-qd6JV8(?QQTBl5j> zs)#^nr4}9}fgmH16OfeDgFBm%VeEFQLN=lQf&DP8-;8-*eRHl`SUzO5Haw1l9)pL| zt{*mhs77B4a@I7tj{i5aro0F;-?k+!AT+F@6fEc?Av1t+s3A#=4&SG6^%&+;xd@IDr;C|p+74+YfF zTTk%Hv6+^V2jKhO*H7sG81U)t@CmgN&q)98kMGGT|2*+T^c=j#^OESo5F)owb?7Up z>~|8O-HEb3lSK6&yhFNg49&>t^f}^x%nSklX?HA)2u7=d$_#imgCLG-1nq3`9zkC| zEJ_BfmGNvPDYcK?7ploO;0*s1iX?8e*`2YrEtCn zSa}E%w-QnwBUkHX9ax`OPQ0u@uN6xd<~1UYdQQJPQq|dL)FeRP_|U-ZfSCRKW5@#h ziS3M9gEqacY(?S@X`N%NEY`JGnXyR@i}8>pgzKomzMv zdFwpd9XbzMXb{ zAnxKk!`7w>HHbL2#`f-OJvOHLj9yHdvD@E}<7Dc*;}!ES9dSQFv;vqyk(WMKvwz`-flK}0umAN9g-dlY z*(}!J)vKX?#B4#!nx+weZ(VR_x?ZoEWQE*tk~qze(l6;HPRNkHvT2+am03wc6_= z7L7cMJG`LRrRJ`okOA-cnEj%vqFwG|mnxZzyEL<+v5(od8Q@wt4{MkRUcVs9&ij{@ z{v5W=_m}pQ>;*0R3)Tl3jIa<(8Ra|7zfv(a4y$%B>Fo}`0siGW=v<6t1wq<>3ewT3= zp|Jt3g}bhIaS+hSUbZ&bh?mZ3uKuDf zV*K_yp7ZV0AK#YLKSFcb zKU{JIS+OG20le8OlL>*n5a3w5Gc#esAi7(tJ{rlqSK$B!PH$PP5nj$&QuKOt}=5PcG+ITlR@5~=KPR6-}S}^ z()JOo?%-YzwTB7s!A6q5*>zG(M>+Zq@6&vZjHTt#UwP(sEP8^v?QQ!Q!`{OHI*K%v zzbd58v(teI$5tZh$1499mFk$=oYI0&=bidv1fzmBAczFrUmW4=9ro^4^{>wE!hOhDX%MzRunCh|2dl1O9UwCwsF>Y zB6h65iwy0FUd_`?_icaq7nDN8aLkyIi(BSz^&7{}|d9=KR}rk7$2x9Rk}Z$ZaJoa@=q1EljlPI@qzrL%5ud z*LW84^q6JTDUd>OrK6RyHPxnMHZPuZe||`v{NR}KQwCpjSd`vw_UNMN3Adt<;cn3p zSy|{EO)}6x=eIDA+2zB6j7RSkB&|oVgv3W3MbQTu5IUnWdO0~SrLTrDHdlkP+;{PMU6xYVg?5wrLu##}KSz#G#vUYLFvl#n9MB?WMRtR;vp* zkyf5?EvI-)?z6|n3L&A^|H?imwt*g@BRf4rA&jiSMP%(t?Pgl0MqRU$B0#8*J@xp059}e%gIy#-(Tovf#lOR16xsQ|$+MUXfZ;f417|_`=u# zS;r0B2#ephK|P5TpnD!~^d@tce^@nelisc!aCRIAzv{L$m6_)LunFh-32r-MnzmtUN&$1b$^q}S{g`|}dGC1LL3MjEl^{Jbg-+vP2B6s~R33fp%asvP=RTsMxT5OjCvh)V&U|ABnHN$2;!sYbF%*!q1sMh4*muxRl9NRMA}PGwJOPc#5)edPn{wYYQ^hqx*eOPKM2L= zP01LJSP)G9*v2&MfBnBqH0{1{`u3#Yp3f%NAcZe3_+Uy5S0N;?ZiW@f-nJqvd2W^( z=M7aG`|925Y^m7}fBGzJ^2>W!RP(@l`7B?(!_mIaLDi`aKwMZ3Yox!94eH#_5Fe2a z5HhKjpOd;5%jlJt!B2)z?a38w1DNjbzy0xwAUV7;KQ@*c-OO~pM$s9J_>U9Ny(<0m zuCor9*|(4Jq#YH8N}9-^pS{=BxGVHqS&~>fb*CG4*n6P07j7SikpEp zQIJN2Vd*dTY7lk73SpGU zPRPIR$mn3jp}gg%kWwpSSZVy_&AZ3di4hoq@*0!vefbD{n3qPy8i7x4-xi7^By9}o zJ)coVZ}oo=^5AGWKA?+oR-pgf#*2GMBdetN7qtE$I6f`H(gVRq?rfmv4ZL+ONnaVn zWy=k@|N4bp5!pCMLcq{ll~7sav#jjRJJh~Ux&pWQ=&_KujRN~vau^}}W`v`9vMsl= z23-oiTq-J7?X}4o&@$e)@Z;|Gb7lo^JAW-Vb#{xcu)Y;1Sxh{cjPaVfQ0P3W05Y3} zVB`VT>=|8pxr;4q`>p}Kt6#jHdr1jqkIa4Qli*u_;K>t|nJ_(R=(MVZ_Nx5CP9_YF zV0}8OBSX&bl583>l^B-K!V=H5#QcI^zBmIL!x}2%o&Mg!>*b4Zi5F+^2ct)-2T*C_VAmZwL;_D~|U$0unBX;8MubV+6Uq`Uf)zofBKDCm6;kK7WV^J(9Fk z*>^dmcZ}xIYKl^VB#}Uv^`4Tk)p~zX*HTdVD4`9+?w_GV?J4jyijX8ISh*F^U^sB% zO?w6f-S$Af9hViow}pN*K~4h{mcbxV))HHK@Yd~JT+pM!uPqc~Hu>4+Livs_$4i?~ zi)T!^K+ppA_@IpnGj53f_4&o`C4uJg=6Q7nKaH69?uezHtF1&if= zF!{AK%R*n|Hg3!8M^!_+aWjuV#ce*(LF>Y=fm6j7@#tju?GKP}6d}Htpzb7>0bN)^ zBEkV=*z1jgKOVwRVhNxpmR3rV6Kp$h`fBal*?nG9;eoKx7bT+RJ;$>bfP z#|4AR;N0#!yo07A3MC#94LT63P%%0~)Pyu0DZimJu~p5Rr?68uDZguJO!lvMm&7a7unP9C4TS2J%AwKi%8@v3?~KT`yr%y4`3GEcK!0DmOV%2IY z)y3y0oe>L3bne4>{L8Utt;B_n^BvCJL$nj1t#8(_LGvBee05&Hf_ zng;|y`ueIHFm4M`PXG;77fM7*&pUng4-M3R4uL*8G2ksagGbx`j1y=(`M}HQO{mrH zwmU@2kTm*rR*WT73cJN|6TtWD*;?H~3;3y>EoI~{`|rMR4fBlmxeXRUqE0XJyuoOG z*p)Dsm!@*5wD~J=#%5jB*{#8i1A(bPCbG>u+3^q^nXmVX^8K~s*X8!7Z&ptKi%Hi% zM*88c+uDECvZr23?39hCzxCsysyvnTm$z#Y^JaJJ`JH9Dq6#eE~WJ&Cs5rQn~V z3k}XPxC)?5QXmVM;>iz9yt9*aveUwoyz*jCH${N?O~M{<>xcMdX-gybGlM@mUqXc* z;UhQ`a_HAB{RvXBRidb%d+1?R!OM+)D_o4Wh&JLS92--hpOZ1bejhb$-@i0y%}pVxO@g(AyoA?q*CK#(c%R7U;^YH9CTk99&52JG zC>NUU6d0wyc8?UUg`Y8|Ua6ASRDwsSKPHalOo#1B*lYz^*>pV=(GpH;&u3>#kq+#GwBzfk7Ff zlkU4Y*GH6$Y`+s$RPpf(L0z$6GcNOm*@M5GJE^zz(+?18`*EU=Ii2NTe!d?k*NuzG z!yHlZ037@<^$t()Yf=-Xc}yPV_`XCU)L~6hO9BNjFJ_N@vD$cc`vv{zJaqmz5-2jr@0|DK1KN8aT|mMNlFHRpT(r8%iy^C7i-LsKZ0H#@9`FI@x1CI zbSDg9OgA`7r9EG?Xgb{#O*p+8iOmF!!qWOohhf^)DO;^pvP3z<=3@@ya5F__&RDzZ zb!*C0*~8QNG`aUpoMdNZ+cns1!VQhDp?7^dMW0)=G^3f=yk0AKk{;5an1#K(!(++k?DBqz;%gU;2Cht~Or}z70^J~D0 z$7y{c^x(+o%LZzs1&D@;gTV97J|WO2;V+uLbk}elETibZ+g^>#lvy zl)YR;sJ&U9$s@HVJNJ0ua7$M`G4qSsT$@~d4;CeMiwGpEK~LeQ=45)l`l=N}*)HUN z^W+=pF-50pmjmLdp&!vW8Cg>M&7Nhk18uU?j!!y53x}` zhOAUo>0*yfcar|=^lm_c76;$Si`C5H1Zvqybk+<%h+3)?P9IF3U=JI1v4BA5_heJ= z6WL7YWIO6e4`hSviYRtE__E9#Cw6YQ@Z}|SqQiHLf}FBRcDejqVa_Y^j`_rsHZSo_ zreN;)RaY(b1O5V__}>tdj8=XDZTI()aUBWnrCbf2bEF3MD(oawOAEJVd}CyppTcIY zxzA7fU7`(0lBzgT8F|As&)eO5caa^GuAEZX`eI#$()tHBJzIKA@5$%i>5VnDy%_GM zaHZw&^SI529&G5D`u0Y7tI#X(hvmeQ|KkuM9fPCOsXaY!vnR}lid<{I)%?hA#*E#+ z`))R=ZUP6+W}seH^rN8ouxV*dD65qjN77^XOO#w^TG6)-7Uex$4)u;k?^F-$>D+* z22_J=ni8x@zC?zOCH^NN@ZzL0&JmDgPU#Ep=L5|wg|IjRc)h`gldDLjHKfL4SI49k z-(PH*o0igo+tQDyH0?pVCGnfK49u*sHRQa^uXcJw$cF6q4z8}N{3;{0%^lF8W0P8U zE2^f(ihp==OB(}~TvHUw#ZLk-<40-)+FBPIZW1O#Ysclc{Fiu#px~AH2I;0Kf3gY= zG+<6_hiruxWo)(g&>KMlG-{SXY4%-RmTgS4kJDZJ{X^NeF$qDm)Il>riU&pCh->{R0M0Bm|1?h0X*A^cTA5kb*TdFm7RSd#yS$QAP z@sIQDI<<&Cr0*D^EIK~Yaw}XP@uyMxceh1Z`;#r`tbJlY2FI}e&BmM-YR0hkVNc7C zapuRyqEkX0b7p{wlrBXK+RI@SYE$c4U=g`!%-1eHhN_?FOM1Ysm1jUW+21Xx{fos3 z!fd9%#-tebeGK@(6B(ozZitpKiSTQLRy!MpL|LSg}3Z`6EMCnxe$6!o3&`4Hq zQf!E!7+2gRC~Ecc^UE;q2B!!!2(7vo?eYZD0wbnx1AI#t1JyNP`8;u*i>~ytabX(p z-Mu@cGEk}6ZfzVcQlQ@oI&t6aS}33GTfJnc1sqw@UYplFTXU=ea^yL+)>G&~kH)$r z4%11Ws@gDySy zp>HVa@Z>e#%*$0lQE~>e1%JF*RrFY^wSvwPym8I*$z{sIgAVVn(Y@#9ZeD0Wv|b_7 zJu@`wB-@K!O%3TnosEi#OR#!Ifbd}U&D*HXPkp3=J0+u`bsRxC#mVIhUTvlVb)96O zu~)3sV1n>y*kxtU399I(r!J;xhXqNf%_*w>miw2H>fE??>{kA%EIC-z^4oAbinZNK zm<7bF+1kY-RP=|RZ+!$;SGzlgVEk<%smd)EI?0cPK_Smy>eFj&LYjl()|kN!15h@WUeT6&l6zGJVJEngC=*p8d* zDDjN-(JG$i`gvWvG1bwQktw}A@j%!beB>Gz(2e6U7&p56*sU~=-;kV-;`*S>Fp z&SHP@-e|Yqasp9G@vKRGUBSltz{<6kV*M12xpcMPBw`=wmFuebcl!x-d>Si*LO{oc zxVg~Wjn@o25*}NC>xFEQXt2v)K}Pz->=+^i_B!bCqCAtWE}ESE%~t0*pA^#M#XjEQ zc;;V#)5mSbl9+jKo6p3AB%UR|jTk$Fs)uG30ukIcNUJD!2Y0qL z`U%vTPi`jinsTP;s##d|<(w|<^0EIKSdSiQ$c7uM?~0LLKCv^0 zTV;Pg=5)@?n1`>%1*?qfE=+**;fTCQ30LuRvLooeret!iP)vg+Sex%}`#|r+{Wv0z zQ6~2dTz-5j%=TOu{EiTVFb(wfQ(;cBy-e)!m8s47c5Sw>uh14-z0Zu( zN%VVJ4R9mUFA{AFLG^mXHgBzUy^`>{iOO=fy|5yaiss<+FysJj!!x}azh2JE>{MLRWju4t5u$Mod4tK z%Hx^-|9FlZDN7~Fu_AP_(t(;ST`HAyNv@4mp6!W8$WG017ybLlE4fy#@}jG63<=e`)rd8pU>!hO z47D3`Ox+hUd+Z(J2Ws^CCrd$DaK5!DQlvQv@jMc@`Ic+2#qoPwYgwCfTs-+` zvQiWI@hALa39dV_u$Dc6`*UyX)5E6*NSLj`aOZ*+;MWS~yK*~v5}YJ@I+ypZy)oc6 zC_D_avy&QYiK11gT0mSn>pDu@1IeIb_2sCc(J0#ex zy3!wW`haMs_!C*oPCIBay9JTlo>4sN$5bSpji|aD@OG%~33r}pg$Y|NY*v|kL!83j z2nV#Ndh$g}%%;g#Z2#*ZgZlzr!0!la8Bv7iSGiTF6tqy+ABxdQC1;87-O5W^x4845 zUh<1R&*pE4A`jo&N}>=*(ig*aB>zx;mEMw8>m&s-CuSfCWBG1w^V@sm4e?$R7KpxD zkijoInF*IOo?m-O=*T;kTycgT;y<&XlKZc=D42$V8mn(>Q71bxj-h@3xn&riFDfqC&;-J=K(ofrNJ;{{#tC6dkoEBQ zH&0%relrdDvt$`50j)Qha}3zgF6{fK*10?A7@Ih}#{ejeb6*b%CfLrTpR?$6i7j}W zQ~r)n?xT&-osReziJBQ8Ko{jMF`thf&Z(PqXZ{_VLMS$#>5>~Z>|^79P3d9rsBM%k zGls!k_hYf~g4b2igPzj}|FRCmjdiMEhf%HPTnNH0|+zP~>wt6AF^SsB@O-}i7* z7Ea)Giz`M`SvDe1fY7AYW~TW1uRcmO71EB+4r$eH?_4)e72|&I<)3*e8+s52)@jivNrX^1zW0->ou?HhADi+fzpPvmOnYdyX5e1kUr z=VrSQvOrPp8J7=S6C2YE=~&w}sh2pOKk;n;s=HZ*$CYhvzzC)9YaQbsAG=JSe2mpd z&p{@R;MS;8i<7Vqs#|c;AfmH}%tEGY7uz6C@<2ljmN|B<7JbMcI*v=z7^`P@9>+zD z+A(KT`rg}p{`_y<9aNWKsPuyZTi`q9J9`;pjI|)G5sHfpUnAKqvondjdFfLp@*jL8 zH{m*|J6AY~OuX)b5iP{cdcFxyR-A#@B%MWRtb@zmZKMM5IHi@rPR(cZaD!qst9aqx zxW^pE;Ssv@mr?sc?z+RW(!$U62Dz(U?)>%N*f~9Y6;`9=2~f|O`WY|HQ@&TU>zns; z_D8F`$u}{@B1!*>3Xg7eCKZtP3L%c&-ct}fVhN5u*uaeywkRY}_t(vMIodQB&pzL| zV$CIQnd4EzR7vgXX0_Oy(3ZT zI^!Mv6T?`yeY_mFbC&%lU^{2yg9tkIlcAK}G3!tvKe0+1rR!AhbT3613q5S*CW|@s z*0&A*kdwc|yQKR{5WnqgNQ8$FJu0F_iZ^;m-~kI_PAtt*&3+d`UBtRZeJz@l7Aj>@ z7PXFcEVQ3*1G!z});)hkY-~A}0<^)I#dOvS;_gXl6}gE~&N2NEaxRf}u*Ft)h7|O2 z)&DKvQQgD~dKZboL=_I&t5Cjgch1t=Jp01;_B6>>fBmS#kr>}Xe-QHXt~H|NGjbE{ zU4WIO@E|1HFL3ze)^G~}SUXFerSj^!4;cy?+)KU68m#;-AL7%XSsf=5!2T|;qz*;+ zWGoH*JOmeN>8nuA9&E@rAdz`8lG6yIA>Zpm%c^xqzTOvfG->?MujoJT{MaIcU4A#& zMH-fThSz2fO4t=lM#1-xS`};&z$_~vLO1#G%tU}+1RZu=VqIN zmeVP84<`PqEJh`g__Gyjg=|DQiqHFr>~<2&Xcw2aqhuWX{6v3Za~gQRQh@j~`J-d= zM0LUBD_L+a|0V`q6GcNj=*b8|2a}dE`ffrLC|+&9y{;3BaDr8x(vHQTQ(7$t8YSiq z*l;Z5FFhiG*Bk!U&KK3~>IToMp0opnu{YALxN~#6f-R3?rz>Hq4sG92CFEmf;&Fo4 zp_Nw*D5H11P(<%gfja3*o_t!QaKCQ9ZqS<}QreTPqJP#vG~gfmFlfvgh*{YB#buqr z#67~lxEI7`A|S)D-q9L1w+-||P$|&*Ot*B}^L}Xud!bKvK-|_wr7M_N9XT>L z`sn&#O?!@xqAVuV`f4yHA%CM$ygA3b zf@~!^#_Sqol^B08qHf0j-Ukktnc`vPN1f*auMf5%x3HdWV3*ApB4_VtPY^ z+~X>h)n;z)>rtlJoD$%k8|);ql)^vxuJGLXy!h==-z6UZh8L+Bb@<`o)w)a~?is$5 zkw1|khP;2u*c4ub5~&=B&)9}Fy0~oIq9mkjJ$*V|j$LZn6>Z~ua*{2=yBrjuq>Z+B z@$GTF0!Z(;1&?gUARY@MjZ|=mJ-zl>-im+XpV@9H*L!&aHYz;Mk;43qz9+D_Yr@)JtPq}|5e^dMHw7dGq}2We%Hl|)L`#ZBZB}rcT;ik=xaIm z2?EXx(&w!pPgeBJLMh!50On8QK8won(6P2(C7)6E7LQj=UF=QYu|B>`e#t)zMD*SJ z`^b-b!HdsJaZN~|my;J`vgx5kaUEAJ0}a38K&&j8@#`pAceTgA_!q)otHeNPhY26!f)DZ=zbm2Pq_ zpR&(!A3ExQ2c!#ol`fQoX@Tw(XJaawDkC`kkGQimVH%a~wYE5mafr`@d`4*WAn-pM0R zXnA8N+dbDW34^#Xg*bj-xZj0Jn-m{KihQC7XzF3NQw>I`?#|!rS~e8_+mX^z1~&>y zp5Qy)&I+=Zn)%x-FteNqxAy!cf_mxw(xwGN+uMPaJt|f(4Qvt@O<1Culxiy6y0adu^ zdNF*;V8T+y1@^ex{_p7PnkN77u@6cCMnyjkMZ3tArVT4W$DX#Ik9eBF+tO`(u8#cl zF{BB#uw)5$(?(1qe1?~Ggd*7iR%s)d29^EfqqX=;WO81d_uau%qn%L|&w@E4}{s%VDB81Odoqb2E4MepRKD`cvQ z+PmhYy5{ zqCa1MhOe+Y*95MY__R2@%kjHMVhh_qJ)o|_-7Mn95U6rRuM)P>3u*<^6+EXK_w~E% z_QvWyC&@%ygJDSX&DEZm8LdkPE@8SeG%8^t#YI??sI`R{`po{nt8O&j+7SyCd#sbv z2+!j)VCU5q`cT|J)dj?{K6_DpfMSRJ8-BCLoZBm~4eo9X)d*t&@soP?gQmTjS8{LG2*FE4K1R&DsI-)zVZ{(xS;0_QlY3;YI(GG?ka+ z`*yD0$-%$!parBcf(0h!C>R{fPgl+Sl@~;`?StKh{6;v>^Njws{8Mkn4wBu9=~vr* zvp#U;(1#o@dV~a&lq!>1*s$wHG`^G}MP9(9wprK@E5NSaRMtBnYMwif+mnRtc zvT1yLb2RIj&DnDcr>$}I9;pTR0|wVlzM|>_@3_)^XAJE*ygU<;`c4co1Rht_xfv)p zHt=9Xk-}P6D5%ihaT%U~y*;6~5sM#v-k!#I&#Rl7Bl>-fC|NtI^9_|B9Pq9m1s!|T z8tplGXchdo2lA^R^CM-+D1_*`2UqtF3M2`%z?6X%b^ML{V*+Ry;@G3eUAjNGI zNwEH|ri-oSq+$!-`Gk!iV++BSdlt3Umi|OeXUj*s+mUuZkgmA4u7)kfjc73~-rhG} zy4z~@3G&`o9^n7kf5MM0K9h7W`7r17WwrS1>KkvhnmvmL@>B95uo~lmdzh~Ev)kNT zsS^8`B9?WiNdL0v&B=uWyD97=7$f@tz{q&uPRI&>iiF44Tu$M1=2_B;g>n(CZb`fR zADy?PjX*6R{^kPPX!P^5eUA%lH~cnBmVB;VhnIv1vh%S<*!Bp$c1nOoQ8x}2VP7C7 zRMQjs6YJ4Y+wBedO?+l$tcVP_^rTMOLZ{aAi~p1EsWVTl{`pRdLKB1|1QtU9hreC zn-99A4Dyl2UevYFgp8T+!Yfzp{MES+)7|ti&kcAP1pFRp5U+iR@dv- z>vYQx?RHCQ0`j)rDMZCCBs519sZSfbGMZ?5K6CHbo}pO(Z=EiFMghNU?FzhgO>+s8 zqch1t4GMa?KM{W+fbx_VRxSO<`2+eY?Sgo|_z@TLp;$IiJA#G?<5ES?W_X_IG^;r< z@ZM2%?!_9R{}w|_(IDZwd@smH6GL*SzS4;O={CuuqNF{-e9t-Dl4!PWpu5aB5b2clevMoHTk3!beYuvD3aCSMOpq+FIx=Ri9lE z(=^^FAHBq+NN_Jyy<_BER+~0rItP@H(g8Uwh~lPHjpAb54<)$#;Q^B<|8Mb_nrt}m z>c4O#OE(MA+TM{bMWo?|5G4s6wE`9n|gPGC>wb!ac~og_h}blTSPYE1N- z7`JW$wvCVuhJv^^f#&c-=?eR`_|VxW7@5m0;Dv+@91F0-8OF}SfWo_@WoW49UWUyW1z)6tnD@d(+b6ut18 zwlKB;1<3U{P8&@_k_XEYRbQ-F5k4k5qT1?^i3yo%nZ!$z5JROu7uBY1C^!2}{^&NQ z1b8QaXQZ!^V4jsdptrAVxo!-27a|o^vl%NT&KjKC*!2e#M}1vQA8k3hgXQ~!wLV^F z?*U!rvAq-SPY|ROw-~7>kg=bYb$nA$ow&PvpO4AL>lf6fuf` zhZi0m!e@#`404I5fX$|2VR&%EV1oAZJ?gyE`gpmCNL~q(@A(_{fknK!s3ms{mxcQ? zhIzJ*dsH(GI1Zkag%_REW-{A>AhWHEd{TL^%NY8ayxF?hzUupXI&y55UJd;gxy-S5 zLp{2IaoLY4Le#8jscnhSTqKthB-bWtcN2u7KESr&L14vB@8nw+a@Uza(A%>-%T7UM zD-Aq|a<8y!eVxrp+b9qf(|^CKY`+l&c#(6i^*w+kiWmy|;sI=7OX4fy1#5!|#1XjR z4k3k*`|p!owW@URfw*qscd*SqfBC{4R0^hC>B-tP<~+5-p&fU&mn$f-{RXW-Wj$Gk zu5opN9H}609eIw$=V863a_x+yNI{H$zeFDM11^gU>PX;Y=t%ydOOx3`yuftDk^ItEg zS7js>jZS5IXddQ47Iqcb5 z0gmxjWJ>_m^8LfpPi(_EM8xkx@o7{a+Tf?9Wva!`z&tMA>bkAdnN|~FMqGI?#>LB1 zbRtu%)-`-hOP97h7k@!%QH>Gj6Q;DaGJy7RtvYvV+AeyK6Z$Yn6Jt;ZDnuQ|wag0M z-^gaYy0@-Cs2hn*{{2>y|JJ}Jt!4>%tS%!L-PwgKPosje7w>e1X10Ot-$r9IiTzXY zI|d&V1=eUwK-L7P{PnjC1&syn%H)4z1^HHU99p+!o_GFM!0`69AJnR^#zzSLfy)}^;3AcDS%KV2no1A#|zgpxdkJT`d6JfxdD)fe%&E7 zuS!k5YXw8R{NLr9kM~YCiKLqAtPa|}3F<78eF0AkLLSrK(>{=rFL$}m9@O_>MVxTo zcIM%l=_>J=?bgV1O)9^n{zaf>1Kx>zr%1oSV_QWnfp4Ow8BC|sI}_l*UAL&|cgBnC zijw7-4QvgXYrNX*R6B0v&o86^jM=+D!4}RT{n*T2IBIzW^OacIyhsfjSHCyrXF)Aa z{u3oiUxd8!Qc2Mn5$Zw#8OdNG<1j0Q2EG+vMNcHBi5}b7i(l3Of=)jW^gFxqvWQP@ z!`I6^!tr#2DTI38OqSHt`Gw26g^>9#Yg+fdI|FfQz$|J}4P|p|0>HXs4=p|CpT;JQ zXAYfZ%`Z>VvE$PI;#y31olABj%ML@!FZ#^xE}Fq+!h_PPE<^qho}DRxq$YNod7xy4 z1mLKIPJ1Zmd$4JKR@aW^P(qY6ElXZsxh(qT(Q2OG9UwTule8l6)*h(qHU7?dp<{Yg zoj*i%qggd0X-$0CyK|8RkYQ=>=$=T~vvC|@52$#wMoZXpBT9Ns-sRj^Hz+2lR{3^H zA*AAror-#}{crh1;C@vE3|;9q=H&Ej!O zSSb=W77l>&;55`ZfM{lQ$^Z87#;#S}RJ*h9$M*sx$TLT`>HpXL#SzV&493jAZ{Vbs zn$O8B#wCT1;yd^sOaURTc%<#-^Wy=cOa+4Ued&`Jrn|%kXHfS>qRRs^W0_?#KeN5h zpzsQ+VZ$??zO`rk*X6jAuZTN!TuhG`1?j0oh~#{-M6-3=kfKbub&AiyRo8OvJWu72 zQD(F7!4Jpni6dlT)d(-6?c71u847$4ddmj~cqxeS7=@B1*{2Vp9`eKnW2;&2U5;J$ zjf0m0c>RHQ{E_lX*;OadVM)LNwupmyqg+eI03>H|4gYg`Tv;@hjY4B?n)^b^vP4?z z#y8PH`mbz}OJB<$`e2d=6+xdIO?#+SG|-dNX0@ia<_#8@_fjsx4jNNM$7olpq!baaz0C^4+*KB@ygnK&AC)c?_vNtZ`XMCzi)f)rnm~FaBpQl_rGkl zuQ}JL4P;1PxQkmw<#fX);0ym0+=p5++Ezwe`k}$(AzUxk!nA|(rsf&Z^6gPVVE#HY zApYaz{(^sF65?FBK@H+7_~}7xJVf)e{zfWlaUMaHTJtShZ8>LS%-_3sdTq(Y`?EfO zBKWe5Y3qa>q9QYEvr~9)6+SSjm+we^Y8#_dHWD;qD zD?+s#zIBm2UUDRzS3`&AuQc!n_Of^3h-Hmr66SIZH0hHL;l4ZWg)Mg-a+RA-lqpJ| zdTBl_eM}?bWR-up;z{BSAu8U|d2VLMJgJ3-d=_u9W8eWr{@|NkWaDu(R7|y` zVR{fF(Tz3`UwU7^xLidTq5qgezVi!i7*( z8rku0ZTXGMaKR3i>fO1-NR{6k-(Q za=-cpHHZ<~cB>1zu*1Q4%pyp$2Hrz7_EjEn*FbqQ&nL#qgs z)Q@?$Y%WVv@amcap`OjD;ZlX$%Hyq?)r;MIzfe#9rMkn@{X$8JSs*kfy&}Vf1@4X>!3yo0GH7iIkDA?Xu9lU(eKaZ zstyuu9`46FPL$?Pm5gs5_=d3K{Py7NjI9E_KD!dXM8X?r51 zQevu>2|vsLq5l}4isr^#7aT2^acqR+ISBFFyks0}IxpnW4vN**1)dpYh2?;W~Bo-;&A%RCQoy*MTwA zC(xPydUi|}@sAkiilpGFpF$TOaIoDV`+fmhqnmFvC{r@S$VuHC0FPwa8L=X1Ur_;I zwid5SNEdbvn65TE50|G2`c({IeUw1m***HgHA##r>C@p%xQZ{E@MAT6>mGwZQSl+g zAo7up_(&DD)>KDaRMNkGnEJfBcj_YKO>xu+j<;pj;*`4aSkIHF)1=FRMxK`g#)N7R z$@F=Ig@Cksf*_&NN8bKluII8ahcjGR+41?K(mj4c!9z>nT!v^ZZc)EO?3YJl9D@r< z(eHDd118x`Ke0yle3FcRw5dCuB!`*#zh0l(gu)(F8z3p@r@=I18_TBHij$cu;pbZO zW#P31atu5!hv}NO@VqzzkwSVegU%Yy45}{JO`WgXG4lHJQgc9?R_(qX1G-Wa_2mMI zQcWK9+fVu@3nqjbSJ^%u=$MbfkUDo`;IOYLw~Ofka~-(LE4=SZQ){lIV4iyQYcX3D z48eX*7g=qaNN4fNYAq^7LA;%lpBei9HSipC9}hs%LwqU@JmKuF#?v=0glW3|@EC4* z=P_)=`nSE3F+1i!ZT(FHc_WAP9Tx6Jak|40nc|q!-Ec|C?`Q4IgL-D^yMJvA4XOI?i#=V7joS#!5P|d2FvwxpF zGvU`xZ9pCMll(BeP;Z;dwfvB*6cDKF<|N37C|~#I?CY;Ey#hm3E#>)P!Uvp|&KUNR z*gKw@+CE0_B+k$?-Hz>CxuJ!CO9Bqzlv?Q_q|jNR#H2%!V6b1P^~gcX{tozXBEtsebY^A9WkXu2 z1DBznw%KC-ovfb`TnUtzk;WYGC49fluQ?kMfxpq5f^Ekt)s4X*72bm%dDbROwBDrv_0@^f4aiT zG0L%x`5+mvm(_9u+*hbM>{z*xs?DVFw<1?I=*q;B0&8JRf#UK0=^>kCmVfIBHG+4` zW8IFEHw3RE#cq+Xg z1O;R?cRrYCD<4xc6cEEA+@!k94$sn2R{9Ge#-3v!d!uCI04h(z@!4#=1N1_vZYb8;=_DN_QEPaUNGvGeKc? zQ2}U+)f(_>Uv^xt$7>$io{Gx31exxcW(1_#2Bemlh>mIn z9AR00=M!fTg;KmMa9_#j<`E1d=_cujuoFNSZU(`(&$}$XUl>mDwix+ndIR$bUyzA( zlsDx>>LuDftRoyz7ejxV*FwL}+&pQZp$hxu{w^UTO~WuO{^(uuAyro_4>0?ro1Zr{ zp1||w{ei(Dxf{$rmVH?o+PbZto;%Bi zZ%mMbtnYB4W78pcnFl&dikHz8=7s!vm7@f{0Jk3$0-mK;^_}8GQ-^tuq)QXmqpT8Hm+3ZWl zRJG!i8)TVDvob=i633CE;8u4obwTE@zs|tgvL*)RFnNvBJFkGtsmfjr)MnHeZ1d(x z63BgYkOT(*e=oh2}ix?VCYFO3~m1`%uUma{f zDZC8(lh;%k-|tvs?|^W*>id2dasQ9%c}uQhGqr4^kdxQh4_W;bgz7P$5oUApk}m^? zHq(FW*rdu#l3m4ktSp(wCEj&19CwRy*P(| zs|;?6oz9mJOy9J<%&k`Cx4RjF%Y7OF)JWDDyD#JD!*j!<%TMiOY@$C7z5c$mZuV~c z6Yf1;7IDSscpS3o>)TIl$fHkwU-@wk4r_}{aV)0H<+wI{H1#V#<9E`%TFV%o7f>0| z;2Bknp7opaZ={TYs>emTftn^I;8!Zz5iP!M_r9AMeWD%zkU-s6X4Y;3$SYi@B&(C1 zfNHCjIm2i0MQM0dP*)Jj!@qW8a$!FH3G`oyQ{edy`?Ix#4_Z6(?gpqIG$zUf+J9Z~ z3t0%uB$6~pUjg+h%co=I>C+5!zX^9Qgqb(V%EMADu_ln^Fj7ls=d@*LA8G6$KY;l7 zHqQ(>gg-y4;bZ=HH}+QDg~dJ9E1{~9g8-hk8p}HL#1Eu0w)kh{nAqTM0IC9w+kb38 z`vGY$W&&5#a-d{%;uHC^G~3!`saxL`DbF)6YI#m{>;yG?JZoeR7QAyl7EGGvE!veD zb%y$v16Y3k39=!hvy>dKL?BNBKv4CM4lQXd_#{E9enZp6ZC$gr&9wxn2J?7&zn`9K zs9@$wqfHeijFVR~Ly}JUYw*~Xa`x|Us#QS$?I<|_L7Qlgiaj@*VDadD&ibryn`6~q zw+x5PF3{iH%)ayO)R{--%ZULMbL*udJ)mtH&lS*ua@}e>Hf3-Q_Lj?9EUOrwy$tKpvOEiWZ08eN zxfoZa)1mI<{zxaiDOPuqg8{!qE|>vQvZ?9Gkogzf%SRBNp|@w_qgK}=MVsS z;I9eeH+r9{v+$X!+_8al>yBB-iuz}V0V&8*>>4)s>W>DYPvcv4aI}uNx?VCe(k1OH z9emWk8V>nxNC>=+e&RiVs~wb2*vf&(e4i2e>o&#ad;l+ni;~@8ahQCrVDUbeEmU57 zi3%I+FolXuVCn@&H!F&Vp(jZXkCLuVHY6@<)cBoUF#Hzj{P6|>p-No&;JQfa4hlGfCb_h$!Zk3yx>w`^ix!ud*h!a z=)@a;Y9QVi4{{x_9jxbpY}9>@Q8aFMx7rD?rY)_Pt6O{kU!aJxX1$1LHj~z2PTM+8 zC~AswF;({z1#MT-?UXY~am>Y?gpvJfWKyUNIEpKN`|%Za<^F(S&(OUT_a60-EL0xu zZ!WC6Y^xy!X|_%=WVBw-RS@PmiDvJe7x!CJ>J}DdGhvLH1Av7#W?v}({Yz1xwh^Og zN{X2g$76?I@zpk@RLO~Lr4@Vh7(bE=sJp1kkha?VSiMRNeV>FN+?QjC&+cHFR;I-_ zs$xQjd`VMbhJ2vupASl*#8cVu`tf(lr*g9cRmEM8iE>leMTEjLEXT~x*X;s{nL1l8 ziQ+=+&YIVDS|(5>YnMlNsd8OH#&d~L)|h0zy(@S zd;O}huV8DyKxJzx!vyn)9mBciYdy5QxYfEyMQ_By{h!5dtt_8u?o*LK<=jJ-G-LaU zexH|2+Nh?T(Lo=WgqNM8q92UkFF)9!_f@^ z;Azq{?$i`nrWLwanYRQ#go$J~`d{YC{f%8~sP5+E8FvR=OYyWWKV*c6 zs{U*`N15ALd!ABX6vkKfislLgqW8V_Z_607v<#!JQ=+IfAEMq4{<>e-12eA5G2~4# z%qeP`zc680=Sa~<;UbTZr;-*f9T7crNg%dSKxS<&YuY#>kmjg-vEz&=4mo0X?ASOuAhhQWB6Y@itcG*X^Qa)|Dqz*8~MB{Vr=Sn{AvQ zoiV<(FT3a*!wI0R#U~Zmq9sK|>N#(5`L>U3YybPe$1Pzc;)^vxy=SU!w6%l`;Mwod z593HB^c2xhGx2z%9gYSIafA!y7zM`z0dkxDy7d~vTN*Z!r8{+q4}kITEjOZ)Tu$ev zfcE#9S*2g*(-a20yin<5`$BfDpt`f=U)wB>R`4DGXYt|Qh+LHrzF}$7)b!&x;s-22 zS`_6`tOehiTBJQCM?;Q8721QDXQv9wG`kEQkB2See4qGD_(PPyM*zWPGSRN zI48ndUWbt~<52#6uVY}k>hVgx2?jLyE~(t~!7Rr266QRp3(y3R=tm z?KRU^iE(A`R22=k8*+S3>*AR~veUN?exhq6;qV!IpHRj)buK`ZYnYzbA0JalLzFT+ zHupMONZVX`6puJRPw(1Q2{)7f<1w`_Zet7FnD86O5{mSvMl=|TT%;6@IwE5iNgfcx z3}XV!%EpT&Pdu z&?IX22xm_5*eX$&39R$W_5{g5NfG|WH{u~rLvarlIM34$uGRt;a}+_3DEN}Lh)?O4 z`&qFoYY&uVseK{Zzo!=-*~naJQ7}=K7w*$TUo2d5qM;>CdY$xOvXJw1epZ&>K?=hn zDOCq*J8Dp6z*PwaoPlHC6C$h?yxzr^Ry`R@3b_RMrdEO9q{9q5$&$<#J}x6(K%qDK zxtq;Y#az0j@?%olj06fDlrx7O`+uk!$kPNs9D!r7qa>;DtK^pyPdKb&pIwv_Q$yF%^au&v7 zMhT`4j}N+dA;ib8Hpiwps=99%P`Vtms?lRy{E`V#Lt+k8Y>p$E2o#9SijP%W$j0@w zN!Mx&meJE(-QD0pmKW8B6iJV!0sY}DC5Y76q}IBhe7n|ItX4psC?du!)i_A6!S}MP zZAr@xEw2LdhJ^>dY;|hc!6TM4KPPXzdHyS{a0)bjcTTqat8973PruqCAq(t+olv)d zTtWT?A;0XkwT8?9!|x4~KDkqYjcpAyg&!%4UzG|CO4ck1s84q2L_3W?v+T&wKG0nV z|H4GhZkAr(2L~BY3KxI}-T9cd{}wu+Ch$pkeUnH>L3D12&8f~N!ex>nH7cveiFZ1>1^dV=F-5rxR z%9x^%L`N4&+V*m@-GG--c;*&Z{_p?FdsXxBZU1p%7uqaVQ$DCJDQSnS>@dy(f3qT$ z;;7eo!Tq*w{;+k>1mRY(^!<#uE_kgARn$&UvZiP(dsWUQc9$00l?3A zyF=^ucAuL4m}h!uH3kWg3FnT??Q?-7223Qx2<*E(vqZ&;)7+hcjQ0tS|6+_+YZ9mf z=ibDG9~2>4nIThk)t@13(NogklTl?kzTNvG`oZD|UjJN}J>XbazAQ#jNvP#i3Y=iv z4lRaKehKJ)GAD)Vw~P2%)|j{>{RpPc6Qy5n(anAWP+`e>DT%84ye13msv1=<7QixJ zrI?$LVv@#p1&IEZnW+%}#%dw8S0JVa2-ojwYVnbjyy)|{S zARhf(f9a1#;beHG9?MvL(g+~Ij;QKUq*(^iOMDX(05V4gGB^u>mUpbT!+XwhvD5OA zan5nl`>uu_U@5_ge-4p;SJs|o{441O-@1#LW~b-XDX(!pt#ZPaXlvDm=3CrUhN$io zB>W`g+2X$KWEW*Jh5l+$`jn261E1qlb>NrR?U-UmISwolnh~G27ST{_iG9 zmDULgv_E2>_7b)GK##~jOhIdT&aLU#NSxA_!Vjps_PzVeEd!b$V%1?wVH40X-xHEu z;q#2pBMEh^gJeBjoXQ-77dY4q=5MhChO<1q7BFDHS~kVj76`V}4z_~%_Ui{f`WE+J zC@Twm?Z%r&X$flb%YPfV_mA3llsf>5UF~cgm-u-&eZCX4fRzF`7xq5TR*M5F@33;`ifH$*S z=Vt`bU-!%ai1@3x-dpHb)Cs>o{(uK?gfe!=TdX>Gm86+wKC#`)`OsXZhLQF)2(x&W z+a)F@2**z5Y#tzgQsiHdSro1k4&R?!`H$z@0m|GuzHg}GP4<9E_EOcBR}Px$&7QG+ zxB$LguA!ude$9~1YTM(B%jNnarAi>76{|`UbT86x4?D^)`|3*)?73ICgr6_4+d&a3z3)iyWQ5`J^>ENlzyT+dGgV**4=h-xbH-XV36&-*T#`} z`eHA*5cG*(sGio{aqLCHcNczYWQkU#y{X+rrMZ1y%l^P?7iTQ&wyUlx#;qOi@-uSV zrP?TqmsqKl96vb~@8jrt%6^R-124=9nk%dRx9DVTC-WF5i=D}#WYwprHT1dcJ@ZM?no>e z+>^tjZk%Cp;@7SGY90k193OrXKOe#tI0W9IBJ65~?}PZ4-Bazw^qR=2#VUi=-JHLh zP>fou3|g9dlS5h;WN25G4rTEpUJiWl6xKI&YOvxYO?dJv@xeBMuB88t zF1BwaH!B_%g?=7!nSX-cyBQe|Wihxh#Mkd#yfES}R{`-IAbBjGy4C7_`c0TvtNG)4 zys~+S!2(^10=?-C28u){>E?4*Nb4Q$F{C3^!=kIb+1UN*DH7g~)q}mF-H%ja-{Ed~ z!=FRw6H(MvB@L;XFQl6h0<84FA16^Y>{&*nsM_`$8FzWIWSxJMGGJaiX{3{CXDAAk zT>N{>jzs=`4fbI^`$c>}K8Ahn8rei8F$bIyCR!Ra|Mb;+k{scJ6+AW4Fr z&^3%$=q5h8d;L5G_B!q9=77(y=oz8c7=od5E>YsyTy~pjj zoS(UQ$NTih)4m?+MVY>NHpHA=djs1KV%kO?%ihGvgO=xx}=Su+4n}VdUn!f8@c{=e&NONRnV&9s@TS z-DGlfhC0G3sbBYldHk6XuEb&*U~>RahJo48wZqX5HpRz2TvlV-?Y&dWKepiPI<~+p z*j96ABAIfJ2#u{qB^|~Avi+t-gRb3Yn#K7(Zo>q`F&wqT#y4Oel0Eo(UmSmL8(A}m zFU=2fMg629BuYUn1Jz}uKlg7A%Y_7{r^cctJx0)<4ewNgDULQe`9U9-V7D z>s1E2Ch~=C z_iDOrI3SONYLlVJoHt**mZanNUj>-F?QhyV@A3@D@!`87bvJ*eufm4lWTXpoVV3Jh zbm)C{9_}`iUWjk$P%vpGpI&a8I%4kojVr-(pQOL6^davHvK)*6U0v;Do{ZPR=veIL=*$eIJdIco*>nZUVovQ@(yK5<_&k&1kkGSbN6R^c7(h^Fzl-q;97 zV{zImY7_E{irt(r+}l_+m>Q(GldhvZB|I>rWrOIDyURpVf4l&#?6%s^{jm*;H+3#E z`_kF`@1uEu(H+FBLeSac5`p%IxR(g2Z7zbQj?W$ZJHc+hGneOAj?}orZ(GQN3?2nc z3dA{HLxEKhr)uu(ElT){Rmd#yXJqXN!+%p>XB{jKa_;Kp;G?DZ5t?*fDDg6-G&9EQr4-m6i3@AzK<)quEF>a z@{V1c#9jC2pp7GI&KyM+y7CXVLAw4Wp>X(L_=dhn#y}R**jc+b{WeF!?*yu)7i#lNHC8^v(nwZUfa+^!;mziN0W_GuW-{<%5{+P$M zkN5kW*Ll63N8gm=ClLEZ^KpnrjUM^qOV$cBnge! z`QPsP;I(>2Lg}^RRe&F$z0HsLr+=H5x&@dU8~RCAcxW|-ffWZOVS{xKJlP$huWvA^ zhLtPzyLFsJv)^G~f775r#cot-Qe6OQo7u~F4zb06IF1vmy=m@t#5~_m#is&%i_hW@FEAfe$$5(beJxOW*3km=-$dv&Hv{EHSK%cHmH*HI_#efymoHW*e z+3*MrlQS_V+)lcF@W~mNK}#Kr2{iyr{ce4o)lY6KGYyg}ccaj#U%#6@om32hSC;8> zi6`ud(fO<$W!D$+{Z1;dI7PzZJ*FxN_4wc8e?d{f&Ml9eP~XC`y+JMk|#1mdCc&$e}w%V?Ps;=h7<$+n00mo9y`r}ok-q9pUv_NV+s_Bs*#0+ZR z9@tj~4&1lquQ~`XK12Ob2^xDq6X$+`+HF6(+shwM-Ycw*kCjgs?@k;|-*x44)Z=x# zSKDB-e*IAg2Fe2ialW%h4dm=tX29q^pCCJGl3hVH{5H^0eipTFFAaq(7tI~NAgNu& zhVEnmVI%@xeBhc+qn|8R^yQ=sMW8}fa|49PSN1i82h{h3byr-cBAbPrm0|l)G+>Rp z5x2q*+=Al;niI3sK#s}I&{nl-R^Hz>ey0I}kX2&bKK49=9;LH(so`e-%HUGu?Trbv zjSTY^+>j3(AWY(ht@qPeCeyETNEx{oV<>0tcpcok!2cwqNB#ag8DU zHSBL&VaI+(aC3WN|lA5j76ACO_4bMe7!(d>FIE|I-9|JO;lYwp*yffI$*0xgasv~MqxEr>0u*SdD9t_bw`e~zX5jB1`^xc+jSVnkxe zI_GAC;;q7P9eUekD7hnhShq7m4Tb(DD0|{zKw<`<2VY70%2HFUH?Mh4_jWA38yXU; zC~3crBbHeS8_?vFk?H~y*9rViE)o|{D2d&2vb^eofyfrbU8FnEa>1c49gfN<|4r%P zBiUV`&8}RP2x=5GkTJbg@z1wwkR}!CDW{2CF!kr%*8`k^>tQ8MV~p}7kLvG$8-!|I zrZa!&j%ho?YrizI(&;~l);g3;^b$|GQnisVaZqoLoSHZ;*CQtM#Km0!f>a_0}W5;>mjT zLnkTa=y=ASbs$%GP1tMMK4mC)C|5gcl6s*Qrqz7k&$wUl$@q>%o6^1ZBqQky61l>FrFZ0AbV2pcuN-C>$iRIq$F?7s#6)Vhb&Ls4z5e4X7E?F((E zR|9Q(V(G(^<`e4l84X%`43Ms1Al24A#?-+{w=SbE43=*S$|(+e%~Osy3beHy-Onal zYX zJ6SD8SxRmNalredW5kTIipfy5vg3_8T4Hi=xcTRJjeZ=Y5tkTbp@p>LJun zooJH;{7QY<0;IIEGe?Edpso>1_%6wNa#}y&2s=)xd+lWabZ{|DSf?m7pl0^1Em&DQ z9U$T1zy>`2-oI?q6>;w;24|bBEJ^68!{x{8ewV3Ies&7!$HO3UMDjNFbnrwjWC`FY z?id#JyllW@JDR9Ps`Jy4vk4r}r<8#+E+EFIHE(d}XCa5Orgfx>x_{}n8|mbU)7LLE z-?Scj270}2qAzkE5lOn`8T@VMl;sA*`?v34R_76D2oKyaCw&C2Xj9X2*V+uW8&$M) zki83B5Cc#@&({CjLJz84N$=}+B^g?RKr<$h@yb7029W?gVNSNE=2t=#<7^s2In6Jm zsuYYDQU5d>YOd)at~>!{81Wg)`Ryf^_%+-9J$c-L3lTo;(hcTIPj~Hxx3kZrroM;SitQ|mT5YDR7(+P@(?%O!=7Xl`<(2?+FpZk36arSSG{&dp08z9+nnK7 z7QLsEYu;ekdvtHFC1QhgC0wtGkqbfswd6DVBD68?z&qB`pi$2g$KR)KZl<1`eP0%# zFlHcUkueboKOKV!8swD1NezHlMIca|L4-AffibPZ#1SW8Zgy`_hYNF^Y8v~fxiX+p zf{bRx#e=B_F@Lt(TN_Ml*t=ZuDyKBvqCJ7g+|IR_>gEhwk~k<05d|*np>4Xg$E0=A z0xYfK>jVLs-Il^00edhbhflZnRXo&&;=gf^9+GfT6U^5iN6w>v?wZNQH)IJmclaT# zAc4uNI$)dC+*mtXjIQ80xY%8Eui=6vF^>U~^VS>65X7;bMR21hzvSCoXR}l%Ml_gT z49)`}m1m?AUF64cmZJ3j0EBEomXGoF3P#QbRZfId1$!+u^-!}sKx;~2z5fb}w+&3E zzt+XJyDI~P-V<&~m26Pzp_4|V8<0;FtO}3JkFeZ#nX4WST8~?XU92)-=@F-oIpa2%+X)lGKsS?nnbSCjmM{W=TGgo5y-xvAn ztyKR(13A6E`FynQ(aHn#gNMH|UjC#$P9nWBCI?RhN?y&ndyO8|A?=eLako$MJ-XeV z37$y|eF=W9-cZuUTUZP}xKr_6#B=;QZrL6gLpdiM%=XM%c|Wlro6X!i*?cS+r0YtJ zsk{>osAVB7F`+S_u&ov1^PV=z*Glcr2tVc=>_6Q%VQW8b@}V-)08{-I2N}nGI+~@X zQ}4=3faEy;Qs+k5)_?F=>oEv<93R=Z{p`R(@Fj`KZB^v2s?EH~`Su`Rtnodpk2kbf z1*%b*L=ofc0{K^Fv80h0(aQyh+EbQtQ$f^jR(V(3*Lnsgt$zZG_+wx-*gfl)b9If$ zSqR_rDLQJguQ(ccCdkE-lA%Y=4c<;ITVbS4D?um`YgKOy$%}ZO8tjT@FOD_>BA(O<_owJD;hja_56Bz+ww@_tNcO9uOF zf5c^!h;SOjIH%T}MtY8G2GY~i@(Wm*)(`>CrmPxIE(8jg&o5z zAJzFlP)l97(NhW@`&m|bmOL>(SF(}8^AlM%{+;qx{ZIv%eZU9z7d#2Fy9!9`OOR;_ zN|p+stmxH%qOft&`G!EltAx~M^zvw-gLF}}=7I5STl+@(oKr)P?p0!>5sT;;i*pzh z@iJjA5s6}+!zejmZZ|wZPw)iUvuc5tF-QrxpUS_4N!cq=4-eIk5u-^!~!4fh~BDLo}L`=G8ZD&^LpUK8wN$Hzet-Am5U5`$12hx z{ca?0=?fYMVYMAmx78!?)_Mf|GJ2M_B)>&;mlWJqGdS>7HX!f>|J6UKQ}q!i!vYVM zXJFm!Eb-OjNA{#0ju;fE{96lw)|=KhQElz{8B;8`e}8Hn!|f!lmK1}Tk7dHtsM?`b zaWSBP3CnWv%QU39#!u@sD!J?yVRx@eC<7i(;o#pd$Oo4NW4qZwn&%;&uqPZ~KH~z= zD?aMBmllz@D_7NYwK46kX~h|W@M-@_Z17H!VjL%ooU&xDWni#*&{Z?_XU-Di5yP!i z9hQ%~(zfFgmNjv1e^~vlKKTjOIe?;yPL%Bj%_gop2}_fvy3M%3IPqn`%9 zI~+_KYLpDmWx$0>7Bu+NB)<7MVI>(S09Oz?d(Yt7j3g>05#hs`eYD5+aQ=FXPPCjT z(;%3BEY-}6rF{+%i%kF))MM!_zG`3&$!DiUrTyj;yj}L{c#U0q=8B(ba*j4Iq^y%e zaR@^~9US$b#P%3UbH_5g@@Aw$&@Wc4^(visrYCb_CCt0rb=n;QB4F3CrC%d6qZ$Aq zn7}<|!7a0ua9_paiVxK+#1S88Nhr(knqND|>b@nuaE^j<7$nz(2=|VH zo^AWK?o+^1OweOJC8JM|B{sKZc$Ee5jt7D*_Bew<+g`mN8NcduH_i#!fjV3sFXn~=e%oM$Oc0eIoql6ucx;v_(y*LoTQLbuIJlxp>DjQb4+Q4))9DlXco zkyNu*hsCq1WNT(**n_FS^TM6ve`hTOy&irwXTt*J7t}u5Ozg*kAaH-l#{CgDu*6*_ z7ULl|5wzzS+6B@KCMR$C1I&fEv_b*RHCL( zRuCaN^zEa)PPYEXhEJr_BizJ4xJQR7EMh0F7aUTT+mgPFhzlEtBN#Y>ey4ZivBhQN zlNDISspG^b$-5V6?DuQ2c^3o9w%<&aGkAVynJn$J*_}?!Ue3_W^ZR$I{?0PVV7uVc z2PndqI--bPepD_>I0q|~c!xLs;K{>66*~FM9Mv_Hsz^GX6uG)>HENiCfdPWsB!`V0 z0#|CTKveBuwI?J^%mj{|r2@HE;@9iD80QxZHTxS3UjFnPlI@J1U`zL5;6MSVW);g_ z5ZPSjd!wT!Gb3|Ol~-<^E7nZY8kSqyz&)P$S3hTIr5zLKRshl^eUBnCq>sJ2V=G?CJo7Y0dy(Ti7>cxntSWcxt*Td>+f` z8PQxZq)<~{3c?uqImJbq6T}NMm;*92794-*!m=SNMIsLcFFz34Vw{smuy|H-Je2oV zc0;O2X^O)wyx1_=3qcn|FAC43<2c$zW;I8k}Y~kq|CH_e{!m z92m6T6yN6kpJ+}Tg_L!Vbvav1_?tvhs0J@#m^nr0af1F5?%d(F6gr7L*5Kj@KBXrlhSI$q`1RfY%pi!mCWGTeD1z)id+ z(Yw}TKXX-=>=Xe_+u=2;6zz0W><3H&rae4YZPfYG(#x9xf!F4*c&m4%dIyk*3F$;oEV==L%Y4gQHt4sWs4)M$=MvMR~!p(gADacva=z&Q_rGS8t|!j&h=rC0Qq zY;)ws^1#nHasMA!R{G?TI5hXHl%psJCMB74O6M2I|I{e;RnKvjd$dsj=l@7Tfqk#RgS7f(MpZbP=8N>VOhGpoY)(-|*Cam(*%H4Vo#Y z9xe+6&n8qIw*oM(DNEhPiv#^H%x(bNrWR)R2jAoDZPo$T5S)HU`B%j!Y9eLB&%ncR zGQS{ws6k!S`gfDox700eEdsUWSoiH5e2efu72kc7LqoxA6x@G}@TkEwXf&EJ# zBhB4aZ~e?YD-rmB99Y3*9rUMDK`az*wI%nMR8LhOd`S3a0Jli7`P4{sKwIx5^riU! z#BK~s*+A&Cw zM*e4yj>U+&wJxIFzqJogfOcG&$o>N%Rgt)`{DrrzDRA83RR@dNgwmF&Pmxy|_Ychp zV@bDA=Ez7{&T~88kEDClH$83Y$rxP|klV7RE9@qXE`^x%voms@XGq56r90^#7=aII zHtU3`7HCA3PBQrSCj{D?Jv5w4;|dSk2P(=uRDM@Zh%(R6O@ALBwwT87QJvk!!7%N= z$moU4dOxw@(g&lNq5dOw7^k!H4XECq>7OqTa8`^#c82o#3yN(sl2(f+f!23Rw$t z8d+!hEOPmb9_IZ%=^Z=QcY2{4z6H8|@)K8s7m0#f_tVf^Q7-x@Z zd|411Ys(iWc;hwa2N%^Xm1$=i^q<_p?;yH)Tda^H&oo9vO6`oQqvXa1)xR!}?Y0Z0 z?h$*J13}*M9_krm*-C~4ODR3n!;{>UwTl#2qmsc;+{HT6e0~HpDa!SRIAMH#Sd;V6 zwm$L~boD}ON&nlZBI1i4T!)kCX0VnQSzRMTh7LmTSCKbX_z5}gPf1@Usi0-e);sWs z3m9MlL)FKHO*L?^Pz!xwZN!~_q6$C`2x|GB?c43_Ghx1|g#)gkIoTnpFQVMSpRc<| zRsN|%FK<$%P@Q{JSTJFT9&(V_%IaSG9h0Mq%V=2g`%k6WsR@{bMIDgx&XAgj9m{ap z*%im3BFNa}k-G51r6V27hbH4jt{rbja3nGL?L?#ls9k*vL&3og!`K1X0J5~Bu&m3X z1B*8DAPz`fKv)nzU1%eI2(!x?5`+(Cgg@PZ@rC|wgUxp>9+GLCswUvm4Z?O}z;Pti z38kqtV1#ycj`d(L!~PL7mLSWaC6s~aQ!72?WEghhr_Au4`)o(UkWBG;i9dAEu$)!@ zlhqR)wcCtpx3A~#{E&->!26e-S6gUTR{dUX!U4+>@VThlPtD6h?nbSjxnCxGa;nx# zMfO;V8^HCsmIMd)a0Xn_6!9gz0#R`r(}CXz9`$cHdkljY(R}mhoiApC1t$ z6}LS|TZ7lrnTC}WJR1NrT4}QNXOQ&-YJwFJX$edl1v2~DTe>R!hJ178wEzDhu{%Box!>Y*{C(nvIdeH`nbL*h4 z+0R(zE)Rp`1?#m;Fbi(3aXI)mKy}`hw4?;^bw4rgBt`Z)u_yfhts!p%fBqL=CK@d%RokP4ZOOhNk!hN zx+aYh&Yo1p271o1%o73P-`C2v@O!)!w*}^3E;-mS@l6PIMRL8#;Gf=+TULpjuos;B z5e)L-{a}JJFgtWG(%@Mh>6vE`Hh>#Ij|qIch@|4ep5;uHIRCx*#q>=3dDMnZJ8qWBJr*vE}6#~b&5f_D`SV`Esky14EJt9 z?iPAZkG>T(*q&*o%0Ai*3=M97(M63>go~q>Y?R@QkDqIGM9hB%NY`zMrOcQZi!Lv9 zgq5u!`TYv`-5+at_}F|alMt1E4kY}hzggCAS9BlF(!k&yK%kQpa@O#%+x|2Fx0Hn) zwXB1x*IwzrN#k7kB3u%Ql@%s5Bb+OKpFIb3Qj_@M8s>3n)A9co99d=Pw9ar7wMQ|6K9>zTE;5XSTv`4fN1d{y-_z z0UYQi0JahG^zPL{ zYCS_FJ|{e@FtCMNP_vC*xA@OqAxLdK(OkGb$v4C!d->DL%BOxm??o748+{TPl=ntoLl~d_5!SX`n4v_vSN} zy({WA{H}XfO;I$3k(61#<%?M7kE^Gjh&~BTA8$t9adF+r zj8_1d4b`^tm3*!IWgK-YSU5+Msh}(~ikvgkS7VAoSJ3x)U-#99jQa2nVOblC5_1a$ z6HR~O-p&$J|8C7vUo$NoX?wxw(&x`6$Y#$q0RXS=m$0L=cF`F{O3M;6@NO?uJm(YX z__kGM0l!oMDEUwgaugLi;t@-S+to27Jvk-tUqE(r<=mC1oSn~fx}JgR29@F&iM4*1)4-TVea$j+W0w~EG=KIxAi`+4>tofVr9Of8$M zw3u8l5eA-1J8El-p(HOw{{hgi7nWrWTv`vRZxMi%Lb04+K?sm-7~h{%ZL||M;4m7g8m5ZF zRxik%7o19UyNoOu!`G9&{@EQvK2{hs=pMf@J5;7y(89uPC=PvYp=W3XY+Q-cc!duK)p@``g3UXZneh-XAURIW~li4RqD=|cIG}Kvg=}~mouP>l?miW zZiLn7vg+1?jv$ML_iEqc5VDzAgXg3d#w$6dq)CpI74_XbHDVhk6Xz%xKq~|lHNBtmZ0EFzG_q{ zMYK;i_v|!b?M&Dm;=F0g9S!HkLya(fn&;e~v{^)TS_0vh4s>WIf)h~2JF``dc|K>a1Z-McZ;Xx2MxX}23-_p+fk`SD%6{!B-RVkeuM z208YVQ45*UH4hsV%RkA7Ap*5+3T>0y{)^oJQ@O0X-pga-CGTK`^@4;1wWZFxDb+{T zyX-c!TyOHgF}Aw8fxv=>KdK5v{IDSi<}L8Z)$vg5z<0M0rb3C1-PnsK)@`zX!Zw$# z@t<-72B=Ba5$~|6V4o6FhAPgxf^`F@Knyhv&~4?BquZF9Y%#dg{DZVl8oVqy4Uu>Q z=_=}Pa0^H9o^1gRqvWD(Z$6`oq@Q51OgXjH4u`@>*`XU9Uu zkIOFmXvN5?bh7?^xTeFt_S+2er)j8Tg#L5AJ~g*Sn-G4yCQ_PKUTIkMg8?7=mN-&7 zoZzJEr4BP*rQa4RV6LG4a?*21OXt6Rj9}iI_`1K5WSbMJbhIFJ3UKMdw$ojIK_4gx zb|bd7vvo7?U==S?a!B_tf>77Vcd>Qr4mup1r^m^GVTkCmY*2undz#5^dFb) zZA)v(q}h()QHX3+}39SA8Q zb#y?hqDv^Q_obiSe#^ks3JwULYH$S=6;57t7J9!%$2G|I<5` zO0*;Du3d(uwrTe_sAio;#aCzz92+waGtGivSjln(RU=uE!XmLbKOgzAj=gi%|Hi=n zy7#hpKeNfp;Qr6gqRHe@|0!3va7V4`kP)EyBj$a*fBCHll~0UCqE0av4(ZdqP?43m zG;k9e;f1V}5O#8s`VMHb2yjlP2x+l(lTxq#V~40i)8Q)pLoQ5P^P~E9V{sSL8Mhiq z^rE$|(lxbwFX2dZr>J^dIFiPGh9ezjV%a$!7+x0BZz_83_gQJtLx~>yOfrqBF(p)+ zZdGgD%48(fL{VQTM7yC&!lUxolW(@20@TKLX!8{dd=sO3*{=w^e|oE@CwN|AHXup1 zBJ%~H@rPw;3hunaD`Sf<0|;aN$4J&6Y>~SF{HT`}wgX8~UPLr$ALs15@j&;FUEcZ37nlRzH4?mfZKuEjY1k6FhoP z;^4EVs&}8$qL#q3Zi*Mw=0O+;-F|nsCdEKnwLcKFP-=FJyT2>DZvM}_aP=3-U86Y> z{rRQCA?{Qp!_8Yo=!=g;Ch*-uQX?!+7jhzwnq~r{=1dZ!k1+=Jh3Ag^L&r%D* z2(Z1<$G=!_XE0vNy`ozDJ092;6XyczjSGFXR(kd>y_1(%{jE{(2CXm( zCz+1o?y>zNlst+|r<)E_iTlW3W&qyXo-w%Rln&V#Q59JaBc_bVB`7Kp@THU@Jb1#P(&o--)>RB7fmbnvU;sBhcXF| zsFQkp8v8r$uy)lQY&i8>jq6{s;rP1m+jbi~jXN&zvnASX%N{Hqzi4ZT-;$UUYeo}q z5P$XT$tMf6@|&o=?J4Se$NfAg)T>`L8^6!D1nC<@3C_8j;q3+7pgfgb=yx|lmMZ|5a#Cx-gqeOG>np37dlKTPYUs?ZldtmUn* zD^|d?hKJR2oW}FEz0)j0J6?K?Hm}cqb7Xx7c=pe~>sigT^~bX!1in+2qZ3iphbpVr>UCQ_ z5nY=Z^reIS#r*QhYGTvacP;#G{A^?iEB|ev?j{Vvk4saf|2u%S%x*QZ8uw1C?-e?X zlWJy^4q&~p89z`pLAMqni)9eXpaUuNxrHm z>NE1|H2q_m{hFFp-g2L?x8%b8ufK&meV)#AiOpMdO59g$*_XKl3%VdRL&=NHj~bjT zo>>gH(^Q9LPrHAJ8yk(>QOF344Ol+_;^Nbb8|K*E)Fec|aGD=3v>oMb)$`fiQmXn> ztaC{nrfwosF`2-$ORwdda+Umg(rS4d43G^@ddzq-8O?Y>YD>-WzGgeBJ{P7HM~Gs- zzmgEHIFg5K2$}GluUHZ1ew04aB#c{r3jnPA_qWzpOdc_3rLN!W8N5=^)dYL!A~iElSI!2UX%?1Go~s$17@Q z#(C_xC>J#lEAB~ECU0|BUu0*pVx|A)rFd5@3!h6OPCcShY)ZMAu=n7 z#0m%c8_YVKT6feyef8rZ2nAVhkRRs_Jc}UmrMK$e?VzcpN1M;bdIKi8q@-H++c4oH zhxD2{lMl~J_zr3zf1dr{Sn(`qaqGm>tCU{K*{b|6DlwIp&%8?)q#|T1RXW`=g~7*A zbv%B^a)j&Pfy3-{Q+l9C_3Fh0-!fEyK8`h(xJ+^K%3GdQT`MgWdLe zYG0u;y6Ab}?R$&;4`#9$iqunz7|1SPW7b$pwP8@h=FT>o&ATrwa5gUeRv^|L=wF|< z9kY4yOTt-Fz9U`*cXy7DTD$!Yyw)h}C{IVBEUz<=7tFK5pA(b01FoBF}} zeBlctI!9_z1G|LiUOnH>4J)KBb6)yo5|p~s>?769?qpxs^5pxW?-xl2--vqLTUa#? zg&*DY(<9CpKi<@lXVpVFTUm2>9CR@gY#fw(F(44H3&TqNKfBLk!V(R;^*Swk`ddoF z9MWks?vrbzTD{BBo~m`i6tmfT6Dy(Hb4a5^y%u4YwlGwE9JcRl1venN9tccqgY{}KehNv4$D#JWq|)LGX1M& z2k04D=Br*>WR!75lFPi6-&$S&W9`4H9FkuFmYY0Y<_uVG8fA-xs>()-?m(`>t{=SR+EH`IXp(~;6s)KBat8XQqMl0DPCbShu-yg7D(d`t%d@+3 z#ui5!>>h@A6Tg9rZuY0?r;i%;W5X*ubI$b9&H_z`lA>GvQMKuOwx)qTv>)1e{6Y{Y zlVHbY!0HCdcaz<>On{EX5(Op(q#o==qb_~d2GO-ZB-Z9#IhyG~<5qDQV;ggybKV`DO_r*A6hb?BA+L>?^gw8{cFKl4ZB3$sW_K}jSfb5EzbY(saP=fZS z0N2lu3xCi6nR;-L?%8JXRX)FeY_It)9_qE$244%w8OmwDDu`N6OqwM7q?m0bnGQC1 z7~|Y?<}f(FB_VJ)D-#T9C`*hl0zzPrs{S*>4(1Sn?0!h3d{&Tl6@&-n_>_9oB%y9 z5{Dl{R%PmpNq=Ud{@lY03WQ16<0Bvq2DhTXI*;*bIiGqWj=kl~ig<)oe zaviQrfW+F8nLDQDN4yII66t-;6a&hif_S^1BT|>C>=EuB*^Yq=^xJS~@A01_;7{TZ zL;dATe}Rj1@X31NEPlP&q-dmD=q9}`=BvkXRstUK`>F_nYz4p| z;+_b&=z3QxQh^h-N)%ck)lZkhaSvxcylq7W*qCbcl1^|g#CEi%88`n&64)FoTh+n% z-Nl-gk_Qx#M`b#q5hZZtE|jrY)+DsiL1o6UW)$qxavMSiWBHnYY?2446Jf?n@0~Uu zNoR~c4y(Z3uOUD{CcEtIN(zMeynEKuv=w5n)g_?nqgH(-abCbwru5vNTVQ*?jqMtX zU;4|VC%Qs&f$8}%5S6Sj74lL|0`7IJzO*G800XyZTadG3qd+WQjm zAo_-bdOUOKV=l?d)ZR6XFg4Q@gXl(IBd;3hOaD7Z zBRsQMS1~iM;&%@(mhKaBN2|Fjrsxv3SYJ_ArG-Hb^R{75b+9UAH>G7gp(B61-cbFY zoK>c#eFgKXnKXiIu;lRkv<%+6t8iVKYapH)7VFPEwp6}XCb>|D10A<3i3PJ%F!>tG zPhufoNJ^fmD(wL>l!4?t`Og|n)JC^w)_lMrwrN; zyW1ZG@8{uvQ4i($P}6KFUwY?&Dui}(aaO>IDFC(?O7bsU1}9gIng!5!^^&0YYNdB9 z%N609{OTW~a_&_yafVlx^tXCDV(a8f0>&e%7iH`7y=j#n!xkvf9YQ=(MRZglidwtxXy&zB*olGUIvwE zHsLw*{vCbICQ^~X?(jwYNjM?*A;oma6dT&XIYhZ^7GU$r&W6bzOSiMsRJ*?*Q)-Uk zSiWUoSM#>@HxpY+fg4&zyr_Biai@>J_4FL%^Y#hP=k)x2LjF-P(cVAi#c!qrK zE?9fJhNw;S&<33+yH7|_r%($tT+g!{pLXLDb4&Dicmt01NSr#-DH6m9 ztW{l)H1yZT)HO>{)?e7bU`T%jZZghlB~WdDsazjf`;iu&8S)~PWz`F{33aSt7u-(+ zkL6t6=#p0!FM0!zLDOGS8G_ntzK@DC-dw~OeX748?bh+pV+6sz6Wa^Qi7C!O+I?4k*9QRnelH#z7-8 z|BfVxy7oG(a@o^pPVXl451y4aPS8uz@tOvWUTw07JG#n<{s)~1tL*N_GAhktA7mT{tnF0Z5DG5 zePwvD{X(MEP~*4^DT&LlB`!#Bimjc;52b-mub+;st>+}|vbW~5bcJmuQVF`0hZiT!z^_qNc2@RE6!;NYMDxljj<>{`BGBMg6d zi?vZHCgzhRF~&aD@}$3~98b4nh`HZpHQ9oYY&Qv62KvfSl_7<`Iy1kUwY|=c!{O?3{R$Sc?q;&MsJD)d~W`Vl| z+TyoT?@B&iZOx+nK8EtAW3aU&x89b+Er&jY(ww-CYCAT3(1lPEQ#KB;Duf|d~U=WFXAh{WKAKMZG6heO5y7uE{{Rb|qJB^ISxN=p! z*6EthKGWHyKJxYiCzdC9@@7l?r+;`B|2unj&fX_z7wfOj3Q$LUHM9C>f#`b>u>l`F z7YJJ!18Lz0dzwwrvHnej+liVA>D$!GBTQwJ^pdHhR(R+*yt*k()uVhU1-{Q9y&I&aD^5bD9C#oqoV(ll7<2x23V~s;E{;z%tb@Jn(NPlHbzJ<_yju+P|%T zCgRrUFEFJ0?2|sHY<4$X+rX60+l{qUn5S1n1{|hRSjp+P11_vJGET2pMIoy&$Ey-Q zk&rjOt$)08J!6jV+M)vh*3@IMBkQ)`pm~Sfq+Ml)VNPJ=35fbIm)Q?gI-b^3;xDuv zTio_O=u6WlZuyI)-}_iEmhofM)-WDq#|5p)|0CLVjj|`i%bB|{ka5!v99^s9*L`u> z5wo5r#!BKar^;6!#a34LB~6L>$fw=YDB;)Q;0HVm>R;`PsD$wKi4tm^D7@X$T|jPZPC{ zY@l9onTN}rTUnX~KJ_1H@IIkh3Gj{(?x$@yGUCU1sorCRY!m6$(^b!x0)*fnvNS|@C>O;(v)Fb3ikEwLH`6K_3T1^#7wr)z@ zr>!2)ThftU+f35M&&)-!0n=bC`Uz+?-S67hxh#^wxyx7F2eW03LeEw}`xw;xyR}-W zzvUQupB)m;aAwr|x|Q+e^g5GAf!^rAc&PQla3)&fy4dHuYo@Koe*2gUMaQm9-)2I` zJ|RDo|Gq{1J}^y}DF=hC%c}f#Z9FdEpMlglP4&T(;?4n~b6BW;gpt&lmm8Af_R6B$ zt9?qSD_iT-`X5E-9?kUs$MH&%grX?7RYEG(%`KNDl_Y#iLM}@R5p#{XY(*&7kftyp zR4z+muABSZNAA~QnETAkHoN$Jet$ZSb9~Nue_o&0`}ul2pRcXwWx@P+bq}i!<7Y1% zHXf4gb5g_|e5{kLHfsI*+q#Xl6&c(x&o*o?q)9N6{PS9&;kqqjiQP$B38otLO7L9) zhkKRW7dxPVZbwnyvvATWJc_^G=vAXgaET%^rGI@0O{;UtaGK>eY79Xu-&en_x7v*P zW(Ooj@U)xuovqB#Ma5rz&NDOLRjj&uCJop$ar+ftI3)DZM*n=50`+pjPxy8+f5%3D z2h1?5di@fesi(I5Vkht6_^;QNa_~BC|6-4haBx!X1$@fXi%h}{qBVA);QqhI=lkhz zM3v;c$g=Q^K~6(ZZiry;$!NJepT=6Ga0=(al*EL~=R{M-c)|kT*kno}+7vhtb}RaHwRC>S?Oykc1sx@G7pdKq?9m-nUS=EL-5GhQz zm5B{68BJIbc-@z0t#gaPF22GujBQrUsFAYR3&a zq}(_-;e4VNyE18<&Ug#|>@1iv-XC@`TU6^P0aA&dQ14Z^i*gbkTk<#E*x{VrK0eZZ)V7|vvh_U zCdB5~ZC+)=?D~V|Nuh?};SF{r=TD16I}I{D(DNY>6o?ErG>=w$pR!3oqy(r_r4F91 zWVm4s@_B7OW(ECuL3MxJe&rhaSXWJcZOw{y#?<~mVS76n^=pq$1a=W$s8(d$mL~z6 z3$7;4%S&8BE1qFZEn5KVS?E$5==kx&SFm6d?sLq9~20HQsurc~~%<;}ia(pjk`RxHmy8Sy&dV_HqykwqVq z3izYojXgf?M)Ze$gnAyH$Z3Ukd$nV5tw%onzBzf7ef>dhI(PZ`2}ygc<)pba7|Y$e z8t9$smoYmc%zef;kNQtF^`YJ)Fs=izpbUN5Azo)h5ib>FZwT=hilDO`FCpKCR*Gr& zJ)(KNscfOAKm;6z=oR9Tx)DoUC~qV*LdPDqU;}U5f(d5y+Y+ zHF&T$O^mbQ-Sn1hKY|Vwkc_Gk*$E*nI?im0CQ=o1YqSFb5iD8YDm30~v3m9O%QJ+s z`VV;2MaSp1wfsA>xZB^x^V(UxRH@->#P5jPt(18i5*Pk^luUo2%fu_j;|F``S1pEs z+21vifSXNCr2gk?mHMRw(Ky}DELqp>k6zb?tio$DM8dzM(>__*7UB(0udAbuyu)pQ z3E53~B5=<95YkTcYhbdLnL}H;dO3?J!r1PM?&R`B;4P$vxT~QD5>>#TpKtGUuHc5C z8N3_WlMx}!R{%LK3pqn57{Zyggifv0cMtDD&Gr`NQ(Tw8+}5C1sGIoc!06lj&-u`( zyYee-ddOv}WuwI^r%_EFkTZ?XoLIRBopyM{{Bx%Uk5Z&zKR0wo%MZA`E_; zn<&rdNC#iV(Znh`d1Jtl0y{5$d=S2sQx$R8x(T(o;Pcb&z4a5=5XKPsVSQxl_(C)u zY%9xey`M=BtXhKkY%xVxkFSMl@Fj9|Pk86w;=Qc1RYaO3^fzDf{+Bfbx@JK9G&gc4 z+zJSyRH;_tT}DeVfVRwHEyAmqhFWxdu_NigHjMHRcr2t?d3t}%hSFak*c{#Ztv~1dg>D31S#b>ps1oGTOaC&o{v>mG&PqLr z4Y0fJo97*0iA-i4+H~|p+_-9;8Ln{}dBQ&4>t82!XdM!5e!^p=ExJ}tI^9w8tb*I5 z2dvC49{(J>q|;Dm$a~}QwsYl#hkwfCdg~AGBL_NM=+t7;=Jc8AuH zTf}gv^3&d)kMSn_L)Z)8%nq^ULT6!*gHq5BLcZIPE$kni_e-nQJqlB)5yp@G^{XBx zuf7r5F&T`_uCabwGDTF^o*xZXNdK+Cnmk3_8ZqMfsFn``nRw$+Ek85gAC4~g&7JfI zDsMP(WOvqhT|IPP;X9*Vy6bc&&oGgCqR<%Ct2JEd_Ht_ZF8#s~IP$_b-O3wGf9@l0 zyTxs-@uvQ;rqu>SR4M*Ru)2rCv97wejL&^Ic-@a-Qo&FOV&5yun(=_062Z02xGv*h zm;;TwmQf$U-3kgl4Ur|!3}B8RRrb+OZ}#W~G*Z~wmxFRf}=$7=$4b|9UM0@2DKT{9s&M4{P($Iiq3ip5FAyV)wwsrh5^! zPzmwo@%-j?5-{oSD_#P(wCjll3apLudJS^ldc~xy5~WrMk-d5emzn({bbseA@u_{o zKcSY$FX^js_`o7Q?$*@zApCCtI@3)#@Lqy09S^$Xc+5Y?C9ydR9dRsUQ@ZuMZmY1z z|4SFUdHPqI&johpfk<{Iv1=C{h3_Hz{UeEJODJs??2Kc-;osh2-YJ3Z@f?cYKe-5~ z;fUaCmwx{@Nm|Z}9+%A5mQL7DvSnEz(BJbRPMAjtrQCaK^H--m;yg?e!s6Fs=02dF zYSj&!GO?pc^bDOOBmcxV>xV|v2oMYAd3t~DNAkW6#`(Dq_|s?4Hl{4Xk8ix-yZZx> z>ywaX_N7BLlP~Bpl6`{x%g%@D5O8;5vxGAsM~+wxzc^!G1ToSuwS~1Fqo=bQrH{oOuX}|LYVmbsF{co9q z9V&etz^&X~KDa}xv%c?p$x*wHt`EAa9rWZmV&UM@il;Muk`IpnUd5eXVLKGjgwo1w zQN;CU*We@nKCDwV2&|g>vYoBUnATLS0#Wwfu$6G^IO_UdfjTEp0hD zvPPZsjuaEhG!SqMxoz}D-DsY}h@E_`y{amUN_sj3^70Vx&nb#i} z3+q!De_q$|X3EgFA^n7)kj}5H3pRF!8#mKo2@6?<7?RH|EG2KL7s# zcCcOPRF3${e|NOn(zo<#)kZx9gI3J--Qzqyl!_N+u19)GD;sDnD=ks4j;WR0wrf*> zNso~r_oos!=JETO0?fr@)iKe0>(j0ucI-ZA2WV)vQxzFIy2D)mxo3J!H<0C*cTG2^ z+;=STc55%ZtREQNHclX3ZFs+0p|rN#RL{tk?;DhH*r28BpwCem(;fw39;>jtZ8wvM z72%T0a~`~(Dvgx+HIKESoRKw$jd_HHsCv84w{ldnKwn{&tsI7iS}l$Dx+OL*RQ51h zmTk(~#39J78|VWpyI~eR*tTsfH(;ZHIRk!1$-SeM$?jez$Zipy$Hso>ubu@C_l~jU zRhY&{8^*wvf_ghGgKcFo*01JO(pNlV>YpvSmJjbAKPheID$B0v-l0iK{dz}U$RWbk z{6(SvI-~rLoXZ|BTpQGXZ*6_7m64F^cd_yX9QRo32ep_gW5E0Mh#y~@Awv3N?sDQ% z9PvOVbB(>945Le}={Vm{TEDxTwDI?(!EQ#xg2!#9-=eQ6p)zs3RYP~E{FMj8IToOD z_Em4<^%Ayr?*)QFANkIO%c}&JD0YTu_&oIYGZ>94+1w^~@7C4|m`xSiwG{>&`bWCd z@3%m;-XbU&SUNd;yLaMt!iYeYiK{H{>EFTJ!Hc{2)C%ZtoI<=NEauFZ1Bw^8NpxA2#Z2Hw+-nPNew~|pEqz>%K*oLTPRb4GLjXyvLiB!ZGZ+gf2 z-Q2|Onaniq6~9zHrO*!`JHoONn7;`Wr=xrB1UnVG9$gQl<6Dx9$k*~{@0Gfs%Jn2Q z@_6Am<6bb0Tj%A&JU%-XJFPpiWuKzeQm#{^p9KOre5!nHc)BFh%g2nGJAD1ezo5xq zQo{>*;u{8jERu0^bFmbu)KfxnoD6=uqpqK$c;BqKE0FZor-7KI8QHr(N4`#!fC;3s*J-R zHbqD5eEsDf1-4~a&9O>^V5R4`3w(4dlW!6h8%UdB1Y+1_Txz~DpXq(`NYJ{$#LKEw z^ABsy!TZw2xm4K={o(x}&nI&*u}z#q5$xNSLlrTs zRwFuk-kndO=>ri5_tdx+v95bX!81$;(61$8r|A?%LaMA5%zHJ^S+hX=^WkaF(s&gF z@-4Rh9AR`IE^|A4|`fe9o5Cvn~oZi0^J6$Voq7KA{v zL}@Kx%H5?xwibm0j1dz170UaUcR*1a2A#4xZ%5d+ zANHfM7A&M2FV1~{7e|`ID_sv~&6W)bf8G%N^Ewq%Nm@CtX-=LWti7roKGaql8D`3w zBZh~dgL;eA6@vH*8oa#)(BT0Lc-+>TT9P=f3vorZGw zIu~G<`*i7r&z7%8CWI5J1_70;mp<)bpKvYPnOVYl@nT%tEyh1u*ZvHu6!qU%4LVY& z`C{TSf3~Tqj|wD7E{+*ufQgMGfI$cYEFUPhkQEAXhGYSPZDoikDLtRO8m+MIUuKuz z$-RBV0U&1zDKRzGXbw$*G_XMIki-_zA&b`jbG{OgO05!ykP>61iO0Y$D-q;`q;H*l zFE9m>{#yH$qaT$E-Ro7<-q%#(iqONIR8!D*->UN! zX0Ek*gN$FwGoL6EA5QU`To7&iY5y?N(rZgbg&od3_1SHL6|*VbwL4VPmaa*pw767jb3} zT4x%ZIJ*3p7qI8=A^JywIFEi)QD8~+W+ARo$3dVyD zqGAek@$Hw3XGWWG`V*3m6OR-KSi7>)#AAG{dFL2p#Rmp@NZ!B5G}!Ofs_Xfevbfjo zaB_)?d6VfRA~1k>gIYs&&1ILSPaj6c=HS=WM`H$pvs$k;p z8w+CjgT~tdej?X_wswp=y(oBsBnVy7`J8glZ5AUPy)icn^zCDj!O){c1*QQW6#q(D z9pLiwJ32ajbb9Fb>zTChT34T3maHI7E6OS5rrcNa{ckujUk83Jn=2<4=w1)cyAEV! z*BqNxzYMWC%>SEUOrEPAB(KEC%~FBsLzH$^=vzqZ@kg^EH=`mjKDaY`CvFHzWO$#a zs5Pj;wvQmgFT_XJ?Y}Z3BYj)~r<&oeLDvMr*6mbBV4Y)sT}8@pjkxp%xMSh^DJ&2xkO!{$dVX zo4q=&n4RtnNV9THD*WqEXvQAIPqIa@dV3OY{sJo<#CHL2U`uH#dY}noeK3nubO(j- zw&J7aH+JlBJ-c6vq3J`deoxpWzat;{&!JvRCn%dcy8WzlahrHhtrL9~jSmzxcTQ=l zC$L<5RmB4nS|Dtmb%4MAL}}Xv{*VC4p`M`?ipF;q<4j=g5MT5n&B1x?^H86j*hKmq zTau32M1A@3J11lhTO>p%pt=3Y6yWR6^if_9)C z(GKbxe?@4{atg}}gOLMi!mGsItL-xkU#a7tB|aM>X%Nl^SKc)5c*a6<9z{=Tb_Q`} z*HW)Wx{@KX)_5dV)}oQ*iccMe7Mq@ubXa;)b(wK$5m|@}5);*txWP=>lj2K}ZgAg9iDsGP=#47AUOGJsMu$S#ql#+tKT608R9Av4=c0xb7 z!-fd`+(a*+JXC!U<>JG<9MKf&wC68m!LHz}qr?M%>as^l8zN^DLzNe6qjkGh3*q@@ zZ#m6?+e}<}ZZG3jXkO)KlFAvoa|V>xt^I3CF7`iCft)kHVEW zhue@fC+{krT6?LK_u{o$5v27S>g+xwJoDF(@O$7^n(s87DDln`@gzLt2wpm4OQj}t zx;evp{`G~qcMWEpWWKf*_IKcyr*TWT776n0*u?0)F ziLbQ^L0LmImFiI-+avZtVlzo=qoCI7{Zb1ZHLQXg3@_PM4fj7{KA)*n{TF=x0kWHA z*UU=czXOj0*2d)h0$DqOf2e(-EALQD|BVN;6Mwf*bM4ZL zy>29dNwNsHPwW=I+NS2oKM>;B%y*Q_+I%6a?$zZt&Zo!dqlf6m7oH&UE`NVuwzcW& z5c(iaz1$A-?Ba>I?c??)Vngk>`G{KyPW3P9f;jG(RU36;aolUeelYBp(`PFmuMNFw z&01CF-(y`vpW`{<(y*=oyaNviexRqu9^6rm-gId}C1))#4hQdsbFUFZ!BpHOWxj7{ zd+;{bQ^)pS?9=o?EEjQW+4V=F5ZX(+(70oFKWZts(jWog1bq%nHrC@D#>n&tXkB&eUojcOn7 zun^y$cQHqlS0-J`V}v6}$PK<7?1Sj;A`f!X@AF(#`Vz zw=K4{V_=yZ{smY0a{}F~2SOesn9N(SNp05wR>9&6^yqea1!q;QP=fd9w~j)P4h|c7 zm)nlH$1mUwv18d;EZwoKS+?Ee;xBP<-KWKMSny2Y606rZfIr-Yi|C7GSt@Mr(T+aG zk`4E}2bMwNu)@eOq?z|D=8I!b17?50PG20pUCn^9lg^lBU~AZ^3)^{=^Q1GU%9RI~ zt@AeXN6kVef#(iYSXYl_7H@JYuJg|tmjD@C<#G5|ScyqyH?Bi+UPws?aVbEO@Lj0;0P?zZE)X8|HmdI|Fd z9|}WY^d9WUKHP}NGeh?0;$0Bl*S)_$*nX;fv($`>J9V>nqUeZ0FGg-EiK!LBjdOgS zD5s@|lZ}IvQ;Y}OSJpcHu;*tUKLxNWBynhvk(18*_9=RvsT&JnTM3hnkTF)Akf5{aW05X=EkJ^T)#p8ADAGvc-lnq57G_GVFugIlx^Ok%K@o`h^jw3m>s6iY z!j#;T_GsyUtgJ1$Qu@pauwltxW8J|Wf-4PHdwFfC zAk{~mVTB-|;?0AjWs$|p^FI%$Ap2M^+cD@%$ZUrg-rNr}gIfK+c=VQv?va}}qv$)b z*|Jq7wO&>@Qv@<{Ua0XWSE+Ml32ko;`P#5{;K9t!LAdc-ux|s9HSu46?9l6yeW51c z-JfL46jTpcFhEnOERq%1YGaWvRDAgYY!WMP4l1H_x7Jw{lPvSK#vqj$fasA0U{3VLj=85B&XtrVhkUKjeA3kQ7VPS)DW`0^nlK&WF^i z$Ovt7*Pi>%)&86-@RW-Fw5w{aycN%gpctJ&x)WO{Bu~-56!G6Dpr3ZbTCR5{lM*X} ze+Ew#O?FU`9#%vR^f}xD<%E?A0E7esb@i>S{75pS3{-yaQ@Jf~q;)WHl{ux*m3oI~ zBIdQ^2G&QCs$ZACqYj#U=NMmc`(eZPbvm7ZKajDdbzmyZkJ@IchrDW23q3aC!uL(; z3p*M53pAQl=jaQmN(IGf@l?e__GAc$IdeP7`z!=<^&Pgj6;Jgi?Gw+^-R2xh_b`hG z#c9KbOJF%i5t;FU%*#kbda>mOad?5bx^}>fmKuwk+A=JoB4<`E4RvK{TO~K)3pDUq ze&B7BHNP{|Io-1j<)yM8evQEH+@L}~7P&TN4Ke0}zKzAW>!O<`Eo1h1QKX1@3p_Wl zv;E(xEPW)hK;eVBPxg83L5rgsRLs0g#-m2Q+M<|NU54PK@N_3IE0`>gTYrj0u0C3f zygrzX0?zDdNRs~dsPBjP*@rcG+5JZ>MxrsGT}|F(+t;0+aD;MGaA>rhK3)!5^+6+& zCqi7CaN-8Inso{WrZO8?VuD7BT{YKM=HGS`RE$V=u7zGL!yyWEW`AY2Ih6`wm+u z;>#cVRpiOnPXo6Y^7!Z0dt9~HT~DIHnZf|m-+<#w{orV0nVy!eFJV80R{HqtW}w(k z7&2>nIT@;=pf5)qeIU$niY*&8{q2*L5>)q3c5gGup{?{7FugS<;ss(Zg`b(WjV#Uywck=V ztKZLi9u|x()&E6|72IoM9I|DR;w%&^3mQj29TQHwkS-)SR}42$z<)^08ZJXq7r;BF`WeIYFc(sj$^)Vb-$b7hTKfgP!Vy8^ zizuzkHuG9iTU|aXWg3IXXyq7Afz24jUqD->+#77@Ri6HWyT-8aoCuQq6PCxfLxXFcOR&f75Q*rkxE_||WCn@ibCrY)>D^I90d_$BY}4hw%O%X+ zd(0SZTuIrI9V zmB43ui+A)!5`%shwiG^rC^3*2Y!H68EY7D-B@{cuULV-iA6ur*$6cMg33{&BT63$b zKdfPMH7hCL!nW*E&2x(P>h4MQ%C2P9UYftB2gIF7KKlUohehy{bs}^wrH>kHR{g7VM^zk3@hKiCTs0Htja8zG37cq z@p_%=|Da3)6oH7{zPIr#O+j-HoGGj1uionUrz0x`9oj)h@??-7yw(dYw)=H~zft@v z`V=~CcZ-F1o9!o!I@W$s67^3$3g0T)K3Fc4x#6vUS5v3Jqff{WG0k>XxS{k)Q_VSq zh+^xuaNQ$dL8yN@t4j90B>5ryK$*I;&J_n@)&h_c?;w}{4UajrLxwN5k z53wWU2YXORPwO=YFaiiUHUw8Qc4}n!Ug~YxywnyFgSF(o3gv2$eHyL%p_~0G-Bck< zuxn6Mpy>anmYe;F-8c%^O1OU?iZ(c1FNE0oCV>mitE=9_(BjYL9uP7>5WTpfPeYxl zx}$zCWr@&gx_lFJ55u0vN)-uqGB9%^*LLo%uf%yZqDcK42-Ll_x2~_R;qxy5gWC!B z#}L+W9(XwSEAYdS$%9?eMMg+Tz6`)1Tq*(09NV4Rb;pq$Tqh_sTkFDD6 z1${T-G^!%o5l_hAQD)QK&$L^s+5GW5QC4!8#>iW^#90(2tN9M_&m@r?+=8@qvN0L-Z5a)0^jB{(QHA^TE>|Nb1&!&Sl}wYp=yaASi5mtjGYl5HCJ~nEHdi@ay86GKj#fh zelZ3Q+JH>G{71tH6QR&T(A&y+yZU+290s5KZ+lDj5bk^NoocKh z%A=2YLmq9@L`SXbK{%kw;J9-HNFCUabq&cn@4d7hT)ouwE8mq{eLd{QJLVyV5!EX1 z9aE>`n?itEz5LUi{;7RqAueLm0l8S}Q&qG_Wq_5(i`T8Y0XZ(>z|aS+1!y|k4;CWL z$@&EV)%y8rC@g%1>QRbRq(97TVBBX$X}q-z`NAngL(us5+uHJ+o@VaQA!XxuFLxn2 z9k>}|=Bsnl#|FEL@Tr)rk?DfjiifAAKk%7>b;RTNU1-B@PZ?s=ZTq5gV&Ss)a=|*2 zyY>w6&8Jah6zLWN9MA8HW>bRi)taUh@dePUmvN6EVplj*CKDe^YGjcAq|SXWv*`g^ zUcEKztu6x(Luq&J?cW_e86V9N8UehYtw&vDFW_9fD^k+%Gl|i<96^v+i+ihqn`4_-8Ad@YA zx0fyy-N@pY?hF`fu&n-2w-=CWZ4D#lb$z<(Ijy?kC=AOxpDr{~~{m7&D(2`jGR>r48CR!T#)RRt1kwfFly1Xb4h|CiY zI%D;55%}&r&<3pwGqmYroSe7B}xYwn)!hXiq11hNqP6F6|$x z_%F*p7v&85c#tH^+JvzX!cf>;D!(~vaiO-K9_T2K1T(3xq zQQ$rA;BFn5n-t4((mTC)2TZuIWS&nm1NRtcSQI(p8k7wRRrUS`_Fi!yekS^J^-8G}{zm72Stpo3T)O5(S zIgt5dNvQ>oI6}5}i*VE>GYdxbK~9XsACe#J^OBb~(X*%Ysy=OP9%b{We<{=w)HLz{ z==+>$slTLR?-Mk?@lJESq#MXTrxoP8!SVJ$j@J)^AZ-9^*0y(I-UX{MlNgx!7<)~} z-McXX*Ja`AlHn3EC+|je-9-EbrAlq|y5lHPW#f;x#-E-xFjBle<&~Z`>GeIck6~ZS zzk(ZG6xnXYlxY5+xoO?{Lmen8e-6ZV@Ru2=bC)#b=bwU7maYEw>q?LL&0_D_B$5By z5LKP-xHiYG4VFup`SU_!#{6b<6N9dg>S}x$*Z!%9vIis2RD|jUs!ee!ORJ9w z;<7xrKzp&B$*Z}AqO*hf3BRk2=i9c9=NqbAxZ-+wZ1Xe__q7l0?X+M6_S0Qt>ke!l zq!sYpZ<5+b;tSS|U*N}z3UpK0u`Ee@vbIysrDm37*SWb9y&s2_DlZ&)v)iaLkZ89k z0J~q;f84@)#czM01VLZ~iy0jrSA)3z089kfD@aGMu3ZTHJmQ`hRL;7c$~dAPkN?zK zRbdEDE|0%%9{Q`NWjDErLpm=J=TY#4*YN*?4@b7xgs+67%W+pJ0SaDs%A&b9;2}Td zGj5zY!#|6eWffN_4A2A5o5U_E+*QZ1IpundE4CaT5mNqiir3kQm|?o2YQ>$j@{dY} z8XX}ufR?$;wqz|&M)DdhG%K$b<8w0}YAyfYQjprACAE9LcVfSe`qCTe#0RYhQCY^1 z*6(M1bC$;vj5)ay+c}dc^#3j2Uo949>7=pEA4cdhIx@h%e-9tt(c<}qY6=3Z`LkNFyygWjA2&?rmFB*uT56+68HV2{y zfQDz^#6>A_gt6GPZVVG8EQJ|x3D`qREb#Dr9fu50u~->zV)O|IbuiBSe@@oz%n;c0 z$%L;XgZPi%eB781wreDmT{@~xUb5{Kp_wT4=oBFP3n*ZSO1N$_t^e=};Bey__ujdH zCweQ8+&l`z_ukPq^-eDkaeB->HY}_q#ig#=lP>m%w_^PZDby$ZrX@x-Tc-SJw(iv8 z0-s_%^f;uF;1na_=BQXFOUQ$XGeK{(rH7vDzi(BrrXVL5>4i)2csu3Gpnv?e#Wo;C)vd&-~phtZSKKEzeahz*C zHuSC66yAaNU%Zk=g0x6T-j!1)b>(`ryXP#1w`S)ZB0JRhxbLu^fl%h(+7Y@ID!y=0 zKUelD!uwokjJYUu86_VF^|`KRB32>kxzH(9&|>~fmC-qsjd;I4IxU+};^#Qa0~0Cb z%NWNOKI9|bstXkDHIC}|*WJl=Zq*R})1%Z5=OO4_ynB`gyuV8L{`B31FEmbRufx0D zfO(O>^&vvvV{Kkv;?3`*5ko>zwtRb#DS!)|GD2AzgfZ1>8nV<7F*pI^qfPkq)r_hS`JIvUP&iGocoJslStiF4+uO zf*qLqZ#|honICDHYhx6>qvDkbH&f0LCe`L_UP$oVwaN%vYI7q)b8Tdpl1|AL9jUZi zI_ob_6Vgn!3Z?HSEZtA|zaXZYE?4%f=3)e{E)1-xvtT6lU*O0vCv_+O)1{(FIf_M& zT>rzD^VTA#jGJG9@`6in?sdCxolRVJ6&mK`3*^Ws_6axHwOteUng00GZ$HZQ zpCPDiRF=u5wD7r4-{9rr?K<~ZVt@Fb7Y0w1 z2`g0^b>U=;F)&#@WG!C1qvjy{-+!&tXmo~mFyEyj)J=JzN$dKLE|S@i6uyEJmmBC? zeKp>giiGnT1bVy>T&kl-LE;&4zI1*H3JA8N>rJ;TF5mH8o<3VHL9 z)I(&dUGB=v)P3dwed@)m4mObIcKGOJ{wKmhKsW%zDZEUWgQe7y<~Nu+wW%BN5$CRj zZy6t7TqK0xcVN%K#WX7N8P`mM%sPwC6AUMngG)WdZ?|0qjeo=+C!_gvzuzrh;=5P=^&G^Z1K;xO|2g#7jf z*97?ma7s&GuKtrUdVXKrUmBkNjpHs^x9Z~0j8d<<&)7F~?9ki4G4KC*UX;A=xJD>j z@g6$AbRotO1z%>JIbOM!ZK3Bpx7wzO-qfqwxmH(L@a5Ws3i5 zL2K|wR#q4*RFPtodqTYC)Ar&gL*XfiYo#tvye}0a$Gh(5Ay0N%dl3DlH8CG$@lh+Q zA6pQjlbY0Ts13Qj&@X1OLGt^o9#_(GCEL2Uq_r%a z-H?^nx%2nq%jKF|%xQ>8;GJ^vRWZ z#iF&)W1(RpbX7f++)~M&Nhh<#brFMmLHk0*1+Y)v;)_K||E5EZR5~AGH^iLSk8WD4 zFo0ykx|-I1^g{uoH;P&3e|bU-X$qvjxUD>J_kNiRNO8Eu)O+ga8lUF&>weEK z{IQ&W)WB|qWdU!~yRNcpw9x7!!iKRV&a{rOiwf?+-`4rND}!`WPgqM6=+V|k z!ek5@+%q3X(fxh!ZKT5b`%cd0K*1k&+JCRBEPaU@9Czv~AZ@On#V5k_=fYUGcT{zi zc|p}|_IijMu)QdT0U6p)DzLcn`Q>Z6cg*fA)puw}t>G)-+;Na2QY3$G+Qv!7>!J3v z+=U}Wguucj+tPagi{=e?VBHvk7v0dOzGVDs(m!lK)6#-B%e9pb$9yQEP?bH1iFuQi zA6O4_Lb3-talI3$QnqKknvDe$s)O$NQi9qje#MP%VNRXK>A4dvIQ%UlaI3dz|Om~mukYIC(*9=YfKHnVf89?hhHEv&F?bU`zFUF$us>5slTuBz7+3-z%4@^i7ZR# zhVqcY6pW?(PXzM#8l34;+=Be5wUXzOcn!b%hy8Z8^Vdezd7td7@T~vGaVoae`0n*m zAzN?+AYyWxfiYAGGBu}EW9k;*2Rc(3ymLtCkJOxYsNu?4fA=$Rwm#tMvKm>_SyZ2# z2Ad!SQbWBxPpx72Y*PW`&oJG@J?gd~6#`o!6rRyaXT}U_#e?L9GrWHV@n2s<_SrO_ zayu{f`heER#>*_Gw2daR;~}?e>U&Y( z^gWi4@1hRwA}k(%));~RIK}zJ1k3&R1Ep`M%=gzjgbX{xU2}b1gVNYZ65#ykGgBFH zRbPH#%>5KN5*Q~C0<4fx5HUpQU;Oo|QsG@g)7oAKTE4+1c?0YF7M~lpE?s}fLS2C* z?}8nEPh>`5_G{%fsNIKvn-<5(A$pl2;twF7zsJKrvP~M`9Q4a=LC9A3J9F26o?5(&re%DyLW;^k##->(09=>JM+-!QgJowuCTKY=T3x2RH(wT-**?D}E%nI7%2(<;OIt3@NZOLqXvg`HBNsg=} zlF08%Rix8Jlrg~SqdJ9;G!h1U5qc@rqX$(0C*i|ZlH`EjE#RBZi~JfU34E~stWVdX zbO_{P{4ASOsg@%;ISn)OO=xAISh}raVKjp7=&zNx)`8~l5=m^G?xm4H7xB4i{l`ap z5>@z3+^Y1Jy?|etw-oYCB!1(x!R^$TkS&oO;&6!vf8rMsO}mVQ&S&}zrhGJJxzn>3 z#lxvKND;<6WFI=q&D+tjj`&exi`&;!&$ItceI|uDaJnh1SN_q4Yb(Q(y(W)1UBtZ7z5jkef%>_FoUn5Yv?uFENS+rQ?zca}-hWKPioUxmxtqjWj}& zJKW}9=Z#pQoYU5~6d%LT(0n%Ntk(9x5}wP))VdADdi6Jo(1? z)IzKJR%0w#4Sk{yyB+A5TO;d_F(g8dE#B1Z(ADyX=YMl1DcXK84OP}>{^~D7uh`@~ zCB+vbYU_hzlj@6~dut#~q|oP2>edQ&iEd!s-{QxMCdUSbUerIdI^n);#!|RknbIzf z5F$ZkHuALp9;ewNS{zC-grHnL%q_7^#OkIl!%cM~FN@)CsZjc5FxO}iKPlLKH1c(I zbi-tvKMz?pC$Wf8f#(_1&bU+Z;*S zsXGC0mRhL&HHLPL(vTGcu0b7P+Ip5+CKP0l$VxVZ5G-Gboks@)o?^uvl%!iHc3#0@ zV^cvktVm)A)(4h#Ut`Dq#iPgqgyS3YlweBUBZ=QUCxBK@w|Su6bK z@us4-U+lRF!~lRPbaVDhn)GZL_-_3L&{6N%oQFEmMqITH#4*xk1sV`2$qpfoHLUkq zAumjIMUR*lsnp52GTa_4vuf&JAb-x720Hdj;hT7I&VN1^@8|{(e33z_mY88AH~wCy zEh9DyS+R*S_Q}lS^!}%`zem+G;UMjH&OVyIRO{Ktm*HV@W5m_I$<-_xyH*v$2^Nue z{<>TnMWmQr_{x9M4SpWlpOjK7hI9lei^>aL-o$X9`xEs%$@Gap%MaWDBVsw5e%7zL%kM-hDQ=DoRBp$YzCrkh}T+aGr29R~jrQY=*9XLLQ zEr|Dmdh_1ZjzVkY;8`hQZ*|giz5@QKs#AQI+%V3U3{}EuD$??lkkXdh(P4!s$V^E8 z?3lbVCedRKdz2*j7&!|z2+inXz0MIU&Cdz8+e^xi!elY9*GkT1;1F|6@)p5GgqATZ zGK84h$qsq+IOV3OF_&oI%WI?XJ>kttmU)*;SJX%h>Pzj2*M`9-E$SxThiX9za-Nu_ zuB917q;obuSX+z<$n%CtDh+) zT*QKkEnn;8Wh_W%sI5f;`Ants)4AO7MI^qjBnfFvsE;UN?NlU=dLAT?68mv#mW#;W z(A;}Zog8{0c*)qUwI#F%`?!l(?o_1lII6j+xc~E4nbLWkc2??xn*Hun$Ls|A59=%HUq2Bkq3s6WA~I{UGg zG=aT{1;bDD^pEOny&94l+)p8=q80PrHHk3JInI;4Vyz|X1N%RY@z-pLI*w}3Xzo_A z*2I%Y1IPI=BvJ)zn-RpgdD^j^_dK!vr1XjRC0LCr55_qk?XJ21poXshS#pP#{8bz3 zp86atYhJOmn_zlVls3F&fD~fXQ5Ed{oo(-wbhPw>&O&N~&cx~pPX~jw`T30^hbh5w zp9aqk-kG+a*a~>Nz*y;EA{{Hvy*!I}xz4z;N zUDxxXD=^8fAn56)eEm9q1Vty(#>ZmZ(Hje7miHYTkCm^au##f zhcd%9pC9#&|2(5ttuqDIT%^R)aR8@-`bi|X7GL|g^`Ti0gQ4+EFrc*%0wrG=0mJ=_ zgtx}j-+08XSvHLm-${f^)( z?7QA}7qz5bR>*y6rFW(^i;mw7vU`ZJp<&{`3^EHr5F0NBFN%Fp+h;SyFaztM+y{Ex zIK7!|kTC>DC;m$c_)^XVh9<daagA@j)Zsv{U@&W-JbmTVeg=JLrs&kg*fl`QJVXG zLCdXJPE9w%^ylqpUGe3OJQKN2{6=IszNmiNoJF{Nvg4YN!S^;YPNJ*s*+=cHSM_Ht>P{$Hw;dnyX*QY7-AE=M=pi@eLIPcM3G_)rO&*wNDD_ zv2SL7CaGkwv0k=dGP>C{V7%HY39&nKha~gC!Dk%}-&Z|zw>I_U&U~No2Bh-Qnp|Z|;ui*o!If@DNNBtM*4o2X=@MHNCjsC$G!C?qP_HKUg z*9cQ{4B${I)&;1H-_4KV!6stiZUzMf&}N;so)!*a;`aC_@^PefP1tar@L=8k0Rwn= z?{s~_dWdnDN#18HECD*7GGpwzD7a)cut?Wr#30}Aty!7r%Yk*U+m1NZ& zI1QX?vA(e6nFA~902cr0dSnpIbC5dHwuxN@QhinB`O|nN`bKa2hg(1R62i@ik2Wd` zCxn+B{V?A(=6<=2FRbeSM`)>9S4Sr;Wa5{_?m3r6k4Pl&%%8AQoRgo*yREjLLWvcO z=LV_%jkZhryq_S2Fbc$HFtzep|*Sws<=SR;zOstGS2!h~*EMZT| zdTW{g!QDVFfCHuSoFLfH7#8w8k|!q&Jzqee@J={D6~!s6o0}nd8xTcTYy+IS3uEnU z@c}&JaRr(5%Xs)e&79J4BvB2gI=1!qz->pbQhr^dx`bQT>w%ZUStu*QzqP`aRCkuA z28~dS&T0+8aR*Z0s>b`TPJE{Q3m!WD`Jd@<7bTn3&n}JFrB=5=8TH@hE4RxQLsTrG zMXfx)W0qOqw*=|pjq(v=zpply3RBZwE(JH@6BtnQ?^wiMu3g*3StJ z^|us+9OMaAGAa>f7qiS7=xU>&Zg;oD3b>LvsZ(Blsw6LU=refBWg%nDU6I~uhb_|f zRoS>HnX!qI7SyW#pkdQAh!MjeXRU&Jg4%dMee$$`dxuR#3mY0kK5n_*Z?NKoO=<@g zxa^g>1qp6w4~HZWCyQv^TX(Ezez>J$XH`GbY_5J}Umq{g9e}L5q>A;g0D1q!|Ok!_sJR$scx`%|`@;{_1En zo7`DRd^!~O1}dZ4%saYISo?i32|InqQ{-9;r9Naly(uVZn%Ga9X*qR*K6D=sfs%%>Y&jR9*doex6Cru0q$T8UbcZLmUWFEOe6fbjyVejN0A=zTpB9R?s#<v($U5w--|GJ>gOZWnb_!|8-C?1 zU3;g${L_M;5tlSa^l&C4E2CI*uRj-!h#YP^xG!Q#GA@aW{$6>i`KDKZkZp{vmJdL= z7q=FkbNa71U|cERE>u`izah>x_i2DDgEhRCzgdixWK4n!F1QTeG)eE-VyQpe4$rLO zlqxS*4?G)tLD_`h3w2}>r!{=3L8fPJW~%KR-}wk4!#;bUic|A~A9Q!ASM~LFJzC0s z;&$u|(;hmgTV^dqLrVyLNNW5T?(7?%7-8y_^-%4h(z<^=&M4gCTL_KlXw9r5@0l=n zOn!Q8rJ*lt*s%Zo_hb>W8vaR5HOLdX*oxGC351kult`X!Lusp#Z`?F7jfd~yqpJQa zlisL|w>!_?e+oXTo~YFBG`^4~5fMz!c-$KT&f63hIY?#c0%36p`rPoy|!mg ze}PGn;Z|>V*>T~(Xi_WmJx?|T)#%Y#8!$c}s*0j-#BY=L1r$Hm zp5}qKP;T2lM?|in78uQV@__}(j<;u!WH4x`!VoE`oYj$yki?fg_p^Mkb}e9GT;^s_ zgqbl8C?TN7W1B=Pjm)9;X&af5MW)^4-P6X&W2l8jzu7svd`&5x2fMr*8f`%-L$SeFErO5&pWm ze1~CR#QjR>a&CC}=XAkl33M@61@=K&)KC!p3~R&cBLeQ=@7)pVHN<S~;wIBBP)y#|q@( z`^VPOpYIPznj7~l#{WY_Acue~$aT9MOdk_wgx3rOSzD)BJW{U@y!`{t8ar9f^>04w zwm%X6aYjR7UU>q7LJRna-B`=F?2@g(ar_rzGE~))j3yC*nkOUPpLMK$7%~?T=hZN0 zb3)iYSyWmbD&KNQ^T!vsLA3^4X5mjo-&bnQVs52QUK|(})qvl83BBE3!<55Xt0+KiM9 zrmP+x0N|R_i*@pXtIa;e52iVEUzADDfmTL z1=iNWQ1lgZu#yo4PNJxkt&#vzEaI#5rNH}~ zQLN>1b53pg(ni*kW5?CD^&`N~)1NW@B}o4!iJoo|vhZw$jgR!w4a!isxJ8^E-~aJgZQ>*PJxcnhBe!Yq$Yd>8(6IJsw4 z+HDoN;>}dd@8}LzE|4`b8j;bp6#Eg73KgP%y=!Q zJ`d^bwG*ht26J4*>cXiFpl4c%6cOIvz?!Tfii0Thf216zF)l|Dr4hH)1pm!xp)pny zk5H!)M?M|CQo%8=UsYKE?1e_;YUS$DOCeT$fnTtfLkOLtzOh-+m)O`Ge#U}(eblGf zZl*Y9^&R=7SD_9FI8Yt<>OS1bW=d{%#8RSwgucdDp$#xpJ+S<9Qds z8r1jQ3W)uPh~(5_+?S+&?p~qw-2ko#6)5ftS9`SCP~;% zF&ZCay>WXWoLnYjoKTNJe=f{lA{B3>;zfx*@+p^fgkA`eFuZ^1_OK%fw>s5IOHUh5 z^heWMX-M-%`u>57iZS2U@_lK8bi^O-u;()vpwNJ|nci3a_&yFQpm=~I89 zHVjwcGK2IHO_d45Gkm#l+Y*YGO|NYAIGe&vwopnG zug4C1NY2oqT72~<=rA+>ssu)Ybc$;x`L*mIRdoW0)*B1=A)7spB3Rh4*W)GR3>Ib) zoLW>l8uADt5Wa5;&A$xhnn-V3d|%UDVO82Kc^3ECe4(A%DoJd9k4D(?E$%c>^-n~j zCG%lYHJLicGXbM9Jxh-KhoAZH{mR^TjzN?<-w~h_nx4hfEng-W33M4P9#d#N-LMx}3eBGkt4EX}#slImpu^sVz zJBHoq#iTH2Q4irno)mDIG&z!Hcos`IDj4i=XblremvO6M%9Jym#{Uxffh2MVe4+_X z@G7#recg@!ZmutR*m`4jG5`fTt}-=ry<_j@)bPQ1d=^=uAW?m!Mp5I<+SQ!aSLA=` zLiNa=>CkPn$4U1y^28)ENCQuKsltzs)QWeOrQ_HsOVchdl7%@B;?Jb3dv4{?==ZX9 zgk4uCI);i0nXi1O>H8y>_jSpx_?vdjJMJ@fyOVE`Emn7C2Zr{mrJIkUH&Nf5)e`Q0 zQ0n%}AKoIU?q))8Q!c5rOF>r>^<6ay|N9q!6KUP?6Xj?N{FyV^HVElE{!mXQ%&s0a z$@{SvS8V7jZpZg2H>Y%quQ^9DgXIHrWT~heYN$Sfq}L`G)Lu~P-wH{9l7(NYqZIZ0 zm=z@HK6>LmySjwJZX46IA*iBqJL%kJNp>fe!!7UpZ+|^oemOD?>OunAd{%BQl{cPWmqmI>BxLz!qlTw8uE_6J9>rXRT)a zI(r}Lwc6fEW8i|YK4p4T-DfjD=o?^;DTs#+mg&Fk;&5Y1J7gRlxNqV*u56YdkNXX6 z{z(W={t6c)grT0c6d;8YygQEhLmENoNx{;IcJEQE5M+>31^j}l`N66H5M@_v&-y>w zi@uU>wzqM{w|l?PF{+Dtmn~0j7oK8UcX$R#-svVbE@kZOzN0o*J>F`Mwj0gPY=U67 z)ahyC{J;lF%%2jA|A-C+9LM$Omjj9Ub`N-=f|rnCS$Sx}JgH-a7pl#5q0Y{2A2Ic0 zNUt3y1s{N~vD?=zhOeUn(}Y*kg(bDqcM@pi{442;xG~YPpXvAPRf=4GKhro4!o5&! zKnzD!b#WPm;+4`|$9INBaEHJ5IS-mlXi0D!WfS^Ec0xU9AG-H!3{a<$Pl ze3w5SJ4TQqrtFN}WLD-6zqZ5H8YW`){+tBpdtTPtS7+q<-R5H19 zvv$9K9cr0D#PQq`_U%!@1M45CA`A`X z7LWeqVpgCO(X5ID32wrqPit=RR;WpSx9!t?&2rWc=iR}Nv0mQo$qWH9E3eC2f}deZ zb8BSf`^wI~Bc8U&Zd@$1yt8e4>RgXS!%|y9o_uPf501j(IC#7`hAORXD}7_;s(qca z=5Yi}&dy6yCf0AoJnk5P4=l?XU6YcaVDZ50<+u4^T^*v`o?rYLv6V5e{a*76qCe1-q19&3si-5RXQxk{00uX4EKZ~?RJ594 zALwfLe=)2(*cDRo*_(SWW-&XSEK0M`ctHN+pehIZ-&@i973Lwo`AZ0EjySXK(x+2d zOW!*2v>e{U#A`iI-;3&PXRHIS%PI-djA;047$MGD9*Q=1)T7t$hKSXU$Kl-nxx~(C zdZu(8(;e;4_y=f4G|%5M{Ct4#0*~eHe7_#n#?Vz&;fz%at%0fH;TaKzvRZI5QOmii zjqA~N8wCTmUi}s-D5o-8V_AvHn`ckkZw4^8!woa{7*6V#QJwV=XvWTLVpi#v%gAh^m!`as?3(^bc5KH*2GX@5ky!9d-==z+pw(LGQKgjZ=ivD z0BCALirS^RYcrML1AbwIiQo0V&c>L3Gy10SKhCN=Eehv!n0#RDtrlnL*p4xz0{33o zTmn6&O|S9K>M!lzP1I=*q{boA7CKfG;RB>;tu`#yn0p>vj_x}Z;b9(6UYGT_NvS*; z$*Y@nJ3xl-L5h06d!T49GstJ-nbp=y7i(~B%Lo}+NjqNP`7bA`hX4i+T*a+05S$4A zrKbwqV`_#bPrO1HPMVH^>w@vA5m=ARP^rOS(NjSgEh+4Vu6b)la}V_+)oYxxQgKqS zbec2RuZ4X4YDzWQLPL{0%hRb<%YJXQEl)}T+5m)uhA59Z_Bjrt`eiGmaM3e(rQ#Gr z?{)(fyeU<7l78y9%NrP5^cFhBQw_G0sll`}Aq|YRe>N*A>CM|8Y4pd*9!G(=~xXr*Z+5+~n7n-Z6iNHrR_rj9eSZ5$0hL5Jl)H!6?uiH;3a%@%6I zY86}3yPUk+=FPwm^Te60jpE%j_=ki3Qbt8kXj zF>84HOqE{OOnoh-_Nn{a`TRJ@5&X){tc<>OF1K9w!Wk0{H#t_59jKidbE|OzVCBBC>lO-l6KXnCSNq<}` zQ`jvNUR<6p5ZWM;_-10%9gzzMC?OdEB*URhaPWt7rNW+ zf9>_VYDx7Er+t|w^~wFuWQ#Mo_@qgQOAE-;59C4udGUz3mdD$cxiww0ZZFcFq)Pt4 zLDrnW?P)?_gV6I0^R_Ia))Ok>6D41e7G2Z9xy`@2Bb><}Pf3h+T#wY5LTr677JiU<_WdIB?L@O)wCi zNXv431KpBxyRk6gvlX|Q8-DkkhQeM7bxZ9a2GR()4De*x>VwAh`#^#_-8+yO#VVJ*r1VbFH)zoC23_Nl;W7-}?0oGM zsWXABULQ>_8|?&;-p$`1B4r3yY&fOn^30TlFhT~NAy6Pg@BH26Fe1>Rb33HO=pvZC*%d>*r z^UWG@D^}KP_rDt{RLtm)2QLG*pyu?4q0x>x%e+eRC--lV&X*4@ysEj?nH{9wQdYwp z@0_9QJpG|DWo*)bWE)a2ceuG~`yvRW?0bI(hP=f! z!P|tPB8-ou;qJ^DCDhcbi>E(X=$q*?)sK$cwpnzJxNq$jsFZ=4yUL#&=#+O)q9b<1 z%mcZ;W2|VRY2Yh)g@4NM(OH8hPL~%C-dlP;F9W<015yF)PN$5!hZ^qwX6owuB;rH9 z#Z?Vzq)LG;Mr<-k(fj$9O964W`tP7E@`%nG*z>-?s1%Q&vBu2UEG@cSM{41g5$P#K z1ZeS37)xlrOP)59&^iNJflHvJ3}A_bB#ahxrN-L@C3(Er^1~`&k{WP~Cq)1t zN9;xRm6}tQ0U5wWSb;Jm^gv^DJg8q55RF^j7ro5KUHX0%Td+7P;g4NU0)5UwOtGS* z@V0==g~cx2U3D?Ou!kBx=*h)33CpPw>1wDIgkly}?FH%95+9i|cbks{O0Zwv)u_f} zy9H+deC6%MzZTVMT_;PP7IAx#Zm z*bH5N<^0y_Y@fjNP51~O9ShB6HhiDwdR$Q3;%jWR1Uu?qrRIB}mMfdv8>>0>m*?Lr zo=HW#{bvDTzV%K3g4U|hz8+3|tz6u^Eu#peOBPA$&i6F(yOJ)w=H1|L9_eexEr{I1 zYU^l=SuYLuftK>%6n@IPd?ew?^?#-jN=U{JniPtpvE2b^VM42Q*}IaQM-T#?O5+yj zE|Bg8!7p}UH?aRJ2;1H6xNKGFpOoD3&C!>rn|K_dBMbtScEJZTsXW)$u;gaSsD_t- zn{uG!?MGZ?MEAlHDYVc=I6N7_XX*2#kAlvK$G1Fc7;3T9ZF|2gHx4=?bkGK!l$@`2 z8@yb>;+Mp24Do_lG*`C;d_1|Q0JBQ++Q^u3-~++HKb$^<=&i_A=6db%uZav-v#8JI zyc@&(meJiIip!c|cDA)|A;1aRD=c<7ka*UY8V>%uD-pc#I6dghiiA&=g<6SlAFqVG z_tR)6tc~^(zglREk-XqI(-Kb?Ibt%)>AMR=U6;rMeT+NG7+8tBX;fHcDc=*STZ+%x zIR5=J^)n1Xy>9yV9(+uHq3#fCl9yrnyXtm1_0`x%()ot_aA|1+%*P0@wl(FKQ!7VW z+`(LsG86>|T3aFc{7=;Bd7^jtFjuX2hPZit}$^_JuyiclW~T=RMSMg7A?9IvOefVIe_zJ zW*`%PR`LZ`ME9u6S6bjYg4O%x`@B*k6v)HY60|LLw)7>NjT7PD@SR~ux#qokz)FaZ z7jp-LT`W_Q5)m&EkV85o6(1cGiAulEdV%WZjsZ`r!ihk=#4iT%mKLrR;Aa)>BeH6I zYvCa%6VlD%6Ho8T7*czbHM+0|RPmOd8ABese)F7%44&Li)_r>^3dRb3aEPb*V`p$( z`-bBT9TYESR|gl*c38)<=&W*onITENC(%sK;8|f}6uAvwGL#Wf+u&HIC1fzuN9ro| zXI_Z57=G11ouFZ2X`_}MmjCSpUO0A7)Ky?gh(f3ZK8VKm@3j2n^g-%)WA^#A*dahp zQupGL>>cVA1HS1nEtPw2TKCpO_l3qO{^V=XlZdqNEM3!AR6U~_1-=%)_5F`1#PQ%2 z-eBd@i`>!n>1&j6s@JosaZ5-|=N0tuTm1~bJS3_*Daqptd%r|HaO)RcJX*Fc7lbR( zPnBK(Rt1QD#~d2`FCKMjed7osYt2|XW=*9cD`U!h9MmZ@%T~UM)4)xCXq<8z{H0wF zAEx*DJP2^55LT=@4Df?m|2MO5v*bg=;8GVdGK&5)pQ;K&CW*> z(JNAzhxv(9ibkT_Y7x7~T($gikA8Y6_)vRP7|7%wu+eTPS!XHgmpt2xaa0{2=wY6m zBpnG^xP>PdooM}jpn7?a9mPZzW37)cdm{C;MozH$2!yE&k+yH zj{G%0oG*q-0t@gJg+1!?{6CFEAHV!BWZ{7z3pF<08fi3-R#k^L5ifk5;f7o6RnU_h zT3euh{lLxF4b~_8OIG6#&Tf-!R`lCAqsqo^#m%qPd4Z~a#Y)d3*G_Dvln$ekX$Z%o zJAJ|K6hp^k()ne&ee%rdb>hXFRbJO?3$qSJ5$oB~px*Yec%nr1{M39C+*q;eGj(;iP|#U4ETG8`gS5uuZhILSautO89X${^_Zp5b7SKYnDs*r#8j~Zfhfl>O)bCW5R7Q#U$d@He~}6z z7jB7a=k52uYsR4Mg1W4yRr)F^w}%c;=?Xzi)4!43-#2~W*v%k#)IXYTs~|<72NC%Q zGH&*RS;eJ2@IoS97O|#0QCVvzvuku_-bQ!j&`m7>LsRZV_X5yF*WrIG{1D|Dz*FX0 zYPA{A{0j^P*&fF8ZC^oq){;GtCt!QWYU=jR%Wk)Yh6qdB}|PRS2S=BS^)s={?KAuO zov1r4K#sd0H{L|$s=qK>RvPTMv64?UNw#>NOG3v7Pn%=rSN&_I`V2=tJn5}r_vyU1 z;!G@mcq-l`^J zG+EAwl7nq;vcEHATV1x%r2`{bYtlZZqvn*Qsl+l=%Id!DYeS8hT|0#huKhu2bl2`p z8n2RHV-qHPF=!QtncWab2R4LghjBrECWufJIc@x3SjC8AGr>`JkTN2JZT)o1>edx= z_-M3DPv=IUnDDb9DKWHh^J{n=CEG!*%I_63AmejfedRfiLhd>ZY{N&EHeDus2$pkO zFYB}pMHyN-@}Cs`Iy{rAZ8NXSQGZzO$^_e{}hK%&FmZ8pBNexAegmOZ``W${mRu~ zWDOR>x?AVIpP=t(iDaZkkxY`P-rMr~u~7yD=zS+ZZ68-lf3+2M_d}Ge6w%3gQ3>u0 zn|QlJZcIS8D+=j=k4XBJ&0(#7l$y01UL4qc$&v39UptHqZec>S#e91PNR2wG^E(vW zPc;SIDXfTVHlg75ri)=Z_Fac)P}yg%#F>(oUj|qp6ziW(rMyMP*__b}*Pp=Fx_pdx z6#4SUV{yWD^u-}&Nc@JjD-wot+7M!g?o&|Og&y$mC#{10Pt6vhI6ZfCU@5Xx31JZ@&D?tJ{z0Vv z;a#-+T^;W^jE=JF9ks%nxl)DeV%+cgl7M_wZ=yvj=-8{~S@o~EB3~`}19M8QWVbg!v zWef?ZAXGiWb=TXdsqdb0J~Bhiv&2u_$Z+Q|001CtD8ER~47hGJvBk=iG~USN8|2l) zf{ioZ(!2$>U`9`Fo?B?w#cpK^^>RC<<~|i&=lj%;qyato<-ka3mhdJWZ627^WASul zcKha}jw&so(m!Ot+$t+~_(yUOJJL5Fxf=!DWo*;xP$IcM~_(<0Q*uBxwhWQ1nnZd8V}0^zW+FiW$E@ag}%cs(j~_g;Iy_ zYiH8ELaz==tes_C-G&f(gxXzXIg-?mW&PlA-Fcca>lgCPjLv4pMud&Ke6zV7i@BfX zVjAE0AwM2DtNK9ELG$>Bi~49%moHrtHTDYG-$#DpMwTW~_I$lDesD zdtG8h!Fd#KbIM)a45y=rEH93>;0;ThW+CUv-0xg^c-o#-D=RX8O?Dw~%lbj}2PxY+ z$&h=Mr`UA6R63LSl3K>y)26pg68&cOR}FH$%py;kAj*_ArfxC$7LU<5n)0Jx)BuK` zm@XL=TdN{QE04(tk!LykNbl)=H9NkWOwNDk`Uca)c~|Qqh7~lo^J+J=O+pnf@tEH) zpLj1<<-B_J(4{!a^!u##m7do5z<{hj{)>y~QxY%0qxV7ikXrY|GHyA1gRJ{0X@PuA zcj1x@J9?w?JW_L#-FSnaWrAH`!wf+ByG^pd%Gx5g%YbXJo9d|*CTyJi&rrB;EJe#N z+BxfZyylpKmfuQb{Bv(L@7Smz>aHB7hGaw-u}SRr#gVr(rqD|!TJJ<4lA~;a z`(v|=K_MDK)PPOww&wE9*?-?M_RzTPWYyzBjqSZSz3DC|x#w%y*8Zrbi1^Z94hB98 zpVnd@C;_Gg9BX!VyJtCQe+X%qjbxPuAwTjR9{}yl8u?a%)(_kK+`;tAB?X9K{=m^3 zgiJ2&XDn1;_hk)JLuw)gDr2a(yhJTRYIhap$zSmdUn;~Kd$!-h#0^le(7=mLUdVV z8N#(7Bh0(NXn?u%T8?pcCvgLDvJp$K90{o&WS;YOK`+`xQ1waY&}PFeh;}O`vPDWq zb@hQLP=!ogHCxb^iR(ny822(qof)0J_N#aU--l}3-D`R|EvY5w7?&*wBP~8$mIOVb&X-S`zcIao1t_iL^xe>@%MaFq0BS<#V=gze zWnDg%SCvVSx0K1HMojuCHDuHUi5|hG?yEO;K0`iUorw3&Q-JyWvEo?#h7@lH#X0^3 zzqLriwWqR_eVze7G?Pdr;e4g(0CQ+)elK^tVBIiCD>5y5xed4m9Mcma1#`4;O0zpL zI%m%PbkX7-Y9Fuw0Q*qk+atDEePln}qe!lRh9E4$*zDR!_j&zl5?XlaaKKv7gKMJM z>b4|kfTgi2%0qQMg&TpEcD@T8zti+NpJXmbpRgDoJtLE8UAx!Iy^;|$RB0Zxz07Bs z2y2r%d7Q2KR$C0kfNFV3_#kWpsEPzx=<1Pw34p7T%>Mdc-RZ{F@kWFyT{Hm0O?_?P z%t_-YC5R&K3Cdd`acyQ@9GLTy3i`Q^kdb(i0pWheK}^U4Y73RX=68DYBO=`cI!|O| zL7TOr8<9=yR|tg)rXMp^4RNfM9zPXj)7fZ&O?)lCuG7{)e<@y%gwADMEcbi#n*1B4 zMepcWb*8P{=kN&?IHf5y-uf~|ED`zsvsf0{@IVpx`7-NyRjp2%#e_kP!1Wdt_v+m= z-FR00HTj+OF*h)x2uAt&j_uyZRBmdO+APffq-JUPv6N#R$@v?b#^5*0xluuG-mJN7 z%bh~KGi@{U>vw;D@vrO09SXZxo9141pbR~4*zOHcb|d>eu?_cG z+?1U^ffK$K&Mb+UzEKrFHnq;}=LvSk4zC+*nC(Z>PAy{SkZQAO$@HYtvnk;VDWm%x z;1L%Ds6a=?(^%pB+_4R|>3Oro&Rw{ncJCHkmeqhUd}XoAJLo%fs*@i|$nTKR$Vu#^ zJXI_hYEPV}xLm^8G<0YQZ875 z0@PLan!{9jVU%8=LJvMF>3hm805J$ZsojA1AFwA4_*C8TzbV-V5|f^%J^0&h)-t(? zy0q%p#yq0oYs9}$aznZkv4EBYtTL-RcSO$^|10=@4{*Mm!Ltz8C`j9x4M2jpdgpgL z{h4*Ojb^Lk0-{JRd=`mVyu!SOygAIQ{M6QNozZvw);ZoH{{(*Xn2A9~E97v@V|&cE zj$6dq2mZ%h8N5&hga-Ac+9Y^st@Vwmz4L|hi^nQt3gK>VH_`*cRHz{G3A0{zg@u&7lj9I*YGpF~+9WxZ5jflXNkMaAC%{XI z0k*fN*}0!;HBV13+?|1y86vhIuBs5Q;>lpWBEySYGtc=&0(!acID$ zKp4iujk@=?Qf40y!1DEW`*=b#acuYijJD04+lLH<5_bi3yb_YYza-a&y5KX_1Z)U^ z1YztxI#6Uqm*k)KHnXh1k^58w6ngTj6bQ$50-eL}r+%An~aK?VuBsPEl zap6YUgHb>V&5vmxLOi5iYct<^c2cKJ{0W0sCiFl5=OBq0Ycoze;`&sAv}r+=4BF#=3V_0E z=;2|FkDPKONbUa=&8Cch!$JTjY!Da*x>#%zN!N{J3bC!&VO$^ZUFS#2H+&Zc+*wcQ z8E@iGroJVhMkfdBaH?!(IB}LbhpgBIFQS*@;Aq_3x_@j&kMD;oc-Pi2;t%K$a_&n@ zSZ}Lmk<1P}__h00Y?D@C%=@CrbC`E@vkk`DdnJ5_cepC17oIOPphAvTf6!iT?h}l0 zZrlDj{AUt9cbxVLb(iG4JC_=HhzN?Q>@$mRKj$vkEz#HTtSNnSEFPQyhMYwXNRke$ zBm*{8{D7p_L2Q0yOg2AUy!9?-*cPI${FL+UaP#OpIUag9VRk_0LdcJLB&KS3^NjHZ z+jTEVf48>FZ(t8@*0wOu(=o_k^HTQ1;r#EQpz;bGCr%8o-WxO`-A?|uX|(Z8QuCpY zrg6yQNr;Qm>xY+PTDI$!zx#5;^Bogygt%AG#VfSA@ceVXr#1=^0Xrs2FlMcjKN$lm zEmYIrqn~8VF+-}DucJT79lXwxGqDMe!XIlS2l{J#yQ7|tGs`CQ=kLd{G-GoZBMZ_q z25wblTUZ=mljL@CZeft>+EIx64;b{?we#fDeDD_5`mS20t*dY1c-qEy&E?cuZF%h5 zDxM90^JZi+=vnVB>Tq2wwE6u#knc3Ohj=35GF5d4X@6_^Z4O+6@^AB;Q?`zU)Okv4 z7&P-<5bj4zrPsw>j|)osv=+yike1C}5Hv+iCS?JktcP`})f{E9OuvM6x8~Z_ zr6y0(MC+WoxH7F_xG8-Sak7V+cU+{_>4UjpAyjfR(XhdK4oe=SSRH;T!xU zxHo^!{1idG4m(A-y8RT1T!KUqFX_ z72O-^%5N_q_o~lkEco^{Xdsj<>+>u-xpe>pqN)k!{)fFcg1OznJU(ITSghPNW7f8G zeG#wUWieL&2FAV1_&CLTDgQOvu2ETcIzVT~1z}F_E$dM^* zRkcf)Dny)dFCkj7tCG{n0ZQ3XdUs`>y`-z{KOy`Et#^OKM`E~@Jt-0S(>H+wE!v9R z`@#6D%-bN)bN^WZ`k-G!y4N@C5d-k2?iV;3!2kv=M46N@1tU41hb7M`j1zm>6|}-w{&(NdRsYi#Za$6I zL?qpbht|hF?+>eX7^;Lk-Btb$k*uGqc@f9S+@WL4ixUI0p?UwrbIItElD7!+G^;br?U zcKpm;9%aRZ1dAU!ucQxg6ao3i!R(OR+cnVgMN26*9AmN;^bR+0HR<_%M983O&%{znMsyS%AtCa&?Xt*RDkv}kRC?LLx zNRrS~_jyzEar$bQS=uLl{9o6mX~HDfZMbuVr&Sy%XAQ+R$(q1)2QNWq9LYWSYKA?*?y%LOIVZ1;(=NG@<)kYEFW1g*)27@zBsIBF_PB=aNpAb^piIyT>#AhX3Q0 zN>Ww|$zheE5_8BYwxyFqsZ`{&N|NIoV>X+TQ({U{Ii-^%M$V@#hm0IXa>!{I<~%d( zy!~F@pYP}Q{r=^_YxBBa_kCUWb-k|p`Fvi&2GEibB9032^bZ2lQ_qj&SWP%9Z~6oN z-Xv0ElQQ9L$qW@P9jbG@hDH9(-@ADG*+-r>ZwmojMOA%K>44aLD<` zbAc}K52-(dIQs6P+qV{byvRANd$woi_B36?bUm5ZtOhy+R2UXB_Dtl7H!2Xu+garw zX56maImveVLYhn{Sh0vrSvIN@oEGE~AvC11#D!3qWq6OB8+WpPf-@$4#~|y?Lsb3CB~vs}?I8R1stg8K$CR+ymFmmY%Dg^8+W4$uuZ zQLRS3I+CSE6_suLSQ|cK9Moe3mjqHNyS~Ej0BL&zTn+bQu&Gl%z<@$!sK@wy6JNaA z?#H$ltThm4i+|7a{Xgu!;)YnXo%mThjeJ@>iWjtnj3cy8T&!hk3$$DV_6lBPZM3hO zzH*oWvvL961#bQPtj2y*6TH?3LtH*;nxI8DN~=-eAdwC znJ17aXwYG{V$BoMgg?F{;`qQ^zhw88>~>G4bUrpSl@>Nx6^e{+MfIB!K~)_>uEx28 zY;();waG|amSISSX&c%#zWMuF<4`;ds%!$O7d8h@yHCLWz~9Xt1OW7_5mL&?pNN5~59cWS}N88LbCnD?lb!|EtqLBtr z=mR&X_)+xN1NW-ISJ`<{i0?#dWg}=g(4eD1b$-EZ`D>ah3sQt9BmS5mOyL`TT{f(a8u$e+HNkE@7V#nQd_vqqNY zy9#ob@}A?vYl3^L25|1!XZ}YyA;n<<+h`Gx!kCBOHox1X zt@2Na{wazc2X1+hXgF?V;Zhj((G*+wZ1wyp8VgF3DAln5Wg0ZmH+iYo?iGB-&gplz zK1W_D5Qq3#0DIRD$40U-!7E<$(4U4hXlkiK-aF`WuW^vQTV=wjZ##Ozn5rNw%E=*q z9%FGuC%d!8BP&OEkG9&$)6XuY@*g|4OyyuO&x=Eq_)?WomAUOZ0if35h*|fRqEB4t zxWyowq$NXm#$d4VnM{7hbmJH{mx0pI=b+tW+1v6^N=Ar6jMTs%mT1#C&RH^vT zeL!06g0VUa~()=UF5Ts4<;Ke1C<>?7t(-A?&wkuuE z5*XV4iPbQ!`gI#t^*_6y=I(Zy@6378pW3GdQ-pKu^PFzZL$NH1qF42U{HVFwLyA}f z3r*tT6gW=7>4aF#K^%M8Xj>-!(l2?zIr2 z_k<>^XycWl0Qj5O;rF{o+yrQl!L_QYe+-1Y+Rt3#Nbq@#boKP_JOO?Bo z6K(R&1OuF!H*Ws3q)hk~YRD)5rCp|d{ghg_lQ!euJecbBl<*bwCzL0vWP`g9zO=cj zMRc9>xL`bFcbg5No}IbbH@ty9C$ap|A(pWdNrXLA&WT-Aeqe+EPx$}tX-f-FP%c(V zCxF&;_}_>QPwd4(y@{(KH8UoZNdMa<8GWqJTgwlM*c%PbO;H+VU}1^BDx6Z8UGs)E z3p6uIIRS(u93+2LuRh}DLzRa&?CPy{zpLuuIeSBe8KiI$UE)t|ob9Z=FG?IMGZJkb z3sKV5crhc7-8Iuwl9eS-{0S08kb>KyM?f&Ws@9}#Rf~|n#{k2I8&j8NoLpJhPJ0d5 z4&sqZf&&ysv&Z80c$pmSTrz3|`yA90Ou1A3YiCv;)$`cy=p)uhX$R8i*jfoUubmmG z@so`Gc>rZLG+j0!8CVqEpzl4W*6uq3T0XT#@AokoojQ8Ek254-ge(nj9r8Yc^?O{G za9YF6wpMg44v}CaBF=X>82XvO(eUQE>`uHt^NJ&t@ZoIp}IU3ES6+kN6_XZ`M-q?-Ws4ES~1Gp{;fp)m#M=EZp3 zPutJ3&6L;|wt?*{H5AZ)R?yCRbXUJii_=~1uyAUwocMTAR%=O@@LpcKDeK>!fx0lv zOLkyh%o^Eu@KG$J#Z)Q&&p1P2+$o?284xOxF+vfZXrpuNA1tluR6U?(yruuC3CKjU z!(bhPpiPx`(qy<+PhMty2>aFk^eu6f`+jShqd1{#vhUWmnB045p7O>!+|enSKxd@!foi z0IS;yNq)Nr3a(IlH`?fv|K-#fL2dcwxm!y{6pW<|g_^h?A{MvcP$R&}c{=wsryHA8 zGhz#X%Thc?8LKj5KqFH{oO8;zD8~rLk(GHqtF(lRA$goztlBHpOAF z7>QQNzMCuEid22V61vOCrT|%S_76fAYn6_1=WHz;VVMPmc>b_hj*Qy5XdfWV|J$T_ zWlnf}1}QnaQ%CZz%7uG){dc3X!#BI)=UdGzD#q#c3~9c4#ZI72Omg4irar9GNByW_ z*`b2|NO65uTz2aVSE_6U|EES3^!=a;>5`oh1)*|y)6e{;HH8jfcVSu%`dim9$<(zz zT6ubGRCC!#Y8bkGq#R($7IQlgv3Rd+^s|<+x%BkDCR@7GMU`8(=-<70N;?bm*SRHz z^)tru`!4plpNEtK5=5#N4(a0jo4CYJl5fF+bHqN8L?%o2(^VU`Crfjc9#axw8-7Go z@TOY6U~{6c;kYKJ!DeIV$ZSI7lEP}LMB4Ot&Qf_vk5VzwmUG%dpz97^SR<)U99-&2 zTUyUdtypyA3cC-`mQW zv%nRsHW5`;=|5Kts>(^`;LBpZAvS+bTJ$m;R2mi#%PqR|cYDQ(5OANsB(duGn3;$A zIAOx#o#laW)b^~1@e@8QS0pk2wKzf0gbFuGu&@x3jgRb!?To$;Rd;d zu{q0uuTG(;`O0<|9wLF; zH;rTKsHU@v&bS~5S{|s2bNZ%66NY%CZ!0bDTHkQ>N%YkOc$Nl4p<#M)mLUD^)M%f7 zv^{nNOyx@#1tm--)IijVZsuh?z{0Z@Qr{V)U-rRmf?thQgP{bxXzh3xbze6t*{siz zsX6j@J5)ogbYG|xwheW~^HN3V?F7-GS*}gz-;r!#+HChkPM;!9fHl8p_l5n$mq&cU z6^1waC;Y1D2sO?W7s!{Lqlix@{-_EEHzhbJVM~SY)~QS4BFL@$VfvABnb4}?;E2F_ zMRBnasE@KN{m5I2tY#bu-_Q|Z_amyzmg2VxosHCH4O>CVQ+&Y!6e zNYgRGhQ42;+eNa&XMNItm>aN!l%V z?edhJ-E&5n{{Yn^}fD=5{cRkN$ihaHN{iKZBPf2!}O8yT-`@_?&QuTAq(2eF`C&&)sBb< zghv3mbs8u@dJRG7 zVxLDDi2AEHcFdipfgJ2#1kacELy{Ujd$W4k=h^pX6RKz8uN=!pzwZ(zVS~R!V*zQS zm4NiF*Cge$q^g=R%~4qmk|We46Xxe1&?V|6$S)(ubYQ$HZSTa|5l}BS^ zqF1x|CxKSOLeIyLuHm1vqy~pc`01FgyUV+=hsDe`%9`lw`?RIucd&cnK~I-i$6`Wn z&q;()=gK%Oa=(+76Ov`3($TQdk5K1h17HHUN4garGq!4n&@7J2#gp|?6A3tp!MovG+P38p*7BD~ZoJxb9vXn-;tc{@QXnd-Kw)pg`QyX z@odxI%f-_&Q$6LI7o{7@Aa4z-0V!~~bM>u>u7n%$kuPwERWTeYtB;ST-)!E=TYdiOzAYa+4 zp~xxUdxdtPZi0@uk8!~f1_YgBoSue>7FX_G?`+b99mO67C6th?v8B%^(F>O7ye+tQ z3UWBcwLYPAg@X4;8@)xcP4(uSH2dzhei?MK4gadG?^QiePI%}Cz7{_hbVpQ~x}eWw z`Pn(bIB}?4RP64VbNQX|;YOk|r&BXpK%w|-`wLPl><;2s;Kz^NLz7J5z16xsjqHl( zN(*E;{`ulU8L9ZWuT6Y;7r`HS`Ye?(b43SZqlf3})GS>40SI}O^T12z}fNSelFEksAPA^|&39ioOfOSQOi^s2{uIjbUVp11eBXOlVu_)uJ zd0xj{sOUOQ^q5<>rH!%pGxVTyZw>wsB?Q|R8a*^1W7qs*JVYs(ZSc^O(AJsA)6#bM zJC7E3Z_)13o?mA~2xha|#H*)q(rGoBi>EvenhIX;yDm(hJUV)PgcF}&i`@dEpV4^!d71Ua$U92mo@_Uxg*0S*wWLFhGC&2^H236XEFR?tHM1o6~M#e2iR!U70AL#Hs1;g~QuztQx z%rT9{K9(ng6T+B&tu)9f(jlQ$!9wue|LPh0ExPJ=|D}|dHbcTr6Go$TV0BLq0;byy z{kz6bzW`{BOHBrxphS%`(1O{!0cVKfK2{%j3Y2+}aQO_RSaIQr?;n;_T=ts~yd>R9 zWubiZLj%CQy^CFj{LKI~m`1HoG7aO1nlmo;erX?qB=LxCU&J^kl(2}7TaeFvzbb*(;X4H&XYbeauXWSGFXxmoV^FpNU_oN;Q2t9bJOxn4{~qijU`p;rB1m5C|Vsg1~ks)UIL|lj)FE*!uNrikicmn z!hsZ=&_0(^r{cA`Yf5^Z1VkO~1rPtMVjTzwoTAV*u|`39sWHb%q%MIcI^HUJ)jX&c zG07bsJU)JuhAzCj;|NBC{Zjqi#n$=B^k@Dk<@Kh~V|=urDXp*d$X_L8>Aq}*sqb^Q zWeBPI2aaMwLjKs4e~&HK(i&Ox=U{5`@A|C*4xT% zVchQS*SkN#d$i|;9yn2J>chF#XlC2*o&LF~+_o$-+wss}(NK$Cm6|vB=Mg!76XnQf zBe8T<>th`*EXWdN1OKOfs(&4#LoROue$Pkh0Yfs7fp!m&bD2s=ml2CUamGfk?yk&?xm+gK!@H!ldsV?MkgRtui@8ZO z?RdP_@o`rL7T@Cdc%(4abG<5tGU+|<@qvivo6M6Oaqca zWgi>1X{(aET_c&?DTia}L*CbV+};ZY+YAbB!Udusc~Kic^i|Et0s#*P#X*BM>}ye4 zPx*~flDcCyNB3QE*ac3r1Wp$^F!EfSU@mD)g=UPE2Vg%r`^K19;v+4N{J@ z<|<$8cMw-Zm4*FoI#31E#u-*auO77Mpos5YAt-qL2Fg*BoINrY($0nC*0yju_U4T7 z=ZR3_T1L-;ku36MWbn`T`kC;lEj{%~&p5_}WM)xBf~~d&>_(rKYfw05rBv{vBE!b} zB!f@V&e+C?^a_ep9`R*t)Ii^8;X{hak#cLztdpQS_;`nY8UP$G|Nc=q0=i2x)(weA zoj?K&!b7G)*=rS)@#XC8qJUbyte|%ACzY@Qd-|03zQ1W74%t9Gu~Z(*q_2j=bK@7Y z?jo;;i<2gf^311hS5DiqBKr_;ov5?ZEvpBWG%7YB-cg}lQ#Zr3DYckU)8-{PKPhTn zG!m2<_+vLGQ>)IXdNp#JoR`KQr=*B2INq(iF{J0%(TA5P|5UdOZ(b^Hj})|FM!xcw zx?hHWb`3trdDWMBPlPN2W>IWG4%1{KnOT(=ylT?E!c}B|G&+$59F~zvmltMpP z9BRt~Sm!+ctKj~~7nRRRtikcg*F650WI@6nwdwP$Wy%l3y+E?S<+t;+1SI06hJmC$ zFe&dKHBn$mb>mdPjq6*8PaHiL5*JdqgYQ;ZD$%c4MlmzUoA-bY@joB(Ind)r#**k6 z`nZ)C|AK)jxTgtquF|n^V1&Y9dKQqga+@jTfTH($CEkT1Xgc?u3^@oVZ5;l=oVAVy zg>0MEM=SZ_A$=KKjQYZu`fZ#`-d9A}JFsm7zFIg^-{ zUoeN*4K{TUGo8+3P7Jp2j!=qSyjQ~u2pFMWUQhD$0nD=+lf)X*`XT1c&|hMJ$&=7P z*vxL7i^6aJ+mKJLI1`4A;)(R9WhKr1U@%cE!YvX>h^pjR00gunJhCps{Sh!O&l;StgTeI!(n2_hUKdxxb#)V*rK8E^0(NJi2SU#Sy*w4c~nBU za(KbcS4$^b*4$FN!V}~C>vAC!9Y9&q8OcNMuW&OwDjxQ_48D&W`}b!-n8r?>$w!2L zNXC*}G-wa~G?)qg{uA``@FKGrTJxNnbKcxmHO<^YC;?T`vn~C*!mn!`k}eRF#ONE% z{>hV(L^+|g3mklHH`p=ghsC?_KyK{EGwRcdl=#D7b$zZp%HxbhN+>!7jx$zJY659M)c4S6-R{7UX1gMzNxE%-U z6>ujP=i|MXY!M`DL--_H^(>%#X@(;uojVdUMNqfhGs(RNXphejeuE5k;Qa;lS^PIO zlt+I+qRZHntf^J?tSkz$netAdIe;Umx%=mAe^tGzewwo5!I)XuPD$rk{@b&cWw7Am znF`Mx{?$;n4U3uAULdgN`R|i<-s78G_IpZyLq{l~?^lhemjChaJJEqo_Pj)vV;>&6 zYp=oOZxc;DD9~X6gx~>AH?$XAqye;rWU;*^a6t?H$XdTJA#=Wd8b=9!NrGjxNiuFs zM50f<1&MS_t{en^9cgCaU|@ka=amhW_PXzJt;;T>-_=%8;`Td2QCl0{-4T!op}`XE zEo%O~MmNPM6vDvYA8_xC{rj?Fi$^}lYluzje5Ort#RoXyzk~8`JZD3R*?;4d<7w1e zw703&BXmu{D13ALQvL&M5_u!mdfS??Ir;#)tAm=CWOCTfdu3ID)M%LK#I~O$zr?6gTtb;*Ni3w z^EB7ke`SLbJ%@MC`F{n}&qM^QJCZBPd z`5}ne!$eD9Kf=G{Eb%@T*h#0VuW522=^SAZZOdDn%V0i4{la)XsJe=Qq;Zx*>5q)-APEj zX>!2nCi4Xw0OTFCScIp{-N#^V>;?-8BK{{XC8qk+tYXh&zTap1$NjQUO+{fEudI+7 zlzl+#L~iT(X?x)qVf!ESrD_y3km0(*FYff$gi<|30VmB22m1JdSlB7n!Btw* zUgGG?i224V(u0Qc!<`#J>^)Zw8AH=}jQAA1m!y5o&4oSb53x&O8p2U)!EKi0Kh=MP z#=LaY;xRK-qzyu8u6^2vpzbrbGVA^DWpK_!51&g)gqap(4 z(%;akJJRd*wqm<YYY4j8QbCN`#M;5TzyZj!fwO{$I>%W&Y!#|zvPR+_fu6E)oZG5q@*x zO1UNlQW+?XwS5Y`a=o^Xy7gAyYSiNIdBxDWH3^-9+?m&Gqe<$uc}ix98!G%hN5G&9 zNrJ?2r_nU(BExsp^jTIR0@7efx=j{14*pxIc{6O&VHn#_K~<}G(u}a|Pa|<4?6jWe zFXi#$K-`TKaV%f!2OQ9@B&E-!)#sdhuO>Pd;=plKP0!jFE_Ry#HEI)Nfy-RdsuS1K zv&d(wo-vC|gWx}jPp+}TMh2%o7v#U8kKf+48~i}3zW8cOpv2Zq2Sih9B(rD30mCy3 zk5ZF17zJtopnKO`P*7bm?$SyitW(z@v-O*N<{^@IeV{_L5i@nI%TsjPj~8x_ZTJkx zW<4czS`DODS5A_u-%|I94hPB#&72x-O{GZ+IPp*4Q$I=*q{71sFE~C0*{NBS6Q0ot zazQliz_rc$#8C>P3+IhC!825_}cHjvT0i*b*SHU{~eZ4ZbVffr$gKO#tVYT zI|xzd8^3Fgnlho8DUmHdj&5+wqw>>bFgHnTlYWe1c|z3-lVr<1FANe$6#F?v3GXKQ zs-U6;y_x@bGKZBik=F$f5d^zW`B zq$PYlnyZ;>P4z6jd;&2H4B0o3`_0`Jc9B!TFk0@5;)HH8Sr*BH!S3qn^&jNIS{|X> zmwPOZaE~)$OrK)CT$X#>C$#)OoqTaU3IM?9@56yy%K_yZv#d@yc}}!hbwoF;VZqj0 zqj%3wqc0?Ai&paf-X60dyu5`tzZr6Nk4VRq2Y`GFYVdt?46rBs_V-o zbDf0zQ3zD*rq#4jSvr1{O?j{IMe50@FkHlC|56Be#0u_5%hLhHd7QaXhGK%txsXkw znB!IesGdI6btd&0z0u6uUz7liadS>?=-aJGMa%hTGPRy$-fs0qAeJ~~x*~PiQ~2QtnrTnMmedmb*_?5YwQ*e|Y~LBJm9Ovf zu;zYQXHt_q*$M!aIRi<9glYE!8fi1xc?UgJDHDR{`{(p^%Z+l+QR3gjyE0-@Mdsf* zGpiUy(IHfhI%&rSghC`MY|)MDHgly}6N9wJx->2)fk7^BUMX${bcV-8HsQ1DGBVF6 zcU-AFO~tEA&UQDbxT0JofXZG_=o=w6iLi&Oav;`G%mN=ol?j?g+vE;W@ zo04Ead$M`7_iHl^0lXh)M`s$1-hK^KzWZ3m0V&MX=B0glYy%;uMz^?Y!SXq2>k$43 z%2gbzJ#zx!DTa^Ok1RLUEahPRtSv_>q=c3-J#pwHqYG(bZcQDL^cCI{huB3oeWxr7 ziF?D@cYkfVWu#QAt_9ZdUSGAKdeRcxmxnc7_O*RtL$Mo!^u`U4ol}fttgN4A%8AnF z3ga3HnUYC;$>D;jQ{RsxS1#R)amn}u_*lZu%MdqUw?SOFfFq?rF~X2{|I{e)AJ=47 zYf2{F7jE-Zs)e^+B9_A_Sxc$Ji3Id>l9_K?c=LvC)^bLY-6JJ86z!oSyMyy`z_avm zG|2xR?4iC1=ljg-!36b~3FYra&w6lGX4w~$jJ`0&j?I=*sK>{L=sSD0KEhemx9?hF zR%KcN{qu&nI6png+S^FJy^O0s?UL#utfAbn0yxSBm4p41r3H(&LdkKx20+SK7X#9B znV|BNjyzKG!0M#%CiT33fJH~rGZ*h|WlI>$|LG60mm;3cxy&@wL^r5zpgxPHuwsxG zgbN#od+LA}#GtIcjT7P9OzzX@m!_u#Y%`OG220Qz0r2mOa#N7+;R3$RByauXZ+~0Ah)kob4jD>Hu87wp`Da<0-ma#@gGMgdYdpW zrWJ)b9&nYqwKi0^YW>i4kyfs9iM{b+R>_+=gQ?%F#LUIhKm`PQhT;&@&fgwDdiTdB z|BDb;Z=}U_c@z(vDFxGkH-7MFwdCLf465cG^Jad|1hefxJnLYEOE_H}6_Kqyr;r^OrzS=$9>H0+5Cs`j}q3RkbXOrK|C ziHp$Wko`^ZtcN@931<9G9hon6$fR=iVq6vXXg3AQ1r2q}rH8&#HTz?g7)YQC0hxY+ zq8O-Zx`nx@I{mR~>bpcqV2-T{JR>uIxqq3PoKC%R4l1oZM`^I6M3fCmWSmb|mC5|z zLbSj=`}l)%N9C+mC#8ok3wE|z8TvKd5j70kV(9WKEhnuHe&49&h462~f-gX~9EZ>) zkmbX{2SYEsO9$>Z;%jqTT)nAcKND5$^FWAHn8U@~7KBwp`|p$=*^j0DY%OxUcU0sX zFFFDNaNHFQ)|B7LvkIF5Iip9LfTK(^URnf|aDQzH<+B=uvfqWawo(2}Xy?FoZCnc9 zOvHDt798QuG7g`tJC-U^K(UWL-qSFS-0$a1Jw)(86f6nf>#7DcB5SzVIkS(Znw+Ww zUTX?eq>3*`FH`WAZiOM0M*Op@+1|@`q^3dr(s*r49JQ4#ISn(ffDr7Sga29d9($0V zyMFu;ak)K~TC_6soxv9TochBx ziOO-b6&HDn*2h*TEt+OLpwoU+R9#$0*bhi~%;Q;;6*w4WsjP{8ZK1s6ZfOiGabO2xN)yL34r^SVIo_F(QpGaLOB00sI<`5Q zgOjQHF}zKQ6sKgZW73xqd6^=q)6{x$_4X7l^|AZ;JA8YU-WOtj)GpkBev*HgE`-2o z=SDye=w`vB0c}*Os*{>Mg?i&l>XGA3q;(bV@alUQB1YEidEP$p1B|n&2@LV=3CS!I z+sbKOjR)J%nOx3xkseX06VM&zH!mBXue#x-7V&WGGSKbf4PUcCvsj~>%qo3-qQl4~ z1$wUEHT~aN!)~k2cS-})DT1nU3r555d+Fv3t#KoOWX%tK%1{`+L6Uqdp{cq1D;jW38-E5`wpTcFJ&Uuztw>KJl$L+J;Hmrqg=$W#qu__6Vo6r9!!h2-I6wskDB}9~iu7bg z67OcJ1BbjyNL0YT$y}3BEq*a28HL!zC!7U30c9av$~h`-%sNs0HU{lu>MzKutr^70 z(~Xm;q&pe7Q&2VVPMsjQ;k6qD_sdTGM7Ob~Kt9lC_6y!eScSow=F7Hou=SwK9MW#w zh_CdRT}i0e2iX%Lwyx4%@|Ta#zw3`O4N@@unp7DPsr}wvPe*}xK4~muDufF!+oT=t zF8=PV+A*DgxLXc1&n@@A1%A&+DzIj_P)uy@ky2Q1Oc+ zmQp7tLkt~wh!pm(b(_Gi|C>;ewH3d>b<*j^}qlzL>zGeXubQ%@6y|o||5{7cEtYpr~ zJ2H3AXL@$=XZqYE3TwW>(By??Q-WOs^(8(4a)H!{xK^HnK}afUHL|1EH7c(Y8E5?) zvi%Iux?8r2&9jKAfSgL&M(7;IcXsP_yQIW)ui&TmTXc9QroVi|-^Px-A=27y`Wqa7 z`0@&SATfGZdYrEJ##7h|N<=r!`4@fuRX6(8D0DO1yP&qTl^AFvwbS5K8ClA5=Ab2_ zMj^~{&AxlyKmVUA-94FD3)REv-|DAX@B+U^bqu&}SnGs6a{>YKhM922C+H&s^!Mv` z@yg)td!Y8n6CPNWSP9&B4_}MOa3ZCgGEe7o>~oXXMorAF;q2~#hI8I>S!>NqUB@VB z=&gX9dFIy{0wM~!2(-r27Q#!MB`fy+`r$$`xO3~qxpPkre0_iC^p2wm5sHEG=V~8p zlz8@ReE$YrSDC)_;`d+goI7&t&V{|^ItRBl23?+>6yid9{+R@qmAg}`k;LM$w%!{B zv$HzTCj{Gi)&1a|vTIkxtxDh$Ng6HpB4$3lTQ%qY@=cq@3vH^uso$i~f*1O*rZ`a{ z;?6J5n=AnQE(KGG+14e8gnP=aeJvAKD6NG`@@0{aYoE6MB0HNb6qWVUQqq}459(Fz zrk;aJE&859za`-F1Dh<4BXY%)37~;UroY!=*y8s;s%>_)fsJGb;5P5{VJ^FHi!Tew z_36xPeoC-a|Jgx2TtO5vh)3VWo7hu&8@%Y!Cp@xDfQj1diUTe7lmc?fT+O~u9 z@ZNk~m5mqtWreyd_`XKrxvyu&JlY{r=;tjS__-}$^?-DZ1IV(Go;4a{eLU-f&^40< zYeg;vhpNT*sv5;Y-w>{}?gr28N1t{Mo^`IJ?hTsl)b2W>5LF-lfs`-1#)0KPW#ll! zd)7KR9OzxV=OCVc7hlTUkrZ_~awvFKvw6^P^W%^6|2}?7)maQIEdd)%JjcsRp>GU| z`w!g(eb@g4s=OhlITTsopF&TK!%4shN>_kkr^e@X6$5XOZXi!)KhYaI1tLiA)T zKBY<35TTV=0^VUqT=^-qCu%RgDI`BhUo$?lwD7htriont-`q;x#S8ry^oK)~Gm3MK zlCMVe^$@qns-4ZJ71=~>cT9=yS|Rt_fJk!9r@)?(*zN(UMSiebUw-)E`D;FyPSxl5 zU(06lUicN3-&r*Faa*+x&`pH@##V?g1J*`14*wGTbQ{VN2zbB^7jW~h*KZyIJed;M z9^^2zk*S;OggHI2dN#n%oc9N93CR`$Vx>Ta(FFtMiT2T_UX?uHi)sN-;mz}JL)Tc*hX9(M`dfhn!moZ<8z2EH_x*DHmd4m7e|;J&zrm-uhemr2TC ze7)+zZZP=5xNB58lUY$hR|{++PXR-5M3h?h(`M3{{u%wWi1i7U|No!P_7FR6qLl1< z4>XmxdtbL@DcBLXw!rb#FNzt#+yBmi5v8ea^L4AsMa)MFIOWVja-g1ASK}_;_L}jN z)CmE3hawWEycW8vs*dHVY*N0!)j}=H&F0C!BotUTl8@9=MHLo_G}S_50}$G0G6Zf;1W2La}xe;1U1m-=scKHkAw#^P0e|B^h1HNitSl-u*bsdl@;B{2o= zrA&VlIgl{iYu^0PCMyxUzTAZNF{^!9-e1{rjfvIBiR2mq;&fW$Pv_8vFj7g%;%ep7LTw!P2~17Z1OqG9cTp@ zVmX;N^)m(@f;|LFDo0fW$^y<8YOropk!yD_(;~az0g#Sicd=cc1?u+zO}~ZKW;Y~0 zehcBj@6z85E)Hyp>A-z|6^ow_wfxNK6pbdVk7OuqeI&YD*B-`kI5kehCx75lEdyJM zUyaQ5OQ@c5T<(b#U&lCEZCdK4$^zR_@l*o7&V)w$vTjz3R{!g_`fe(i3{J!qnGO%U znm+fNTOy|)x*HrA&=ga^t_#dPUIzZhT)V{7y*_|*F85E zbMt>3*Z&2__*f2fZ7*5}5!}8ToGT)qCZs%R_;N)Xvy;)Qs&wO@*tn;^p&+JPH2QJ< z;hk0yiTI*I@|?XpQKdJ@cKj6cvDlPeBsr=ri{6oc2zGoG9t8e|+lNld_$f;qE)JMF zm?7q{*uNLZ)9Ez3s?3cn`71tkHr1KtG}nvusy$@@GE0s^?*DbQGWGKn%mI`6#>YD* z*5^ZWGC{{B;FO`d`cM>L7N41Utrs_N_P^mA?OG)%7*afp)|CA7O*Iv9Kx~=7K}LxjCn4+0x?$d^ zSM5ip#&m~QNWA88FVScv{~KS5is!4{79P{IfAI`o zEOD@}v;X5cqYWo1w-Kd3qd0-#d)6Lmt-Z;J#VZ`PS-aft8ZxRj{8tFZ#nwCo#m8rp zCR_hW#L9HMB_yU`f?W>5-faSNX#$JSoKV}9A1OsK3HS@LskyvMbacV?XjvEDBOg#`sMe7$Ro#JZjHqyGpt-%q;eUF=nCzubS8MqBzn z^Cb$f1J;cPY4yMl{{k`t$;szoI~xyV>u$ zD}eiYk_Rp%U;dDK{r*#=AhCjsh>IoYZR);b_98uFDwe?JgJ zYF7NeF3SRTkOISieP#g@Ap(*g4*iqQeaaLgP`$Zv%omLD74@M`Q-w4b5TqI z{_9@w>n5v{FswCTh_2&0kFmt)VRc2g(KkZtM?KCryB0(tsQ%* z5pr^^5SvvFkEN$_Fg*u>tk8983~SX-JFAFf0Hd=Vm6!q2jZ1rX_#x|6!*#{}h)OPK zgVU>Gq4DVf{Kh!WC}$V9o2i)}<6X;-=v>bO6J4h=#1FH+hV;pz1wiT&RAfPU3Y|J5 z^E>UGxF5XfE0AI?04e5%!o=4xEXG@-clvKm{;hVj#ONR{=j{ULN#Tq%2JjswbI!vy z4^9jKvC=0@~Tss^G?Rq4TU&%83z z-{KIg3@~B`1oRj)n@edT!`^b<*A#K<-pYdG*M0TpS>xl2AUhvSjWqhXIc8oZ4le{! zzN>NgJ;0AzDRj{9+l*PPp3P6ye_Smuyp_HXe~CMLa0Vw>PhKq6mleqO8~FbpPwyR- zHhQ_%rJZWe^u|l0)=NZ@U-aobY@;>oj7oTbUd&C8OM=Z z?JWFPiAv-;DAhwRtQ6Z{+dAya&8IlA9Ju-XH_GVvrN(2c_611h(<<=)J^7XYJ$Vrm z?quFOu4Uhn?z zzjHhAFRLPDV%$?>c>o^_s;GL6w^!o=Yv51d?+-74HuybDe(p~=(P=>O%zBDe*7iH7q>C4`yrXS!Z4)}@! zb$5m`_^t2)UA@TtSqczNa>($e8b>*c+Jt{KTh0I1Yju%ch+e^~jGBeYV_RQ*GTBi_ zMSnAQVXRr}H>YXBhmg(Ond?KLAPfHe$}HLPSM6WH>TfoADm_#FiQGiP{$^q@RC0F* zwbBa{GlbGmx(CHAqyWiy*x%qsZAlDYR^UJ;m9g8*6+0oim(K1Kh{K{jhi3! zl%uMV;%5Qes>5~Wf3iFcr#@e!YGq`{91KYs-LDp)kllp!ORD>^{F_l*K^rq@CWY8U zqG^$C(gN5HQ-Q2N5r94#Q}X;dLE#bMldND9gagDA)DP2)t>;YSc$({Qa(Mx5Y+o~} zriGMGrsCvy9!5M(SwUuT6L|gJD1OhvDBkc9;jHMJ9fC|T^q3Qwx^Jc&!shC+uj9z= zoyi>b@@_#KZj@z+UQHw%7g?UxkBBM3qw~sKA5zzX23LW&=;>h(bK9&fjOoj-ugWG$ zgKZ#5DX`2(uz>QsEW!J%X)^U34Nzg>(Mx*ULRuUM3KW}YE-oO}@-(+~1lRq%3MHjS zkc3IbY1GIe`SA3ZG>`28L|Ov3Ogoplz3#F4iv?=<37NbZ6T*Pyy;_y*SI`=;$y6GH zw?GSw6$HH6WGF1IourN1(OgUwcS85BcE?@Esb$g~cGb8&09`3G9j@Uq7ZTYSW;1n2 z{z5v3B=2lK!%q!Y;Y){@3cQyB)S8IR>{!!ZwlgG7kVBK|*1OR%a_*VFM`le*qoX+r zcGIgW;f2#+;kJq53UYZCxiZ|>m@U6lw*B4HX^Y>WmeHL1m_uLfZU3@@ikn;zSvwoE zrL%=nQwNmlr1x!moz^^AA2(?tk%a46uRR^}%RBC8MDKFJhrvsh#@AMYt!k@w&n`ON z8z_ZE>}(u#!k-~+$6&+%i#lY{{_@xgy?W4Jhx2oDYEyFhMU-2z$t+Htsqc_Au<+4x zd~^2ML66O`;JWa{GEQi^5haUab=W>NK$zTcq4x7&BgmxtT>4sVUhe{P`ViYYOkEC7 z`FG&1K6A_uaKxDDcueT{VeVO^kW`I$Zs4`O&9z|mN(O2mmdUZ4*?Q(TCKY2LF8Eq) zvtc^Xb=Bd8(aZ}YBM7a)UcH;eeQ4BQ&j}CCn>=WnWmPnm#c}hRCaXX70XG*0?H8Tx z6&d`{lZ5b+mX{286Dx%_CeP08PM3E^)=L%CA8i`*`~C1ba(`W%BQ{CA3WjFRwCrZr z51=E-yWhCr_6sC5)+8x2D9*M}-0X1+aXK%JgjmG8t>iW8tOnKK!50%NnkxMyaM;s# z5-Y=4I^s>Hh|KJkmvaifkH28wli0qANa1C%$QbQ%Vg?dQfDv@Y{dX-sBlOZIG$@OX z0$rMO5Bl^#LYpwcp-SHIoL02-#sM#j_i5zH;Lq!~-uYN~gEyqLUTxyGZDGE!9aN9l zPLu-j>O11NH_6VAX$74rGien5vmdrhY`vCP8OSt18Xq1Ph$mM@3v75{kYAS?j@>dg zbw9_Cl|lZE^dq_q!}BJcdH0PxTi?(E)+Z9)6E>R?wZ~kMx6m=?wR}r%_E21beck6H zuakYOlIMdRA~l=+CJhVB&Gm?ic_}qzMQm>dUY39bn3PP?qN@<@tVEMNsIOu{aajGAvgltqs zg+HTphChT$!u^_kmjs5W3qp69x_O--wL-cF(?98ZG8Fwvtp}JT~ z@qt>3F;6|rUW@tK00ozPeIcm#TsCQ2Oc+$+`?(-jK}Q-)QI&shZGWEuFpiSc#ezUSG+}Oy8L+XQKqpW016n6~MjP5~1Z`_O1n<+)0F%A>JVo z${|7Hs0EiK8WPQIH-2n-3M2F1or~#?RzL@w9M^pZe_&qMFqbf32aYFlP+!8^9+S~Z zu(#zU*bsvIFkd;s2kJr@<1A5T3mdQ>uep~Ujz*|OZz)-sph%49Er*SkhWe3@${xi8 z`unVrd{9sRI`K!Mp5vP(!{hqLLKh!&0|m;MXP&s|-v zKSmJIbI&$+Mi-g>(N0bSI3{6vghZcXsCN8DdKa?&sQA>;Q?6p91tk;qvjq$#bLJT> zfR8UE$Lxq$kwFHYrS*XXyX+?=FLS$ZWu~>^OZLCfE3~6s>JSY@if5|LX_otgUJ?8s zL0clEr$kfs-w8{SVv=ek4gL#W_wVRvLE&Id>gF@6BDxoUIgqYjvtWLUR{Sn;jY`vB zD=lW1z*nVla|5FPsRW*}lkh(FH+&?xQu>LJ$d6pnuE9-(SLzf`=xYlg#1oR5v6&<{ z!Q0e^-J?9GUNE-&tlM5g)$&${PF(}EMq%@enx~)-{oHu6Y`Ja#w~OUv*3y(zjLe1J!+T;gAo6m+ijH_gn+H=?P%5=-Ec+6K^OMXTjcQm8(P} z}aXzO#SSCq# z$Tqo!L?B=U)H+6kB>?do>?=I!h3s64-fzW{q{<`ZwU7hZsR~EV{;qI}t7Z1m#Ma)P z21{aHuVq(6#7PRw!Z1=HJ*Neul6Yh3{xZLN;71`UTS@X+YS`Q92To52L*z3(q9wLQ$eTDrobL{AJ z=EEOFqSdLDf%@|>A5u|r_LUyL@YQ&_t=8ICDWd%u2-Ih-XeiNn{rJAY>J_{1{Rs>! zSw!X5i(5%`)pYL5!PT5R?$<%SY*^*$mjW*KEiw%FDZUAg%??ACJS*#8a6!BbAZ3Sv z;*HkRX4AUmD?H4{b&HO-1)M?wU-4|-6#;j=x-auZ#wi3ZLDHD@n*|3ggC(x6NhwwRSyN!Q_8~?D)k_;#* zL04=#-c03lQ@Z7<=lRg)YAC#=i3E{-0W>A+`mUd!!qA8B9nI{30YnIeG~7 zt5&uVnbLo1YNYXc0W7!aWcnp_!WWQLV1)T%0ik550^$F5Jj3LheYaMA&gjBBE7?X1 z*L-YA@btd?tgAMxl}E5lRWw3AI{q^;wSDl;E4IA5pGGk1bF1uY?sHVBf8kN1w$@<& z#7-K)=L}j6oB07^ZCQ1Q(~Xt4k}qT#21r@-iAB19%&gN~H7yH&O**)FMzbQN$wgo! zH*3;jBsLW%gYUiuJ`tx;D_;2LWG`v^&^qJ^rFFZ9eC_d6r{P}G zifuAhWg8`v>#xk+?n9}-j*6`2?gIujCF=|m%SPan*3*p{5ij9Bn|HOM!qf5yS;xW* z@sn|)VG?1{uB#%U%WJhFv&#>=ewV%~aXNmuPm*YVnzfOvp^!*ms!&c?o{r-gb~Nl8 zv*8=D4bTnP%rL@3%ga(zmn7_KBv(WzA3}NI(xbJ(^`6cxBQhq8>zV!a=&Xgi2gwnv z2xXh-@SK!{Dq2%VevP?HvTsW%`rT*{Bo`kd|FI|IcM51To$#=R@yzliB*k=5GHA+X zUJSKdz1idnaEvrzOO{v1ijLdoL4V-uvt+7h4`RZH8aKsH-6R)>9yxXL#NyzroBiT9 z^otzdZ$W_b=<1z+JDjg|lr}g?+nLWwDi#K*k+O!NIv3Tji9fj>2LtybTWPxJ1+%5z zihh>PXP^PvR~8Lvb__h-VEFSet?TDZVG}*mQ{*8B8AtvQW~w4@4d`IGHyEccPV1c+ z2n~k*ZO>Cr_%Iw)w?*B?=U1c1n8H>^0ZW2=e2%*B*DA;zbF>gI-p^oVrmK|23Ap&d zUKO3B_Rg(PjR33rzMHisn0^(tHS4E7I`3_~UsO8MRTRaR6a7@)ZHQAADC! zBD!4NA*&nwH;SMGC)RFf8)TOOu0}%~4KaZ+?&k!X4`W?wsiL_D+T;B8pkJh)57YDv zh=(l1O_niHHp<9?!EFc;wMca`f| zGT8uarr24Hj?~VKwx8ge+YS7h)ZcAtVD;-MY+w@+G77w68!eoaW8H3yZ{R{wBGUqa z+YeBJkcy-0i-dewkcHQbS{jMfVltlC6zL{Kx^t^tiUdO ztMlBg0`t%Vt)vjOq-wD2grW{{}q=lC56`HITDCcYN2cQ4w1FCdtiN=up`t<39_ZNa(| zmX)O$HssDZo; z(MhsXzE2V?md)Vuk?A5)__d7p*i%XkYHQurt%eyfAI|PAXJY0E;@-d~5Li}xC3^=5 zhF;X$qCcbPgawMmDWyAM#uB8Ir$~!>!wEpy_X?vWJP5`5vaeg zZLM?b!p5^%U~p?|EqH@F9@Txk1#HFRgK=vkJ;8Z%M73ws=Etmi^2YLKg8TbU_qB0l zHUx6T1g579gC)%npA7W}bYJ%D7Q&oec!9A^FtPd^l?pfeHptQ`kf3FRx%!Fq)VpD1 zY_)<{NGVJ|S%$tPC}}X8zKqlGZPF;!^_NfLUTc6^Nqh7-?9#dg8B6@BXjU{1h3^&E zm^YoMW*c~9W{DLLfUgh-BcO^apk-?0oWiE<$v|fT>_sqYA|rYzqIY;Ccyg^nOKmNn z0zB?U|B{zm z8%OR&eV@r90PSm$xIvi+CI7y<(O+u@H>so(^*#@pT7YHOG11cgm4m{$b?#mWxM z0x&e2!H=<7O#!ubjF%HxTLW7Jmb;SdGhA-C@yUIdVwE3R^x8nsV7;?CoL|B$+U*&PqE-6f#Qj1#Ru9V7_!z5 z2Z z38FHabU+8PqhOwD)(wE}=TWf@yTY6v3+Zoe{L26SWSSLz< z#y}Kf+nBOsS9@CDd^>x!^N~PHiQg9|NG^AgBBh?p^{w4DAw61w6NqN?KA(th73vgp z*qN$=%hKpdv80Gj`io_|^*w7@Gc#qV>W@CTS4{f+lJ-s)sid!~mH*h#H$X4gDryAi zbo@>_|CjM-mJ+Fj%YDn^KjLZhzaqaN+-o6;tVt9K{B=uauM76bpxNDhi4AW>h0_G; zirOEK#OuhO&Z{$Ny6AcG3+M>xrYyhj1@GI(n?!Dr0U9mm!RUN@{1q_s2hBYz) zMx@_=;yIBt74jl$n%IT-f!j;~giSVGN%Q*?zy@G_PLU6Oli0iI3qPA_swWsYe~} zqi!JuP7MkP8jpO;O@KlEQrJXw$ZCsyQ)h6?c-_Fu_gTM8hSi?wo$fpY;Z=p@yA6Ol zW5>0gMwr&!lvex`3e*N~Pw?nz4u7n3K2&UWG1MZoq~e#;M+wzxe)D9P40&1u6aKw& zWkGUeU7)-`c?z#nyS#NhCdwen+?KW!Ep8b&PjqA~9s2>vE2q?`1%T++zq$ttL2EIY zP?BO!yXoZZWlkC+xMVOEu-Rzv+|iJT{jcFaaUMd9K{EX|P?)&lMmbtPKZr80u|)ZP z47k6aNB{othx$sYWr@HD#68v#*=7lNUI4wjq@@#tZpI&0hZ<&QriECpxU=J1MiW%f zGokjo7Ah<@%Hx{&iQJf1()a~j7K`xoS|APK;&w4*feX%u(q`jE$DBM9Nb;u$Re#R& z&W=BTwa$w?kjBq$e3P4S*p5^srO;|6jrNv-kCs&&W&e!%QDSK%n@sF2N)uYgm})-^ zy!14(6P-uRY4&AK?fLP{i2sIC;fi%dz?E>13M_9xZo$$uMH^`XwXN%x&bSZF;NV6K z*ZWnx$S0(J$7oN?g)5&H0$s+VDwdY&x9l_3@T7>+Hl9=tRy}Kawh`kSzW0=*x{GN`>`~5Cp5ib0(QWzp!H=q=hZX_? z0FBvV zIOJKdO$?km8syocd5GO5>G+FmrS`3i{Yf6J(fMQ4sfM_$&C7X0rn<~fBgnmf6nepv z4jQ@8;StU+M(36s zz4HN`ATZsk-#>$P5qt|1mh%+4x=DRPN8aaHe`Jy1a(_2?{d57lYZ)?Gp0Na651)2) z!nAb;H}hlbo5~G$=<}*ja8<$>n{1X75(JmgXz3PS-le4m?s$zv{(Z=fs0(#&d*V!X`Ot%o!Gts z7a_&O%K++!YBm!YSCr}q3Rk4bidsgmuu3WpEz3nKk@3OdJcEAETGAYv!rhuN08dg!qmN`ze!A#)j>!k2{8qQ{F9Ev;99U7;%t zHw_5cZ=MsLk};HPspZ#DUgqb79F*_8AJ+I{#G&xYFAl1GCGKZbC93+6 z@{X-m{xU4EkDh~cYxFFwE_}zl+Tzl9@o0EO=5gmbKZHcp306f0MOHpCZZR-s5ko04 zGrG?-w%&+L-H=R411uW|hf%qky*06+=jq`a>gE>+o1{Sr-n(NQ%5JhGq%84A=n8^Z zdrLUBaDV3706MGxH2PMi{Tam`^MGR=F1nPUj5Cmr#2u7#X;S^Ot>gQFov8MSICS z`Y~ZQ2kzG%ICl9%^fvX(pj!}#gjgZp^1{c-QxcJvU(4-Fel|l_iXQW;-EDGNF zJ1*h9WwyUlQi8UI;QQ%TvB(H1QjM0u50}-qyY0V)m0RoR;*;WvkB%aVn!C|1QXghr zlbEsX70%GG*f9o=Z@~FLcwnl@I_wXFO(3N|UP(Ps&^Mk`lEH+UEB)1%Toy>&;#8mCd@q z?LlVP2b60pOK|paqjGEaZ?~=^E9$aeCHHpBI{kg80`81D^!BCG*vZORfjpDc`PAY> zGROwTxv9<`iBU3H^SRXcAPre9N%me{zUqw$RgT_mxVk()Tz1&17({fuxdLTaKlZQx z!hfTUB?~%8N0RWiah0q0fiu+5bW0#wdLHa!I<+j3ew~P~6SC z1e_GE!6J_qCFe#4^S&!Q0hnD%!x%U2Xd*s&B*<0ed&u!C6o1kcilMP(+nG+Eb06$Q zK~>p{ZWAo88?77F>&Ze{oA2u(j7VA9&1Fa5t={44&Awi6j%rftd*+0Dk4lZvZbOdx zQ!-=){gQoM=&(dJq!ZRvMBxf@nZ^;DihGM-R?v z^}0FKdoHYBeiG#q5Tm(jQ+SSBao)4bP!cKJox-fK&nm1hsS1=FDz^p~)m@STuRw>h z6F2YbEJn0PKD!bl6_WVSDl+qHxdgW5sXu;0%nXBBou?GRH&cII0z8(VI$mcSx3bG; z<;vFpZ&_=iR?+?;z0&HWf|&eYUXI;np7Wh8msA81ol|@LKz#!>W$&KNK;D%bSe1@N z$3tu6kK#B}0Cy^TI9NO_&^((={e|5$O!>)(Jogydl7vn$Oui1B4|JpfCQU3IUmIv_ z>E=fMS9-xWeuS%FQ`>N5&;~7Ib6A+N;TtVa+;n!Rl;jES(PJE(xQ9wqSYlJ-=b+ZC4|&;t12a;Z zg12^hX&s5K5HKp*l)M_xFwPR`1xcAe&1CktbQrTC(anw)ZkNM981)nPvqdvgg&o=e zez@Wio|8TzKO3JU>59hU%0fSI5@dN)Y4$VL^&SVLS-;;r{~WtF^R`ODJT)}lT#TU% z&$|W=I2lhtiD@`o!>Pf+Pg!S5^gXAqh=z2&Ql$Jm2lycF=dAgOy|n1hFW_tqgE-u3 zGuY-!1aL8${Rqmj>}2M?jA&-C915)epB@cxdS_a|O+;oPzOcXGA@@~ zl%-B~^7G8Tqv8Vb;osJ)pxQeZ_N<&(GqC#GyvQ$rFxJ->eWvB#?U-&M0&yl&IX2Xq zWT8Srry0%{%BB=f?fh#}!g~y!y-3u+{JSfgyqOmO}xn#A97v zE9WONI263N!+>FT@8%1LWsMrb>yw45BWQ?Ek@BP zPNXb6HQ;%C+-Y*RABk#*cG~xsTQnYd)E*u$Fzgu5k5*lIu&OJF?1?_us^r~pa*O^=2w zGD%2Ygg=N4%o-_-$!u!eh6~C$h;NOH6BGuEP-J1_esk4nqc!BE|F`4u`bKb)9d@|wfoa4PR_%~$7VEWJ5*7bQybatdqV?Nz$rh_Ks zX^q#x%QgY7)GBWLK;@2Jx`pOTgZWir{EUpnK*J%D&mcSteqsIoD?)${^`tDHsLgQ` z!~Fo5iJ~sWD&$30Odvauac4r>v7?WW0b~5g4{S1z$ zH?d-rSl`cX7&|Nm0FdUV(aVrm1iDV==WC#1*mCoXlwj&Acx)tXK2Y}_C`|KNk2gK% zP}rCxI=eNKUO14!Q)7f=sI5x`yCP#G!CTy2N96OeoX$XAK^wsp>Wj)4Ej74p3a~~# z<}|tjG3$oVUc81*cX&BD8?#%Fws86UBGrq1h(GFW_(|9A5WPT>|uk)_mHN5!9 zazbeatVA&Q2_b+<5PuJ@`@Q!~qe|z-X-tZdng`(qR?|C?%jgP=6XI;zf}-OhReK0K z(Ht6Y+)MgOOYlLb^G_$%2Kh%x9PvA?*wWrne&jKQX)GO$07fGmH@um~?sKb(NUh2S z7hGTpF*6aqD$j4<_?Gdj-bq_H1oRF*afQ(vM$0Pj+cI}w{9>g#%7~0%H&*cr{G zZ->B>zjmQZjK!8=0QB>G0!D{3X}d9|n-5KY7Y()C!!eiPaGlp;zJ)w^GeqiGOjC5P zK%z|THdx!(t$WN0854r#ejTdh-P(XxRtLQdi8+!I_Vud|QAM+rK__ikd8{KMr9zh( z1LAk@TCH*6Z5fU$Kn9~mQah=YP#&z6NIYV-64lXiNpV4=-`v28cH~iI*w6w^q%IIP zNlmrt>Yk@BSveBk3x`k(%2p0pEc53F;J!Wz>6t(m+^XGlR$QRuhHsZTFfH(A{K_`L z^h1~`HnG0qz@X)B6m!?cx5;bq_LIV(+zZXbr;C7w)Q1{*0f-+-Wk~H&%XAs`-%$Io zY4XAAgs%kcSVc#rP?{E)wYWf8$lq?X zp;t7*nm5po^r;K-kt@Za+k1I**gA)v&K|{UN@^Q#r&wh>zS&U$z(!s~CV3;@vUa1u z71UGj37JoPy4UYQqor`S(8cFtzUy=J9It0~ne^eVjjbN$j4-3sNHI?mc&l-U>H$yl zKGvvEAPH@nd27=Tw~m~bAvU*=x@lQyM^M6mHsK~~aj>(MpI!Lgv5%QMM`vMp4=(5EaC?(x^9P%7Goh-%h?tRM$b_th^IeJ#`y;=$+i{p9xNMS1Bya zmN&>4Q%1$LYL1ntVMIh!R&ZE{49mcqf?7ljB7bvGJ{TQ91;~9+r&x9#xl<51MdV$T1}9izS5yn6oVx3ah89!-P8s z1aRoZk}-}PT8(5IFB^Git`QK_gGro}y_`;(N{i!)MekOlb1A{vTW*ro9j#5Z&qct7 z<-xGsStUU4Fh>EwIDox71oeKiVVs@l{k zy83DJjtd`lfnSo#Ua{+BdBN#3%DX`#JmyUW^-$N=Q3QA=_deQS8wr@=}bU zl{{$B%S!r$V|@9ttF(Nr;$`wc&UQil`y70F;6O`p zmb+9y=shQ>GCH*ye7Dd7?Vk_*li_GL@QTp=5cnswZ*OJC)0bJRC$?-S7?hOM|HD#S z)@E5)8MKJ|6s{F{A(p8cb`d9HEuDH9f!*@FBF$A)LHh44m&6`LR&x_PWBdJ!=fKQFxl2>i6|25g=5m8weeW1)H>bl z0&ZQC$96<*OZM+_B{VDp^l;Q__jFXtYyud-Zw_#aTWho#V?=(MD+XSHYi`3)?G~rc z=;Xx|{{o68yWlV{nOhX5)=p5uPbqvQ5GMGGN(}Lw2yxC zMN0LtuX_OReNQB50fXsZ*=HaBT$7ojU(QS8?{qh<^E)FF^4ru*lycmC>LCd%j+R|q zS)s-rlc*DV!uy}nK98FWui3DUjc-P?n?{}n$H(k5Dhxb% z(v_xzfjooX##P0I=j)@H_q{ZIB8PkwJhyaS6~x_@kvHc>$c5}AT>M-}h@&F5KY6}3 zSp-DI2dH98fnNs{fUL5La!qKI%<0p0Krdt15s$?QdP2VEt1ys6fa&;$j1o|uYr=g* zc>a-d8&j%LcRswjyVJ#qRg%Yq22l7oQ9!(7@haf+qWqrt;s`oYeQ*+uGSg>I>Wm!OS_8Jdyrmz zZ?Ty74cYwk=`(^9)OmN}KE+z&j?e=V3wIm6n8j)P!C%*qvcVsNYStPq@h~DDN(y0br>ioU zlnUGnG;f*2UFYtswIM{NU6%3XQ_b`1ufEUC-oI(NbF&fbbMne_mQWep20Qpe_*HB5;QDC^SHSu@1;sR~W{>{M#!!t?K*wD+pMMu)<7f{fd=QtrVVrjBP;NIwRp0 z-#2@HCwa~c9{4q4G z;?_8~xBF{*>!AGTo9Jw{fNZuU{|&3EoxCZnJ^TS$*)g;g)l1Tw9jFQn?22*U=VL#NQBgIr`yf9Txp0Q=x z!nwcKVAI7PEu)Jq8QT_T4@lady%1(wPcq&$X(^A!?@i0j`n%@YhgGv?|G~j$Yw({(u_4Dh{L6u1 zt2nP%BUK7z0aS77+=lbBvGtqdu)mus$gRSdqmkjCM;zi>$IEM}Pw%pvqNNd~xiATL zzeNnMFTtby4FCQ5!e{{cTsFk-Z(6TBa*6(dO=8>yMGy*KU`sWUZ5?a%)*|0D05~6t z)>B%C8{_nv!IRU8MJik`t=D=6_$yXPM}PPoRSXG{%3M86*G?x|#;vHvQyRpX!{F5) zC%;zw30{G$wmE_?{m>)n3PPktUmgPm%LgB5+xWf#*Pn%JzY3r zH3KaLPgzSejjdZ&B@}k@FJRFp6cMfegFU%z0UMGJ(;G?}G)ige2(%jiY`^?xK?(SQ zA&PmXMT?aR-Z(%0p`I?8Q|KnQDf4CTSmHxYb5V9!OoGvZf-06NS&(+Awn1}Kl{*pM zhZ7pLDF(f_FZMl=FpZ}ycoP*K)W6tR|7$XAcP(%VU{UXx8w>0PoP6>WV(BW6?>tHv z0kh5IJw&(MB5hUPD6VDv_sTRLbRqWZ+it&GibU|-7erLef8g{2tdkZ=izc$1qxV&? zj&t(=l_}2Mg-0r}#u=6awDi3Vy6$}lht#C)`i?BD4x~wwpmVv=qeP?E7RE59i_lV~ zX-1N0|DlloTC{M}Iy-rUN3OXK8hzUSCk4C1bZ|SjqRkoVsWh9wVxW&Ea2XE%ruNVeFnU+DKoaJY&F%ri^TTy?5-7k z7V|sbz>F8*cf~tns=#0eL5v(nJ=4`@OawM%U9jx7*tn6%REkC)o5?LY0_U1+Ttqb2 zt|YqB8~PrC$C2Sxix{?1^l>9<=|d`@MBSTPyozsx*8=C-XK=SU91E|N%cDwj)Z62d zMQI7NoFGC|@73eK*4%=hkj;U7V?mjS;T3#wmg_v4bZE+(-0GOFiC*L>&CjP`&7>P{ z*j`Bl=)3D~1**<2mL2M1*^oMhzQ#@Rw_MLhws~&F*v;PEGJ0KWW7V{NRAc6W23_!< zMj3P>(?`44?b_JLUin{SKqvp!wN>GjYhP~^LrWkGb{z&MJRrjE7al=N zj3KjrhE~0BN4jqvA?asbvwIA z|IHY-LXN^Wm2oxr7F?MG{66XXGvKEEv?utr4~hN#tJtlD@z+7qrx;8r|*-rn|rDP&u`|l|xVNvnU z*J8#k%D4^%%aVP5G0l$pzin9_c^Vs%tL4tnCXqJQz`kYWf=T%>m$XAx?~FyPclCor zXZ$r`%qcyhrFHE!{54$Cg^c4$;a2{)`Bcjt9rx_tZ3x27)7OU0ddxQ4_uK+@juT~= zCZe(o_0@M9ICu?r6M=5FsADAn2JwxI=tI;AWc7RY$ar@2Z_7g1FA)~s%!!}yaleeK zk2mTtyFX_CVqLPR_j4>26f+*d4F0SFwpJ&CHtQV!HdpPsrdyrKTzC(fZXNsf&~oji z#br0^PesHNgkOIUBJL#XPWE1-8rfCrNWF^kQazU&@qK2Yx8^2i{cg01#Inj^I{ZWO zg)1#5`*}B`DuepGoEf!pjKK+VIT)`p$`B22`t7aesnO0zc(TUZLhm53XzBZaNQy=c zUKrF*1Q5VLXC`!og;}?u32ZJ4Cry)tS0T%+34tpi31vwHDG;4wzp5iJ{9^V}7m@5IYk@*+4Tvo^DYo)M!x4;{fsxGtAcD2W`JgNUP+nIOy zNLVS%3e*@TO%%|iMa;VBnOO7xy~)2hFlLB^$O+&F*z(}&D2sOCo*Qq3)Kng1!cik= zfaty@n(GLS01tPNfS1Uw)PxR(Gd+J&bT4b2vI^syv>6Gu3Dz=xnUZADdjiGXVv!_C zrQ3U_%5)^X{LNdLO(yO>RrU?S$li0YXuuAo%+(?54bt1Q%b(Ww_m4^AjUY1!$ERj7 z=)wKy^bf}e%kM(M4AHxM=Vn&vYBUc}v#~oFFNrQa?vtnrN!}$k+CQhge5W8;Bjck{ zO++QnE2n5o{tDbMI8oT~;M!|0xW0FUqmLBH$YC6y`Ww zg)}K!pQFtP0My@JawVAM$cb6~)4)Nv^Ouq7ZaYe_?mnxhZf>-&EhRU{&Ac(Yl>?!v zmQ|=)k@VGUwrN7x$xK6cbJ4DA{f-FRK973E_6dKVln;^0oS$`5Y8;BIn8%nm)2Wn% zJ%Dm_iE`%W=yMXxO$24!7&k;=YOK>4WO_ob{n?GFM3BT7CWi{hVj6z8a=p;a`*+W3NnqIcK z))AN)5~T2O`FBr6X;+0*1b0VJD(0T~$F-n8xwM&s$9+UAmL&Xy@OCJZ*k5no8@CiQ#^SVs)WL$Y4e(mkFH^+xa9 z_|{lu{{@6TIvs_uR_bri5<~_8K>h!ZqjQgE!u$VtZb?EC%55P@E=xD}Eh#FME+6E! zRgxt4%h<(o3%M+jRW1uja!bkG=1x}b%zYT$SGpuaISa1wmb{9il#;Ge;ao zkB{B4k*mL4>a8(TT?c;}V#s6x{cvj{^Hm93DK#LC$I_GykKqVq4 zye-TEF#{IC5DGk5UPdM9!f&sVLk_`E&@TrzCC6}_hY=& z{o+t&rl5LvAyz%`z)r-f)S>m!@xNr9@qHKe&C)Kg1a?Y()1Pr)1$?U-cM-4m1(4LQ zsf^(lkqn3DWEFl2m^Sv{Y0E;CW+z)z`DmM~N3f$|2}$MsHGrdDw@eMJ=D~qz9Qg9a z;@=P%K8dfj(2s1&Q!NQ!m#^skgexYD1L4&Q@cA%x!~xVYaA$m{v1h|tcGHxCE^sd< zJ%JC^+#xYrmukq-d!M6smZ9aV&O+xNak7KCZ~p;D;E~Jm7cuQii5HKA?NeO;mMK~b zo)L8fJm=rAGb2j84sq>Lc4?H#k^}c}WQM~^=HX$9o7wgzty<2C^KV^o^vLbJInty%9XfRGlU;u-nO_5`>}~B zLIt5@+V{dDvtX$;9XsUX<~LT=`+1{Vx4Aw6<%IqQGV`ZOKZBpQq4+`VI`=+V?|uz) z-8jrlXy)!iI17XZWhxFT{I`q-I-jAP*idNC3(ROss+2k!3Kjv)t1*F|WU)S#Udbw} z-y!EfH~utDk0jwI)b`%5yNEpVD^^EG^|Mcp-RYJ=&SEHn-@#+6WQhnBfbY-A9_|Aj zyyl;?k2(Om_YbrAh%V-5#QQtZBjMyyei26r5Ov_WA5DfX{kUY zdgntdWD~UfI!At(NWV`cllV9S6-J-UpvsUpbp6WI=ehx0MY9|(Mo=jTrZp9qL2mL= zHZa78|3g?{kt3JS5$mhTPi4)GVb8g%m z-!$+5a&qtV+WA*wGPo~p)4{T#e*k=;({#I0Ds|gw-KzejI?tW$bg7vv%o^O12Fn^J zac&DcQx9Z-ps`yY1gnmr`OVOI@XmjKp-DN9SeZXhz+@}iHErI~8jSa}kB+{93>~e1 zYm-$8|0vTHTK~h#cJCLwk@v>bbV4Vibvt!df?-vg$uCzp@W(9wUO}F)L+xIJN4-*F zC*<5&2mtF+QV;6>!hHZ%V0H8Qq@mN)F)G0J8x$nROS-IVa*#H4VWhNSRkQ~ar+h&^ z_jWxeU8B_kA&eO2?%N-(-^AYj>vW@gn%A~^cYly``W`|Uq2<3mTU9P3e&Bf7$W1qcr(hrnl(V+M)25o?csK_H~?*L>ee`Glw>0AMd^ z6$UbyDsH@i-!uerD4~>Ulp5tiWTPpwPcbYA*cNaB^m1b42uC0>{e8d6U*_NL8X!Ug z@x$zXjXvV<|5~ZHnvKl|1^5^Cb{*!bda;9lTnKZtN7u&ln?bQL-7tz~;2eKriliJG z;7{Vn7P6b$!ZQb^R#T#smbzaGIh1-#@CbwCq?vBqsfF)*x1)H^r4Zmac{!i-M-g%{ zCCow|rd)hLVtVrxh;Lu?Np1Nl0ZJ`C7+l zojbHNvrW9Qzr6aPdWc6saTyD;|1gD-@b3p~;dmZZWVC948g${jKfm~UXVZWD<+uf0 zWC(Cb%#W>UXp!km1Z-=bM7uZ+BnA-48hv_ol15$iXZ6|*J;?jblaA-V-x0S<63SbB zne~<;(P7S$Yr@-n9vZP(`h)Y6^W63%X}#3)*J%n_Iopuwgdn?ggu?-YFFVZ5fRTP$ zU#gy~_^5M!^HYVeDGXQ2Oa2Vqs>TsEblDKkA4pwN4h~{fU)H@m?il)>5Plwew6gx& zQ>o?d_!gYc%}bYdcRZ#SWHH$( z`bPL<)+@u`KaUK}EDIVkNK#u1LZKJKF6zndoqAjrg*eOzW6Zvr8L96i?)n^yWrc|< z(Y}(L5S!PIH2AC@7{^Q;tQh3Q%-M{QYo|p4&(n%{p!>OwAha!8| zaw({3{RhY8H1Ay>d21#g-9$Em4jEqAw(cdD#!5|$CGhnJss*R0hJl_vSwgt2-xJ$R zooDL1_dI_X8j3q=ww4r{8y%^y2`)Evw!uhx9{(}vx$5z-GW1$#6}0gz6K2YBYx}0r zT785NjD#V|tRx<-ML^2;p3QLu_O-y&Ac+v2Kc4j8#Hs$@Wlu+DmQVSw9N0s+TNRax zPWtOEf)IeSW6rJ3f zs#{c(?@lqX265$BJY+#scVE45;2*MQECwxvOTs>^8jA+cKUn=(v_Y_&OwquV@DZiZ zP&{^ADE6+gpuH`-%nWx+7aqvO?oGE9O&xbOv~ zvExIx;n2_TVHLB8o%3tl1okfwdF|z9t|H+`W&4?rA^p`MZ(hzR3!9}7DlGXa2<3c8 zl&O)Is3}mAl-f+XyuvqByoZS+m4MPK-N2-FGoTBRqNv9SPs0hR-d0H?*hQ>eYT+Z= z1_2{tI&9*>6jhzM*1B91)RP-%IjEC|d=+LnZ>)`ydzV6o@A`AiizaI9XP)bg5-rXL z7MpGDH)fC?E+sSsp+fgmx44p9Rg#?6m9qW&@B12p@?n33|IY18)6{WUv09;JZ;1R4 z040g7*)SWp@_vTm&`Z|n0Z=Rg@U0{{+KIV(5spjDZm{6s4kJsI@$OW6%h9eGMU6m= zm5Q;@_UQt^!z#azI~dv(9jI4=_#ZN$jejOA(q^``SLGK=%(Bk!JYFjMD zpskI5%2vpo1(kiFm6LV<;T(;O8Nv&oC|1Z%LA*schEryT5H3Z8r^q`Zbbz7X^xH~V zwJK?=hm(b#d!pX_kXsd?7nUCODR=q{t;tS0f!0(9_p3N!oDilO@M<~6PjWHG0r5y8 z11nFtxcbDw_PbJS|+uoJG_kJK}hbFjM*pLL?NEGoBK`zO5>Ca)RHQ$p{=`}kYju+W%ak`4nJ}hEOr2yMUX-n4E~Cy z@I?9LuoKI{d7g8bx?5a@ABgEZKX1D7Y1);It15j|t>lWn+5A>mKEDmHG~tsKzX6MH zQ3N6DwC=>O2~RaoL&CF2i*fFuLnXW4(@Bm8U54zbkHdBZ!+*gY;)P#f0!{2cw9f}v zi^WhaWD(N=*JjNj&)H*##rDNGfwRFy+)Y2c#i^l?>^YxTEO9o4Z#LCjrm`)J{YB-Z zeJqF@2G?iZlzy9uZueZB$`Vn11t;A9#3|?elR@90$M8-{kwFGR6Qyc_rH6Uf5w{z3 z6B;Ch&NZ$7J~I_2XGkOu=E$QU@l-YEWv~1_A3a}R#e6F3Mlh?-wWz_5<0{g_g++lu z@>z9m?Ip3zjP36%+2s!OKJxu!KLKT*N`Bv#CJZ1QBJG2!^8Y~xZt@`S>WUZ+ymEle z!|3V!y!cE1b^)G=H+`wE0WJs0GU2a1v9Xx#r_Om|tX*Y_2El5@@7+2qCYjVpzjQ?F zdhNVesN&3(&L5X{?!~D3I7e-L${C@%OifP*+a$D40%=R%-qUYgnEg-~jaMcQvZEDd zFJc@fSL))EFs1vPH5*PDh=WW3KdKW-%Yp^Odzrr3P6B}2J()T|VnYHu!Lsvoq+?Bn zXf7|cq-)O+@p+4}HQc=*MZfQktG)J4v)vq>YC#`iM?dS6d=}Kc792KhR3^%H9aCtg z20EX}#_|a+`+Ka@z=iTN#9a9$u^1EnivsU(I6e;k)2CPe&p?4dx(ei1_ad5gQ+mYd z(%#ikkU;RqRKgStv-UX(5_T9JYMhO=_1__GX22Iu!W}MUV+(TROAMMxj(dDVH<~V= zXrO4-Sl1J9r=|*={e%;IHqhh`+|b&7!!lCXB@;Tw844^at$na`Q5(1cphUVhr0+$T zMQE(~%Rh}1TMPLFg9?IHM{;%}2OVqdkPxSdW|(gXI~-Y+MxRS zR7*$LZMOVSNbP23kEEmsDkpD*-50qXH0AqmRBjyHi}g{X_?4#&cM46h@0-5Bg`U5< z&S%2?ugNJJhS^izCJ)Q8PL(RKesO!6MWF5lHuUj8t3z_+eR1lwP+WBLFJ-fd2|1wF zWCY1CN8ZWGRL8GnXH%=;_7U_C)?Iu0I@1uRSOBl^&CKfxJ+is~vSv|xNOrWRGG9yd zxR;Y%nSC+xg6)z$qNKmeT)T1+DEvaJ{Hq#$wfw9~#!`Stwxti6-=%FVu7m!TH7r)w z8INot-#ovrW8a~ejx%@M*xF}Ch7OiJB0^&t-XesUhuigCDRCy^&WP#KV{|M+#M`x{ z?Z2dd@n9#Tv}Q3*^*u2z^DXBVVMb-N%+C&`cW`MzZRmn!JL%3*UQOg)+j^l)6n+jv z0fn;qca`*76)>U=l#E!gk8T)2pG65c>KX`>2KS)qg=(4H%EP4KN1EP?X8^2xGrrk;n{i-*bS)|1 zX{OB~Kvq13t&ZVmE7D`&QBNsZ<~-1`Km%FU5p;Iu@(J3I$1!X>WU$qBi5>8~vZC$e zQ4fX@#zY9J+2~Fp7n%)2{FOq)!wX4}n`muqAp({q9vJiZvS9uMtPi51%^BHZ*zpIW z6H)Y*#tudKc-;NE6KZ7?cJLF7RvTWUM!;LDtdwTpST*4r5tu&%YJPBL!Of!#h|`om z9R=Iv5H<54yTTM_BuHkfbbCG6?B7+swQnW(`ol1ZqB&t&WxUtSZJuscP8|0aoq?Ip zh9z=OA6u{IJ#Gldn#xrav1btEC*QF$s|7}_rxdlA;fWZWM%W(YYf0Vz_BG^!&xY@~ zPaM?pI`Ux+nj$>sB~pLZM&%5=EU@xu4UDqQduvYebE>ILIkv7W;4Ep3)O!cR$k&zy zukJHUurh%>r+c0DV;_G0GpT3i)uj0Q-WaZ5Aj`L2Rde}(m%T0?)r!k+R(WY}E2-@R z_8^0!5|3rgwLWVxDlgs=nKT zubJkDR(<23t78;>q9Rc0bd%WZwNmhpMVjg(=IC*js8s%r#NN<0fz*nR$;n(i6q;|z z3AObQg}qX$g6S@GD**)vtDU8hU~Lyq@{M?S3QWFU#Kr zWRR*8|Dj%S1CKvgz04BFX-D#byM{$sdm4-1WtJ1hyq+LUTX&WhvEr z?Qt>XqsGL;P-f_JlJ^moJzrPcP_wcchBf|(Xf{68{y=Wk_s=$1qpbjth9rmv+Q$*J zKfAy6E3IgUJXiOXde(xg)_doB*~wJG(8_Y^2cAR~nshfl7Um0@hlM^?CPdubwswJK z&#ZC?1$84kYYq(p#k&fe$5KsdTZ-%J!5qk%f!RQU%_to)>2vV@s(ymarEoGZwk0Mh zK4)Sx2=S6D{&$|A0`ACO{!4hJw`KtjR1HNBG(8e^YckzkZUX_(o z)v5V9&N7Yd^#QC~ulm)OMId}QFhUk9jZ+2r3n{f1 zX50~eH>sY_ofbN>rK}6b)5H_#V5g>bqR>H1{*THI#4SPcwP|Am8Tv1xz6fc*>EX!Y z0DSSZ!+1>cd7tsfI(J!Dy(A8<(?O$cYj7YB@ryDa&N&D`FIe-E=rhKUaZp@qCN zWA_$$iVwi;Eb)04es{NRW;sUYOQ_w(XDOiBWYL7=AAU#V*|nMN&#xN%_IB+yD8ajT zI1s8&lN*!kb1v)zdac>}{JmPAsI{oy!L?VHCLYKH^QDJR%mgT6;(vy}b{u*BNUhh^ z?k)BYKGLMHW7}FN_{zz(FfD&1(=9}$k@T*eW;mU896T&mc0qC*cNgMWDfI={wDZWS zfo^nSZtCkz=ZY8ieQC*SzroLGh?rsQ^23j%ki-tZf`w3Zx+Y2`eQwRD(Le~kNI#$D zb9j2q<`0E$HMw6-@)GG{z)Zzn8SFG2Esx|ETaw@huul_f)(=AqaW@DB@E8wfaAo_?4JXAs0rA zX~AcF$Y!sk(5ntTUfVCka9-|hv2_8xU57zKU4ciC&M;%m{;-FLN1RVFP^!Ml&;Nbf z;XHk*N`gn_<+kO~y*HJvCrcmWtE$we__dqLtwoAU2I=|58rxi+_}(gU(jOAOr=@OL>y)$MhQpKemVO zqh6L&QidtCI zlXTx4-YV6hz54=G#6P-eqYrodL!=&^9(GZB5Iu}KkaZ}oBl8JMdr`x=KDA}eHV2cd zm{uujAfx8e#=ibH{F~Ac^is!hs#F{=Nf4cp-|rV_(w#bC@SSg4zTtJ!yPN(zFAxoXKCpL zxogZ;*=)i>uAs&JcgUA}$wo#C_az5$OO2}=fuC7`0SePpXU0AxEe0#U>=>VKj;`TF zKmLbRF`)nk0nYm03CD8?0W$vI_LR@;jM?uryyy5FT6)*}uJk2m^~{d;sf9l8REis& zfXUOV;K9uHfAFoMG?FhbxB;f6NJI2OZPSO16NiS^FV;C#NqjLg_LzJ(PTbt4 zs&hjZ~o5rzRkRt6Yuzc%Pl}d>WN3XVGpve#F!l~vZ?u!|{NlJF8o%ky*kWcC2 zf$R9s)q@qqYW4TDK%NE(+aWbkjT#?=#;uoFW<#NLU-cvO*VS+!-((h2b&T)s3wo{B zM^G2oRVoS!IYMxGm$G{8v-Ox3{+P?5Te5L1;cQRt=7TatuPasZt)(y4Gl6Dbk5vu2 z9eVq}OLX2!Mej|dtVi1S*zhScD6%Zl;^dl^vM1T;TK6{$7hm|MyR&fP(F>@TXmM7r z}-yVNSyWGcW#iPY5jMEcsH z-LGej3!$JZ?EjEgcC?OFmi$^pM>Ql`fpJ`wR(D)v4?ok`uV!YpvLOU{W!6FF7g8Wi zI8;{0ql2%>Vd1>dNJ3>a_?_4n&RVp=xv<~IrzT|$Au4x;4c{^Y^^=xrgi5lD3d=%^ z(|cSjS4^bO$waos7a+v)n$3ARZ#C+($P=L7sln`EzUb z(^A~SFn|6E)C!C2ar63KNAGWX?A=ckSbyXDH=h+?Vm?XiI$dS)WrAO^s-lE+#N*M@ z6yXvj7Zl_SGX`-fKA%_>HRmHFEVbB2ChY^!+Q;ZM)QAU$Ri4tGNpJGSKz!t0a{QIY znslnzl#fTlle!LiUEc1+qqk}ja`j(5AYfX1zZsNONCr~>gk&b>LQm|IBq7d^@5P5c zX?3R@2r!sbQ8C_lMp+2`<4IK|2XxtR+e-E#0SKf0s^s&P9z1o~;ksa){)Ersk=~eh z73@v#Y=pG0?F%q#{Fzb`=N?8|d{W_WK)=cYb;s3I0nEF@TL6C2bmb%-HuVp!-*RRv z9&~=s@J<5{(LUMeqKl{bFg-g-BAF1GQr-GZBfU{-$C5MEdG^(mofQ`@*Jkh^a7aor znHSMnfP2q*b#_1%>>BopYPdrld!yp<_0buKEkB-Ybj*qa-4J}bt=|-2I;&un*|Ept zkGCa+S@m*vxC2dmKWGCLYmgu^wA9+BC@oEH-D@6oYOuCbLf19#KOl_di0S;O0(!?^ zQc)6Yj1-kPxiItVa7L@Dj%1^Y91P|ZC=zpcT+I9(iVTGfW>yDn|NU6Ey%RwFI}Qr! ztR3AwHo*a4&wvNnpr577MneQz*og_YF?T_f7IucPy|FI`5MpFpW6ew2k8L}y1l8mn zB`WJNs9`(rMo7#fG!v)lURSBVnW?QX-a=(x&LzmqRxu-z>@^XGBjB;Zmj?JHaA>P?X35$3tlqYbD(Y$HHSJp6I}-PbaD z+^I00U2t{YxTUM(hCAaO57#tfUIav$WgwT_Mr$F!t4Lm$#K6RV>~9fH_gg+|@s2fr zi*gb^LD40yP`_T~$#pJt?v{ZCaac?TRLnCkgCLku>Vxr(?1^W|c>NQ~y1zl$ zNuctH7>msnAbh!l`#0JxO&$>r`Eyp6HJsb?ASs6jB1Jab=!U9U4YC!tk6wHV?!lKW zo?&e;bC#^p2PV!Soj&pYn;Zbw2<)gyv&TASa4c7^XB#gf;~7t4RM2wczxTHXNT5vX zUk2Lh!S=2CN5=C^3#TcC)nTGF`NuNWJ&Ja&G|RJdCy8_8W2nkuP~YjbA5G7*#H$3j zMr3Jny`kwgqgwW7dOP(1%uyOoRU)d&?%GRTsL!~$8|t-l&wd2|=Nq>18Rpk~d+GY( z8J^@N^bot}=Y+rzCZ1FO?>2*Ba?3EjI3Fs_WK=A&gLh=))z_p<)klxm0BqWwOz#rt zi1pk5nQxbxo~SA8vnh|N(V-}e;}WtW2~4Qwqv=_I;f-i1%}2Q-p1Jw;4{`oeh=$;yn59!>~d{iAG!Y#cTVJz>$zUJdE`hVpip? z?Q1)~M==}XDe6td81=W# zA)@E1q zhKIBN2mTqT=oSVF=R5cfKJeIw(Ub3T7n zvYinT&06H`yeLZ9K5qevDy}iof2y%d^Z;+sD&8MZ$}Cc#6Go}k0ZGG#Un*GNN>wZ3dZbEmnfM#K4RtMz)wJ?M+N zsMN2QxDy^M$1vM{Uw`|b9M#Bob~TAGi*-m6Xo>9h@VVtmOH8YqtL`S+*Ca5qLzWnp zRf>&%qy;N(O4?&K!0|Z~99Z7knoN zN4;XDi-ZyyrM}Ko9=KZ1vHLiVcw@5*@@07~Pzu0d8p!j)=p@GE;|;A38e?P}hVi|g zSl_ZU?hH*TS&vL%p78Czi-W$d>tA;|E=^vx>qhEBjrOK)^Vdj|`~&ZY8YJP^tG2a^ z4jWitFK$Z=^L@&OYf3lx1YiCtxn=lsJ>yy9+8|_O$COct9kj9*%!L!aqt;C`1$$9h z-FMch49ogkUi3#bk17we@6P+9E|_@Uq_)~v*)8~_vKK8Dd>&63lFv0-0T4e*H8%__ z{#9oak10L-6B1>u#W08&*_K(!-g>`lJwP6PM*fq$eQ@R84`yrrMqU**OKr8He~2P- zE!5uzKcykj;Qa#dU+!*;(gv#4V!+J@+FR*X1a(O78ZU<_M@U$M^w4GtGy3JTm|Oj3x5;luK%PDv~D!UF>3O9!SMjF^w(hqrVDIC zoPYGW>h|6J_jzvE8|c8{)KERMl*d;;Ot6N<4PsFg(EB*IRZmcy(`e)UrBVs7C2 zh^^I~_DlC|LlIq_>?J#u%=Xi38FQ935|dkV52AhiJomhAvp*C!S8@7YuYFRe`J3lv z#J`df^K>Pw@=XZ5a3s-pK ztK@mkM>BnXGvkl==%#ktzb=ZCfg|hOL&`kk{q9`_?4ErqOCh);&~N#|aTea7>KJM3 z96>%~!8qe5vG~gR`R4qpOCVqQ<7jE}zBEo zAX!hK-(T>CsJ6#^CCnuN+mF+Wg+Z$tLys?xF^^0S=^Z#Sciu=3WmT2(HnOgq6u+ss z{ha;%n{Qz|zWpILxU->)b*ik&&&1EwckDy(z=l9{L3xvNV&F2AZclk&Jk;J_F^5|L z60Xjp6`fNfLo0P+hRnSLoCgi}ZpztQwAmJL&61R-hwcX6@7&f@o_e0^hCtd!VlF}F zebh$F$ZKPL0R!SRv%J95aQ1olN79V-?3!vnF*$b_9N;SsjjAQjx)9r@lMH_*Up>(@ zad|)b24G_QD0_E^sO-sRb&yy{w7|sn|{r3a^oWtCd%`FE^4GQXU^+8 zx8P>;l$A(7&PI$b9IQZ@eI2m<&(83;Exyln!KYX0G3C-pV|E8d39c0h6Lzbg<%=Wb z^|HFxV!rheb?rcpe*O8h__g^Of7LoZWRTDo4q+i1Q}+@o#7Kj3FWMc?!UgoF6$6=5o;X$V<)IM7^hd z0Uc$xM2$ko*DKQ3gUNJ`6l6|FEXZ0 z4#ZZHuCQZyoCx;&&NYbg!cNG8%!!9F1*vjPrIOL$Ex<{)tD)T&d8-ZBF&h8)c~>gZ z;%9mDpN!To>@dn8<2|IsJ3jbrB*>>?ENfwH@)Gx2O^hPGzp>I%6e*f+mez{LMs%Z8 zJD2I3WuFYoGh2oJ6jnJ6FDPo_3?5KcJ$4;K$ew^5N#neZeMjM&H}g?+D$g zdTNj;6`GMi37VWd{IYi9swKcCHl541-86-Ynt|QmBNDY{1M~L1-qfQli#qGNBmr{t zv&y_4a**;YYGmDmd*0XN~r)(Phh&rXNP8 za(NQ~V{B#rP4|;@B(S!XvGr!r?E*ZjI1-ZdgHd4!5Bqw%yVqOh&Ew~w_$=(wE1y#- z_8i@Rn&4KA&gGpkX*0n1JW$%(Tv(>0(sO1u$}VX&nsD2Aow^)gSFD9?Pe)O6>bMfT zI!GcX(~A?DG2Xf3R#wL(MRuq<=;iLs`$_{gbVo`jTfBj5gN%;{woQJe&$mLO2nm_i zC_tA4rR9p=L=NgHqr}-&3M1O| z(db9~aBq7`*AMl)k+QLC>y-(OR)%eb=g{&EP{b~fQ5n7%U7BTfOzkjTpYx9Px@JSc zr1A8%Dw0G}X`xeSZ!jxd9H*N`#O0A8&4UCW~`kiIjM-Srn zERy~tyNKuL`1={Y< zk>potIBLNg1|4P9e|n|%@lX6O{gF@RIDt=l>rc14JiU%CJeyP!`UInRPZDRKOt3aG zY(Lqb;(gVivn=yi_-gsEtK%%oB3cQ8zH8dw+i|u^O~v%_R)~D)$g5P3Hl zd*7qxHas22V|V(E&n-rCHm^9LR49u?In8^p4HVLc_Qr6Qd%AUOxvVVY!8?UFXBY$t zG`M2jWAEM{ns}vJYzuBn^L7Pz?K7x2Sy+4V(T#KSvs9ZUGvk1tAZp^94Ry#qN0!_P ze37KmGVtButKdgw75ASgJN<79CC&xe=^cmp=>2t1r;8&^VL|fowKBtXd6Wd!>*ILb z$Mk9ya?Lm^o^?qdxmWxVR;_^SxhZo#j35i&VwU?&x(Th{05T|2*}G`j4LzOCJ}y_w+jY1^=e2T&*_!nghCN=M%S@+#u_{vr~Y@n5PmgKAa!( zXj1dxBNGqD5q?e6<^c=VAJNx%CFgc8=|Bu!X1y0E9=Ct34Z|KqK9D-^&Dv1G zDfbBq^_-zi24M5tlI9Tn82)s7=`En6__i=r#u%NvdWwGv!uT(Oz7>I3hrBC}hnBiI z9p@kJoa!&W-Y^*>$z3(1rJn%mKBo0W;C;ZIyOGORHo6KO{ma+iGet8xKB!2?r`|LqD(Z zlL1woRsx0{oX``@!C&P8&Pi3gKviw42Z04x+1df?%CNI;*g*Sl^vH$nm1XO`tD8dG zy9G6TeHZBP!g*P=eMU3|wjQ}%#H(M*>!L`DegM2|VukO>wthl!=e#0whc!ka4t9-} zXaOCPm4baQmp5-;1~L54pfPgo6nCEuZ$H`sU^59C#_dq_M`?92hKrCd2&v;us^CZ6 z_TBKI1@xPgFM*+Y$s%+iy246o;v04gCCvAYunRz;Taz0vW?lYq=0+9Jbd>Ne1xSO(@%-Pg z1$M_i*&O+WH`uA)jQHGKLzkcW`qO{YXY;2pLypGOwW7hzE6u3?uGRm21h4*V39O6P z9HZKL}-U;)Ey9X(RwrI!^O(? z#5=s4>SB}q^2(O=f1ksvzgYqa7wetx=E`qlRTtc7t{y%XPCu`rkB@$pANq7b{$kZP ztd>Xl+xz$mmmEk}yOBsfN^|TpmZ-gXPDHBHn<%IrSeov0tseQ{d7k2J$f~B>?*+M6 z|M=<^YZ!P}apHhMelIs^=UXP>q137etF2EyW99tT?7q^Xu&rJnjh26mp%I#k!oPPg z&CV}d29Rg)xIin}aCrCcCMI#Panm3yG7UJPC!my|2r6|G6R^79CKV zy}bJ*rw#WnzD}huq`hO` zlO?9s9!z~73Q&HrlVT>EKdfq*Ls0SzoD&_zF8N=riA)u^<+3|x>xuIgn`j?futXxJ zL}&AektlU^9mNofi65b!J<8i}&sr?E!*z@PRaKsSIKb+!yPMXbmrNMBjsCd?Xn^JQ zCh{s%7TvDRYXqeg^4I?^{hFWYvn3HGe-~B-C(eIfrURGe+&8qR-(|V$XC$wlnAu_(lJA}@-@LIXxbnK7|B5Upv-5VN*S%lR*zLd1c%!>` z_*q7!E?my%KkFOYX4h(IUV*rIWTsOuk%Hp9q06g4;-cYS%E7z#U{|FoAGR(xIzTKb zr;uBSjl}SR`XbBl&W%gfzuPfF-q6TpTWG|B?uPsHbDNnP0Qi^98;Xh4B4xS+b8gTp z(AA`A**bIESH#!p*u=03iOu;^zMl_3*p%WYJWKo)CD3cj^KzQ?7_HxOgU^1n#USY~ z`||m^Z4)b1gnXGmwz-00*AHTo^#XqaE*z6V)!d#uuwC5C)u>Vt_J-#IxD7<$Y%@$jzv;Gxv#&@AHdnc9VZSqt(iPC8D3%i2Rm#0$43z)|i*%jgvJnb_dcpx` z|9xlug;XT?RDNRBY5De%$#nTe4eM4729^4Ns1gA)EHDSyU{V1dR-2~#k+yUG*Hn(E z6er#tpJ?-K^Zycb`irUDyt{<9dS7Pi4!u&?%*wFXM;@OzWdky6Sg@?3$L7zJmSmo< z9nsx^wovJ7flIdY-_wgUZ&Kb-2=KQEbV=EmVyZeFX26{Cn(D{Pn+^9tKgNW75|REA zc$hgw8gM~zzYkbFNatngoAT}Bdr1y|p>6IN^XC?f@eiR{&zW<&Jp*h{>c=|Nl6Au6 zo9xkGkKW%qeQoOaTzuX4MDHRXhHAcejhV*^*yDR~7c94pH?X}8Z;NP4b2-0L2WDWw} zInW1a(!{mv@uIKt36+Z)GwPFC8X-5f1?*)tU4RDJ;P;QAF-PcT3A~o{89mf|RtSLk z6RRS8hbH|G{U0-TfGm%%wJ^f4EI;v1kgdCu!Qhysmn%eGN7nYp)vCxhetmHR*lrPyzRpjJGXy3;b#)ECbb4=x5l_hBK&zPa#mrv2I?cEP7T(W)wdp(xSDl&u&5;(s` zTyL-RO3Jel_ae-`ipD&_oB>@)_AR zHa|nc_P7nT((nr}ZvoDZ4U|92Eq3ZBn6}KUI{_`=<|Ea<$o~CHy z44m@_in@{$4_pnpZV)-jaT8er?zX$pyifOyzkOAyG>ef*Y}mYloKxf%IZL&v~gh`uPdLtX*v85;6Zx*@o$`bH}-N?vJW_3-Pmi zup+ZB|8s?afz|XwjcKKuwzpA>T_B87c1D&G$6@#wT$7F&z0WZ^pj`fyAq>JQT2k!jsZr@FWf1*aI z=PMG|vV!i~GUv`Ti1F#t$-LFQ?4BeBuln=ty&{i2Hr!`g2a~G3M?FUkrD_R%aE(nF zvl;#*|HhY~8c8_$@FDG^eLP23=Ve329d4l^MApXR)HAa}09?y=`RMq^%BK6~ElQBr z{fKN!L3=myzXWhGm{l&J$1t!m&&oPLw;7=Y#yD~M5oRDksI6IjU90>c_JxhNoof5N zXJk>qi4I)10p#W$Lt)*^G2G|&27(EU5we%X!GJGrSq-&N1?(pIECP@KG_=SEWmND7 z0j5vsPSv6EW}sIthx$j2&@Ros=MUe%QS1Lq0X>v4Lm}Qz_`WQsb>W~L{WGEQ8dBW9j6!8z=J~-@U z`73=>%`{65osnLU%DaOK6=kS+umAiAnz?M6P)_HwPJmJ(U9_>8(gCO4`#y1B+gwY9 zyNIDH(4CN?`C3iU)qq^ZWuki`;qOhpdyg6$vO?N${d8GM7q)=)__|NLyrm>|Ldk&R ze@Ozo=9=B(kjOY2pE3FgXjNh^6|z-sr09b7AH zxv_&>;(vXW_Fq5H)hr;50&F4JPXYPP%aTv|v`O(Po~}DbIt($ozv(!8gI7Hy_B}J? zS+v>YVfBt|_VE$*y><--EUuT&J?S;f-mZKCb^|Pn?wdrW+Q{O0cId_Hkmxr{9 z!>=9SU^3F>8t)x$@@Es-5Rox<{ZKwPJy3Hf^1(yyrGEyxpCUYIuD$5Qwu^S%I`KIF zQ+EUWJ*j+xwx4owrOC<6*>&U9`iM)noh-KDxwVG^r3?JIEL*wKr6Gy)Ur)Jwg~D#Y zdiJi9NH?rPGgkxoitAv_=Cv~FZ}vhTV!ovk ztDe}gD}m#RUaSi$wSle18)zpZg=V9-u)82>&=dG*GNx}eL6olOt~3v;g0XZtBqb&90h$}dn>scyPbj0#R+x>PTneV zJ9#I}jd82tn`6PjgX0H&#Y}oNjPm9m%QA;;E2< zF78-I8tu2}AxggnZXwcs@a(25g1mZlnM2vfaJ4s+%`>N$_BCZbk1$^!y~%S1Com$@*_{ z>kDtHlNttmB&O-?y)#qN1X=0@4ZsDgsJKGYe1=xDjEJQ@9C17@cEN zQ7{M*bSg0=MPec`VnL7YkR07G##q;LeP6%lKVa93ZC8HI^L!u20UoVtmtHkF`eCJ~ zkiRd$LK;z)snxjgBPhf;Al|O640Pabhz|UFSY7hEX|0gu@k~yboiMB^ zCGn0MnX|go&cDR$XZZhlz#HF_M$*N`YT3X;?7Q%a9#)~${tkV154Czy$^pO{A&NT?aevs>}84b!vVJVfM(zHh2_d@a4LC^@}#uXg&NLMz>otm;dOKq6XpQ z)_qN;MQ2}<@h{7yHWKbD&dlIPekLxtWiW3Adh7t{pjgz{-wxuS4zDDB;f>$tfVfpyv?pPv?h7(0h5c>H&?q5w6dPMWmKr z>&b8nUKMT?dW3C*eBL`6+!EIO{`C)7Pt_6?HjM`3j+|n=P5JKbQNfH=m*S6%40_|< zwO-Bix5Kf2mVg7RAEEII3VkjK%wl=yH&DgOB~1TzFsUbxS0I>zDrAfdry`eH{S9p) zS-v!niU~_`qWLIu^)Um~I;(B6FfXndeHB;zR9n4i^){Hc#;fnHhc24e_I{4vG3fMq zv2IBCRUmZ?4Ti*l8X|FGiGX$h$4GVu&i~3fUc2R$A#;M^Ygp zK+m}uQ{LXG7m|e;uev#%d0FoMe}O}76)d}U*1*a;^Z5So+`5D@Kf?}U)AsV_@*!c8 zgKK3|1>Ae$2tNXWeBiiW;0uM`hQ%h9jtgh*Vqs>)DCmNnQOPgT8E6l;-5MwWmRGY0 zlineuUz9xr2ln%hQgF-+TPowgV%9)Elen@(qIcKq*U9}UuC6(VNc{j;XvR4p8le%!Qas{U-3m`GUDkb(0DE`arYRM{;D_>1>%g z2N@rvU5Fy0IQ`#yzrXHi)ZK=C(H-O+qkZQ3oQzElNc{@Ix!9sjytpl)-%|+brh6Qn zY|+#ErcPq5?@OxH=E)m0uMNk~LSLlJ?+_lK>RDKut;hojoPF6eI7V=`EZd*>p7alsnZJNul%fL)Ns~hLxgv~ zT7XQB`j&#D7(-7g3yrF%cOaDwhKi^K-^nU~7 zK}2HQ(y=|3*yW1IAC!FViblG$NtWf>U=+cAZ1FSDH;)iad*J3=<&LY(ZW+9IISW|1 z+=jJ%VJU*TJBjO}kS9VP3FEi;^Fki+Yc1@}1BQNaBiI6#Iu?ipeNTY{TQj{NEX+V-9g zByoS~FZp`}ttK4t407#j_oZ!~^aV;m6z$Rs*5n;G632G1#MLIPMh0=O(|@kT%lQQ! z+Ma|LzBD|zhk`jWUvDk6&Lg$Shjs5vKd^;tZE{ZvLQ4O&BM?a(nujH9dW`8L0{WM8 zHn>4)M)-R{Dvu=Sjo6M_? zEt7hEHs}cy-BWDa7>7l$M&p@_Y9b~ylKPqdJt@{DCoL0-7F0F{=Fq#s0w3Di-HhZK zH5z)*ui=w0;(*Cc5bhWJYg0?$V>FnY?JC>6{AAsl7!?{QWuKdd23pBez**J0@Moq% zbl!2rzCgXjo?D1;&_P6g9ClK? zuq?Wl@oL!oq7mjtP&RR!Ohg*Rzbs z;bR3=M+3q0#db8J+#zMYHY7jnRdO_u$;B4$%~;COb`2`YtP@);%|H4$Ab!atqt|ZO zpMB%Vfh~d#+le${)8@%KTz8ufi<#?4DE}oVv)})P8NaHES-?;sOvY(7Zq9Lso7?Y7 z{+$`qzPfnFW9M$UQ?VCb69;>ZO@E>bkZ7yNFO1y{J2ef^WYt3dzS-*Z-vl_RaA}j~ zb}x7EaFO{}Y-1jLtWb>4$eNXgHUcxrxfOC1_sLHl0L3(iObtL*MNK@I{+kb>4R6G6?R-*h6#eXz zs?>4S@xD+2T=AzZ0QtT0l}}!D?X58`gGbk$y(5HQEg@994vku@@;q#tNJuloQpkj4 z{%y2BZW?SB{#kuh{gBJUhc&Ldh&)4IWQdN&E}@SW8nff-T!N#llCBt*Ahsq{y*`U{~xqn`10QE_w1CY;RcTM-UEGZpEx z5*6t#YwxPDHg5~m4I1z(Ho~C4gX6w){S)Wil-Lc3fYT2g7xH%GQUOr;5T=IJP+oCr zxT@$SC!Y0!_-nBn;u!eHi1n$30QM$KCJ^+J-3op`oC$GNzu29KWtaJw%E&(pv91@C z3X=?s6qzfCX*>#J5iP?o;Pxw{df9vJ)=kM7KT`b7HJTC#6bFr8e^%Q$?c}SjL6^9) zUh~Q}y$K?h@?I#DLB+UJv_CrRR6j&h4Tr!Ddq$OsO^(Xy-k!P!?Kfv(&@nM2PIiSm zr2kQVrMm)x;|8M?giV3#dHMUc?9PZIba}D3$c@nI(6XDLon=ne6-aQ2mt#OmDOtt! zcm~*5vno=aBcx>Uiqpun&EW3Iu-kluu%3+{;(YV^M?J3%)$>+44>D8%(JR+l_t+5K zP`aT3G$d09g~c*cw5#s*P;irrCp&#pj~>+MCCU^QMa|7$&h*J z8Z?p>Ar3>#y11wVb5~xFV()T;ZBTU^iZNd);byw7dgxlCu{V7fD;7NbiOK%tMU4pK zd~0kY{ZZIg3jS`mGV)m_Wh>df*BicCR{3ic@3SfN_ZhsU;5%7Wcp2d-SO-~%AOQ%~ zM4$>wvLJl~(BuT#e*`I(xH|**Nuh zUpK$+PTj!qiFAX2YKjDjQ(3~Iz6v9)4)nM|ALmfYJ&fLg-cKhti?`I{5{S$!bp&Zn z!*|=omw6`KN7;=?eygQGQ+xQ=XJ8QISfHIWFjNItsIHbn0sD4_e%L9*I&E9m9|k=B zS&$`RVgSD;pfzy9lH+lY&)qv`_R*m9>c1E5pVPRuB_1Uv1`= zrMTLENH=>S&%7brUK;->X{oQNt%#Agb)_O|a%9xzS-e_@BGB#iZ_@j?ooNVJW<1zv zisk0T>JtO3)^ZA!F9ub#&ifw`XS0!qMdE$HIOx~-^c!kmj7DP zm^aq0sxeRJXA`>I_}LKwhuB4qx{R-5epCM!!!+3`oz&*Yp)!#vAWFby(07*U$g;n|MRqda>6>U?Z0*m%u!(i;znoY`iH=cEr|K zGF2&yV#g+5&W9|`6A^ejxD#_*!YERWiqwha|3`OYClpZj?d&`oe;xS2isEswJf5E7 z+Ttbb>r0GUcZ!|(!pZqCI&W8u!D|sNIaidHP4BQ_C;T3DE3%DTiZQP0H}eWy-CQxT zQxVG{>dPua%|%lp7I)#HN9ZN*z!QqVdMP4{5nTOw>WBhl6zjfC0DhWu%ty-hQIvr( zeqLuldJOhSc#9^o2n0pC!VP80F(JT{FK{j+<+wCjW9( z`N`Kn)J(hGuV#r8S_1532J~5k1+5O|`XczOV=LF^6xT;=B}rQV{2s8h-fvoDY)Yt= zFn$kW4J-78@=OU*PvE9ktx$^QcKV>nnXo3o)_C3U(sMI3lUq2(NR<*u3z<-Km6+p; zZ{T@6sY&Zo3PSl?FA_s^P%7106yzuC{ZLcGjSp23v;%?O-;Pv!U3T4!g*-hv>#}sX z#S2Y8A+UDa{3{v@0ro#_qG<^Xuzv0&zE}uJxL`L(nl@qA=Yk^rN0Y?x?Dtm#H}!sJ zj-S{jhu7sVS-S~TtYhPhVuWR|rfW9k;0p{FZG??mwI-X|_gs)hyyd;y1e$t<{h0@A zgRhUy12p`feqN}hV8w*WXh(fH^5C zG_B)ZfOdJgK=!!|5`fYIOpXVsxDSncx%4q)g=@xk8AdVGsf4BKl^*xS&G>JWzv+nt zS<+ew!2i8At=gsD92)GSv5k79v7TH@uzND6TTb2qVK=|74|VQ0JX}2D#FaFP!=pGWSOcKS+XgyIwZ$ z{DS5AZqUDsZOVIMJnB_@a;83CfoYA-xMy4(H7CbHE&iJ>B8t8$*E#+tWj6gn#kw1a zY|uokF^ZIFrpXYWa%0v+V1t)@Kjzp8)(B?vcXFKgzAiaaOW9KwIazmMtQYXjX8SES zT$J81!~^!ss3|nc3}Dko>@|))=DSC`^*gI3O8Z}04;NgKr1i&Sxa%!f&(h1IeDhY% zbn;7w<&rl)_S)1k)2l6vNmu_ATTtl@qDG0Ghs~|bG3`absE3zkd4Ia|EkgP%IbiAV z>$7VfVn;7!uHxxo5eZ?nEzXIpL6ZxVU6ny}z(rF;O&53ZXh8q%t+C$IJP!+MtY2+2 zh8ORi?yG42V;qy^&@wK}t5=bv7yXuwK2a`1F2CmGzp=#3cFn(ad@FChpJeYBqBu#g zdA=Kdq+0z(e6#~AVYBE|@sxgA*_7?YbPLBAIm$7$qj^Gaqh(;zlh{Uo&;;A6`>>0d zZt`z-;Tg88mK3ao#S%U0}T?hoE8bh=;5l4D7G&L0&VWhzYir-{y{zt{<* ztdw;}t1j4u-{2l=bk)$xU*RzyCAn5nDj~yZInrGMz6a%quEmG5bXpP+kCvOJy!sC* zT!$H^4U3k-0gUBk`DIB&fdlL3Trb6MOvz+((Msybw38&gBkwL6AaO#qG9hE$O4C<^ngg3`e&=fQJ%*)DgLCuq-eIclU$+0G|GZI#`dz@193cL-1_0!Ci$VgYG#5{`aoo|rS z*@-gJ!8^Yg?#fHkrcE)q4yE;3yAVImAYqEyc&|O5`0=RsF1<{y;C@Kbl+>s;YVTF8{!91L86N(t?;IkcR6fv>Qin#kMnZs=8VpOsJ z`vsa~Hr!ug)Q)Dk3FdE0gCfEgHM3Si=Bv|2oyterW@+NfCLy~*7kD-m_Py!uD^4TDdLM2rQJ88way&VaA8`!<*g7I_?8Bm`eC#jVl^MYlZORu6VPp>y&O}=5wdR^sl_BmdRA|c=1 zIe5VR#4HyL>hSh5eB0+3!c~14-LSm4^GTf|`%n=*Z&+&)De-f*cQK|W4n#(5I5(jf zUOlC=y_<%=<3JcR{jh2h!DqXlJS7k>Zdm4rtFBvzv(t{R zbqV|omIa^;;)h-%1@HA$5Qa5m9a{QCd;F@d1QjH`dV`sMq!vL>F^bw7mxWnHc?*KiACu-A-ffhfqJ-sv?e%Zkg(q7{q2 zc%D{O_``5=WyhNs6K4W-G-oK})VUmUL4wQPotu@@Ut6HXz-*@L61V6s17eo>H>2nW z?E8o1;)R7V<5@?DO6R?J*YuhcCla49=Z?0=x1E=yH{x+}%MHiN7ld zOzd^|m6Pkg=#QUG)BfQ>eFrT#a?<^!J0R9W-KavU5QdoKG9LScD}eB3l3fN`)E!(g z=AY!9-^d#cG>HB!NAa#-OR+Y@d+Uaz&z@F{NsNE2IO%kd2o^03Y&b~>mie{^^l0ht zh}^`AF!8U^pt??!WRlRGxF^jLl$B+zX1y)in*UzN29jd(?lRe9CS4jfIA#=t+x1^&k_gDS0k!TvD3H(ay?7e--ICp)2gqxq@cZ{XP)D*GqUBTZijz~y#J}y4 z@he_Hu4lPyk9(sH_pFA_qvTwisX^>5Cd{>aREMDpdv_q6|2p#byC9Q#?o56-@mlXv z*Jrh_fWo1mh;&b&$$3X#l${Y@12dyzE0yBU5m6LrV={LY^N}9B^T`vQQydN}cu5d` z1`n8jaljh>1<+%hNS*iE#i&tyELVRX>!C3$fIRveDCvHZ_Q7R-u~!IXsON* zJ2fMT%DTnPB|qvRoVV7tE{__XFU*RgWkuPoU%k89>oTy|pF|m2%`%0ZbQ^I{im{L{ zJ;g(0sQ2MSL4SSE2Nwi0Mj$m)-p&u??iF=C+(JBCR2+BTJJ@MFQ}^*t#cS4$SJH{t zfzBf$zpJuXtCCDLM}N`fl*(%ky@=HZ8t>FLwAwXAAfJqTuHuRv0VAZEdvB>%zJafT za2_q(-Ry%5d<*J-+CP`6g)c&|dAvO0EaZbVxFIkxHkrQ!9_{OyenZy-LWrL&K=(CaR7}`s zH#v){;|z$z&DDoorp?UfqUX|{%%zu0%k`E{dkgOU`v`O5uQjQ zJy|@arD8lo>L=?i#jPB#)LZa-btXO}OXK1u_!h$|M>YLPW7akETnR{ir)__ivg8Y3ak#IMmn6G`tgVtOa=Z<<>o&V z45&21vFRr+$ag|(8qc5~Bo{rnH#k-+@GHsOWK+ZF&#R&=M2)OsN}%zB&^+Nz@W@*@ z{=Snr>0}a4#sPr|uy2*F&+w#07Gp{^ql>k^r&zW68wdqBGp98{XO81zpUP)E>^Hem zGk9=j-5N2Q{*KN(OO;^u?B2G$xWkAppK#FlV(=eMpc4;Bg=EwmOGOl+XIz+v1pXB-odtL%Z^zi+k!;&b-BUJ@v;^+Zs8<@*as_d(V_z5A( zzhbZQEuV!M<}34ASkj9jtpR!e&&}?`%+)fNIm7zxnwX+(*-<5v%J7y^b$gUKVp=Tk z!ym}1u#YwSf3^n#sbeFjF651F#|nAN!yZ1t98mM`@}gIN-`7JDq8?<@>m`n`@terR zj>ImKV)J!*#9QtvkCL0KCy0vQx%RqJQBHA-@6}=Ujc{{X!|CrBL=@614-b&26Ok<8svR|?^=Y2?JcxL2Q_70ACq&E~|! zWZYQXyxlQTAQpuObbtQkEi!CNVh4B`oaNmYN&!OF7eyhOC^NEGJ=Ir!_+RnV8B zgQPpu*nS4g|m#iYfVf0O=>w?2KWC3|>M zCH{1^z0rmJ^vVdtY$MiiPlmje^9(!CN#68CCL;9Oo6~6iHutZx0xiJ{vxfO2;6f%B ze!z||oLGTB4RK;>4#c4hBTPc#@so|CbLhU97h$&zT}bBgtH8?#qECc~d{VfTlCvP= zw!@dLz11hXNd;K2t&7U!BE{Bg3}}dOy?ALbq=1vNkQsGND{YNd%gdNW+qR>Er9%c%J8yz1cl5qEf}9Znsy}D=wegngw^yOgU1FY+w+r(KH^UDFa68DcX;>wU%k;)V7QTiWB`S^;$25;BI;8`un((B=@HLqw z@`d#cL=RAf`cwHwgC@-der`F^vtj106y4>0#ZPj&uYO?}^e1grdVD%OnWD3Kj#h9$ z_Z5A(hxrS2$~H7fXksBf!BQJMCwX$_A!j3&UGgxgXwJy44idzvIt9H_Yw;4AOkNeT zez75v%ODu`Zm{`|5L#l6e;Q&Q?eRgEo;-;T+aqE6T^Lf4j!IXk z-3%zhvY1q#6%X3+s22?99{F1um~7-{893g%e{ai$rb_Jxa8ummKHEQFOjHfl0>{1D z=2ReoN=}ZV=!KXfL&9IF|6x=>_@sf?@_8YC$6QbAwP1$WFlLvMtO_)eHs)9xc08IPQzH5=Q} zxe^VliVOG3Tr_>#d#-G$0`3(?CbpC5jhv7J2*Ob8WWo4-i$;=`Sb+7p_-$uP*w>^g zmB5Ru4SN28N?oY~mvnevRn_p5zC6XH&5v>kyqxZPi)nb>x+7*6>B+B>H$oWYU4vJ9 zbcV()?a1rZA@=j~k*_rHK*4q?dN#GImVCGS{Xp{teRTHYhT`qL%dbHORW*f`kX>yI zK;hoC?B&g_`;6riq0&0n&=kw=J3H z)@gn1vK;a8lm43T!H0R>h@0!&9)Pb!b~m{Gvup_%z{Hy|=3aCaZs=6i?A&eXIk~m? z^1R8T?9(R-o4=idhie>Dz^ zC@aUF`T|L8Mi2E+s8iZ-4#}f#e^$nOsh6Q+z8;U-fCRCxjHV!)*mWJSTSg^qNK=(;^kPZ{~BvU{m7MJoQW<@LQ=bYXOx1iQr=Ko7T zs61kwc_ZVSgLJyIo6S_H?Y#x2c@#lxBD$Od9rnJ=t~AGF=@W=9x1A!*;hymzR!o&I!C^L7R+@O2ofJ}=fQn722 zYc1`(0IlvHb|kC5a6<1x5#)~==Q=%i%HQV?X)2&qzgHx3@{!)jqluu(bFI(OMk5%R z#A}fnMEvm_@*8XVIoc)ZjyC~5ki-5$+=uIO_QfCOpUjgzSK!wT!(4rdW;`5F4K|@a z6fVPve@oZBHlHo0aNd$)xMa`ww}R&ooAXIFNvkEUvbSJ1(j)VQxv@&XL8}rcE*~KI z`bB-l-}jQ-lA$h-*6ZpT1j5Q-&!EhuJ*ZY|kx%%=9Mo*IEKEwPs4@J}6NO{@Nrrx0 z)5!Sm5<3GHGwFqipaL>!LJ~h#Ur?!-B~MZFU`L;VMInDsC1|=gMS~EBw`2C0v<9YX zD&XHI5DFSp06ixyJdJ|c!*nH|CCkN;J+`|?ZcO_fBfZpN_GrU24g$!0`7t0RB05_L z!I8wL%sBnS4 z-_7>dKPKcL3X@79UCAN?U+?p`QisqOMJ~Rb(?A5qom-ObPl9&HOhf_adD<*TadR2!Aic_dPf7E1YsFj+0kv2Ye*Nqv z?ERNLubS*`PxBSkG%mvbclpP1%yk=$gm}-|ojQ7$RhqjT)wchD`L6-$%(dFgnJCX@?=CuQ;(V`T>dY5448s?;o`nX@2f|a9gUm|zPdojGazhr=P-}LTN+3lDF z8OCeg`Pa@lftcA_#@%Am@)KCV$_x=KjlkSQh8xhD6EA3x?RwZnsp0lb7;$bp+Eu&O zW?C_UF!8-kDI}BJhC=4(G%&6(&A>Aga{GUONy>#ptHK>$_j2|+Wg`X8duQE@{&q5* zq%(S}XgNTqLBn(}_2{A(ttwRXBuRNmgL(qZ9Ls2F4Q0;^#?DCN{#9Hh>I1b#*IeIM zoy|vy(IWE8?{!BbvX#(()r;TX%lQG%+0_+WmQV2HvQ*%zpdP`N7k9XXjD7vMb^b2r z-SPi28w0OtoLG+job7gU@?SURP13-%7kEwf>(B$eia;JkI>il#oU?f^4Cws(Y!h31 z;-LR_M|~JgBtUG)&|3sf<2yc!tqcT44w`{$2Z^Z%JNE~RaB-byx^Std^|NCnG(;C8 zGdfAR=x0>vlcaA43wENV1AOi4H$`0{?x>b0djL1+*#1OjmX@F{nexxDme2YdMjJ_- zm*%u&tL@*(b$wvgi~&R!$AkYO8%Wp0fI}0-PYS$Q>7MBi^z4765M?0x`HKZ9plM=G zT39YN=H}Gz+byvAorB>f9^A=gN2bEmvL(Xe!E{z6+&RF*cvRtGpHce32T-10oxNe1 z9U_h940Yr{|7n%6Zk3A$|8>7uPW%aW)K+?wfY=@T6XSKe_ZJ7}`X(qryY6l2%&+mX z_mWfOFXWSxa!ZVqEn*yb$_B>*9NYH2-MC7IC59Ya^zJx<_$q&P70N^ExgV|F0{7#w z1hb2W!<2S)ku9-zMu|7}ho27N$-F$o__J!gc*|Ycj?cIfbZFE#O*Ao&V^YyZa&MJ~ ztUg-t^sl*H3qIrW34+jl3$$NF{J3xNgNXsjXAq|x^@D3u2(q1t+I!vW&6`MgPsrEu zFBN+N_OW~era9{r8`-E@@+5b)#iSQ)$I2PP`8cRivc3f zodU|T#@cpa_nc5R0bPWJWVCKw&s^u@HOaNw{Q38^uG%4ykGHDqxW1o~r`ILh!1uhu z?uJ8Ge#z+L1?Z68t&dw-0>vo@%ih44q|Ru6WQbt@RQLyEVElPgyY}ep%7*C*`dw$j z)#rNWjXRYab9Qo}{9bvUy_=Oqp|I8BUCEQjvw~QeUi*3eT$b|tx_;}osC$QZy7RXC zy;SG4<_9E8<$tRRg(D8gxSw!1PN_T^kPNQBm}D_>RYY8W*j(bpBe;V^>go}D&^SJN zbrEe3ZATh5OnESu%rxFNlBi-_m&cn(-5G0-+j^fA5L95?fIV#Wf9s`j)+uK@T!3xl zg}0zYNpORU54Vu-B&>hr6Q0{`=f7Yw#!@c&!`}7^_(R_E17>Tro{;wKh}7V)xZS^b zNbKKp6X6wOnD69HQb3cg$PQcb@cf)L(DA*?eH#XFKMTpVA;mLHpRkwi&PG&*=P|^4 ziJM@b8LGm;Jo2^dsX_vs?BD86Ko`gCQjNTMQV2Ptiv34YT@V%UqdW00XUAr|F4>Vo-oJsS z+bO$MzZx9W8rg!`P$_8^OY*5(EzF?O{$V4I+e|c&Zp9T#Kxf~F=TRRCRh|gwwX1h| z5q!k|*pk3J!8QqCF8AILm0Go8N*_YRE}hXuV0$&^2cuq?6BGQh9tWflRPSpfUy-}& znZbK^GkkB6Fen=l;vXMD^QvzlDI{El9Y`LWh>H73&?t7T&V<*``Yl@esQpq40c+Ks zIxFly2J7R3Iw;zb*`0VweoqJxXI?o02K*z0YJI{DoOA1b^$&B-nvTvYOgSZNQJ{%_ zu2ztiS+^Lt-^okKV&vDo8O8|3!`J2vn`WwBErFT4Lrg*S4190K0?s?dtX6_U-z-Sm zDa&FtsO5Zd%Clz1plE0FV3(R&s|e`|iT=kw;(i~7hyeryYvnWFk$D7RfuX3>w7hyCQpy$NXeJP>0wkmm>;0mA)r=EO zlJm?C@lm<62mO*EB_5m2BPK5uh|c2Z(*^!}t_3&bRVIILqx`1T@$d*DZHI9k%oXg; z{9Q7QuH0tn-{Vh4GxuIB!CqH%(W`LOU`S7@w-P||G)yHW{NSH={MYuP=GDBmKHgNp z!qNG7eGS;_n{|r}Qw>Ap0hvO`PhltKJJ+xuFF(sH-(q;r^&Jok8SW9EQl{?x_$14> zGrSX>HsQ^T6ot(9b_Tb$dsUU@n_{*xQH3Ep5j}N7F1Ecc3*HScj`nb!k%iBWFK5oa z_7^#>zsFS{0(#C{4qNnCb`2<#d16$4RA-dQm$JVT4&kI4+qDLbnZBEJ={Fh{ zFUkH*qwl~6NG^-2y@932CjG=hy#CNdmcJ_-?=u$k7+tb{YU{ru7o=;a{*`d2Bbl=9&rWv%FOd~U*p_%+!F|G3b)aWPV}uDX%v7h7&^6zA7igcgifU!xFU@ZQwnB&lEt zu~T&5#o%OT^$Zq-`?}h~Svg5sxvA(Pf_S)TyuP08D&7~nO*hwLm{%D{dxQT(38oxukrHxs?NIQ#20fC&w7G?^Y;H%x24A zA=;zRi5{YiOoSIO0JG7M-eVp%$J)IXvaDU*ChvYx(hA;kCZade=;YSP*i;CvU)lVX z;RLfzmbdvFz!+YC*)X<*aL^MrrK%yDLYK4~nK7_@K6xNU#)-MU>2FyDusd&qG61bd zy*WBE-|!;gzdS(lL_<6q*6K$MlTW%-o>QMG7b3jYta2YFDdgp`69lyU=tSV=TH-xN z+XX4OkTu?vy7|~~#wPSfU(uf?AV3b06QAi%4e=|zCg7y3D#H5{Ts-f;QDUe1yK#Ye4QS0xDl20^c_sSzbQS?=Pf36DNt${UUe zEX93~)(rt;=p^$rsgd5IjfIM%A!4FQuheg`jCp%*?73F;>V?@Ys#LDFQFGBxLQ#pc zzZv!csf{CcRW3r{%st$*n8;ggXa+4?2QVCXCs=hqA+y%ekAQvrkb=3$Ex9MVQ#@>* zLeTQV+H%#bjOPK08F>!PoVWz!wn9q{jn`@DabbYlb*uaB8f5-8=5_f3AW-kLGG6_= zgZPGuqS>)c3Va*~|q@opc0WSD1s+jlOy%Zs;% zM3&&e?W7bNb)%2De6pCW(`l35416^l|NS}JB@)HI2z$kUgr4k05lHQQ_rK`A#J7?>IU&jz~X6wsWjW_7G zh3gWlg0z2{A>Q?Vuvi}byLn5hG200|O}|=K$#|+{y(@v12+P^((ifbNxUkn|3sM3}RmqRKb!nC6!@go-wn2HV`>`{_suGldG=L zBc|6#cfw-^N&A{r=`*wpf_Uddp=Yw4&qi2YL#BUNaBUejb6Q*l`9fXb$!peIo#z&f z_Tj{92g*>Ot=gn8!-F?-vudc(s&n$>z_x&5UqAI5HUE*GJcxT%lw4rJJXh;<#lK8D zD1vZ#4XPw{<9R;KXCXjP_Z1E=i0UMvu<&{b&JT_QZ;8te-;I4OIf;~UWE6ZM(>yjH z{?C|j`IXk71$uSs!c5uu@iKlWtZ1l$EK9;MKkd%(AH0SZsk7YWos)b^0gI&QZ`i*^ zRC0g~LZ~CL*pL^(JQV(|fyMj9gKm^}!gXX9A8-!!K?idB(fL(+_?SfO7TEk8dhH-Y z<;)^ZR#=&qmJFyNE)ZoF&3a9mFIDHM$+cl*%zzH=<04SLscYgyZA=bU@p9?rKpwWq zhuhoPpXD+)_^ru`zs#g>{zGce;fJ3~x_K{@U5#+#v{e368Hla9s(%3=MXK<(q6AkYG^*hzqXpcFQ9)de zM7yDBqM+n8#UuF~fRSOP2J!^5F0lZmcEg2D>Qkp|+Pf#4mViq1kCmvF>>xp3<$pd~ z>AHYIzoGxVDY?>1UjE{`LY7Q%#D*72g0Q4XPQh>0RVIWMJP)2K0gt3Za7 z=Q8JXAi9EBnit9NALr)3ZhNNLKcCL%bk$$SE5Qx7UdYr?cJ+Au>Wt4 zEiW23TUQHw2%?$JVt2352|wfwlFhVJvGY9@M~#2Q$UI}6&?x<;InpZ~3N(^RkOt5& z#MpNWL0yRj%r|ru!3cQ^rAbA1x%0ux8pJR1-pTnLXbd`g%HHl^RVX5>WkY6mp?6_; zgn)L6;s2Y6l@ojs-dbdoVf{qibF;#p{jaPdD^fu%Q7vA{$dmr6O}eS=4zHnJa%osl z@N_!84EWx|XO;QmqeCKI8;ELw+Ekvv*fs}5#6%$Z3}Dv!xwK4}Gx%vI>*_F}{EJ*p zmTueQ#06R~AX}Nj>r`VJ@@Oq~@O#)c`j@PT@5~2wf~{vXevwN@T|^=_-iZfX)>ePu z_37LB*B%eoDlrE4=3_45oFDJ--v~n25Jvxnn2)v<1)gA0opt!j%Y6Kp5`GLg^(eRr zB@?=JNP_Tsd;f==9y#AHsH9f$cYqG(9ej<9{+DZuGk8Cy1S|<@HZFpBFX>Uvce82L zF1h)0&}hWDR?w3BA1w6@1W@p%5O8Y8hbz~otJtwy4}+Z9Nz6CNHP{%3c?9T-AjVmd zd`y`v7-phS3_&E4nO{l)5gDOKre_g_pz^QGMf6=;?z*+n1G6Fdt7k_=9yWE0I;^j6 zW*%a^kUI#Bdg+h$pUtvFJrOOr@tEf~5F2RJNP@D_Qjt0!HCXvj8|T+0&6%~1i62*# zfUG%pK~yW_h-Pt%3LxHC^YCuBkV1@|s5Vz!;Eiy}N9)MU<|-3BdU9Eb5c!#vBjOn1 z9%*qd!CsC3=0z5;XtlH{eQgtE3-lZgm>Vjtli879biaI!d0=MvIr+(hJ&ciJNm5K}2QhX-wZrv}-RYNU2vZrE}+B*CxLX)mLqAyJ#(Nr<6gly(0rcL1U@m?Pz(lKpbz zne*AIykmezKKq~q?|I~%yxqM1P|~{qfsP;;LLna_QuXm|kRyPx0Jp^EPwIT&-#6sj zIfiOXf72uqcm;a)&7Cnpsi}t3!+Kef6WW?nMK7G{ZX!t1E&zyr4jo0JBQzbR)s9>7 ziU&xnvvXnjs(Q6*cY({V&cgLu`$pB*_LDT-EDGcE>`C>!H{{)qnVx%53sb*uYLKN7 z0Qi|>`EApX_wu#if2+F-vv@lMtm)fgAVn8LiD!L;?>O_5f}GJuw`%^U_fVMJSb2>N zQKEXRyJI?4H`Y0URlmLx@n0g&)=I=ewYFVsY?2-^KF(HMf!Xo;x}TcAA#^qrP&Nwv z2r(7pQcpvyQzeGPFQNUSa889=ret zT3U6`!KCi2fjd~eoj4zulNcFMxjsviR%&eWohTB(2PW)7WzENUR1+wkx^)lTw? z6Q_R80$51I9kv-D!Sf^izoyQ*@Ukb3XQY3=hrFqqI~{8}>q-?*b9Qe-7b!=4q?sJK zev{Bc)c)s2Qo>8p2er7*JSyfwf-U`_UX$?70f;HFsMs`n@D-}uO(VA{}e}& zCAkK1e3|THJX5s(7ktBq(4Tb#Xe_Tbt^KO(+&mEagJ&?JIaz$eW~o?Rv@l`Mv-mJi zi?BPrR@NeS;UDXl%U=cM^s~rt*!^RB=~;)>=Uc2_Hd}Ymy+A3U?@n5bu4$xNj)vMs z5up54>#n;@#p?~bQDe8GOc3NA3W+d%2z*R(c?XJ+q=lhq!#QtgrV7P zvKqG-OF=3F1;YBpfdAC9bC{$3an$idDlc}hS%H!_;IVSe!pcQ^tz%7ICVa?Q^7HVv5HmoQ#9?k7 z2FR89LtI`74@g3b`)>%wwlOzN0y_6@y|FCrX*(5qq#XSrH&Vdi9)J`Tcw9vv4w^`n z|2O`YM)H3`j~^7(nAdY1VrU8fJhwmsF9UF$7!Q3hJ)tt(n!z22CjpGvpn0ra;P6=S*3)MqZI@z2 zfu&-CwkwXh+bk>|a}$_$iKyAJ=|BM%qqN8<=Xg|fgR3tc;x=CuFLR3Y;+ZzWo^mUj zxq=5)PDmgJ4n|ewjJ8FHI@~nEB2!#}f_2g;=UEzXT@%2YIZnn!W3I>@G4ueTzTRFF7zwGlsIw; zihE0VH-CItpEvKC=9_-`qM@9P4LXF z>j)Wjy-lY*-^Sc+Oj5h00d{>#>dhjZW0&tBrb^M1uJS;>rw^rYgj6 zfrspmd}myx)jJEHFFq(5_*A&|y*ojs_OUn{+T(S&D;i-yPkexSThIn3a@VngMP7^& zAPD=2?|BuGY*n{YGybFtw0KASq=XP`e0L%z22hmM+#LUDSGw`vqiv6X<_Au`Ym&E1 zuno@qkEuU4o+lPiy+=I_hsVnr;~0O1t%!fnjM?ztL8aRI^T^_9?kmL-CL@TQ5tidl zc;@VQ6I86rCe&BUO2jrE3c9nArE5kuY@Z>Y&x=QbqGT!D*SkVuvZKhm^n9G_nV&Z^ z&1?_oC^%{4=$Y^VAea-SZ_@JteDQy1_u2>Nb+#?+{Zb4?5?-ADPYr$f(nAq#FDpYL zMs}9TF>ePGAv~ZE{?FUQO`TE5;v3M*I}R(5Wlx_2#XT0K6&~kV(Cc!sri!rO^$T`c zW%mt?(9mx;yT0d6PX_cjqG(U{pd$UM=m!i8Eb&)V-Vr=*ea%`LKb$8X8yP&DK6ed# zka|`)-vo&M9a#-s`q}2BY42E5w5B0-Eb?DYR;?y-mtXftTw&3N0mm$n_YsR8#b1bu zzu||Bo7NRz{2t#Wg5_+;HH+L!(9|tVk4gD2S+f#%-#go6!_Gq2GWBS-M7fZI;~FCY z=G+IXit(CgY`3H5*hHoC>W6b6M=1*O{tqo?=@Z4=@;{H4D@Bc-3Dq>4r4Kme1usE! zi5A1j*z1Go#;y9zycY={&H-xIy2d+d=Cz$_H`S;en!Fqb3I4dYu;DNg+i?EUVJ?_r zZan$b?VaqU4)N#Uwj?X#cklKpZLOkK7rC+dZ`G{bzcnEi$_&P+&%JD zC(6FfC&=!=YNPiPDt~KmBPMvjCqY9z5z&zFs#{R+tAO?k&fVCaSW$9oCH}fs#05tb zNzJmwXZH~(**b;hh>%&QernR+U9)bqJD{(TdMtidU@VGTX9{1MYAtB|3U@SwWNt+>|I&7@Hh~k4g$WKM zhC}wv1i#6YS~6e7G)KOExt0`Klu06-HIOZP;p4a|L~C&D1Zxd824!wqcpLMGv=kZ$ zk5gHO0HU$Ax}bV(y&~1GW^JQs0sC(f=<+pU*5zt%qVS7HSdwU}I8_FjD-tBuK3eQ{ zb^Vkq5MA3bvd(q=)CF$Cq|=<{H5-ft)ZfZ^Nl6l~g{4vP+I1=3V5tM?1n_Hz^A~>q zwH@ABrbJXbYGEr)hl23MGeH?udLvy?!Ir!D>SdRy&aViNcj)grQ}{OQ01tgyLeISM zFan=;o~xt%P<=ZE`nXzxae4jR#JwDQ=01i()l>JvT&m+v)EjNvthL)UUsk{RV@|f- zLA&yU@ZXJg4|a@$ghs}?M|!^HXND>py;l!I`9Q;?;kMl?60cHG!nKIWsfCjG2=G_q zzJ&{!e^nVAb6Z^Fkr(`3xFRFHv0&#uzn{zh?(%Y*+WLc3rNuwUXxu(uhg~i3IRFwb z7l2<$O9D6F%Aqoei(0v=#`f7q!YLfYggpqazU%U+wYsqHVPL{gGcEYZqMc6x?J7T! zaOJYLEOIdZM*c#-w(nlAGUFEUwxHf~Oj>ZkoT-mJ+G6vi*NSGT8uy~sf2i#z z%c*sJ(Br&YXGMNGL2ALY$EG9=Hf21p9QH&!L_E_%9f13~7k5o|fBYCOdJ^K=@WY;A zUdsqk{+Q7;@C!-^K@3r8{-M)cMb^IDU~q_AU-Ww$ZZvD{ctM#ov;S&aWgdxRP#E=uT?2#+lWvzpA92KJY3kR`D;l8@z5pV1#&< zgA4pThJs6_mnqN36w<|48-v>F@ih5+@H_YEZT*!r8^YEaYl{MS6a8@A`T;8xp?^3S z+;9W@F3Pt)9>O`{Au?jiY0~JOrON~T*8bkvmmV94 z98i$9YGXr~9a`&L_T}Wztnee>?M5UGPF9_#^Xj2X0hFQFc9|TF9!!!@F&(UJRyhV{ z+FPDP1^dz1=8+mGuZWbnx+Ohlw%(Gd8l-*Svg}x49&1NSEO@w4wF`gL5)&#r{egOG zDqD>=Kkr^ zmSg^+W0E13Eo>#Q@n%NwER;9`CA!dyy#RuZgqpBMU8HyciGoa2i!itA8`r`xA z66|wpKbZYiM&qiuXqTumc>fT7lQ_nHT)~EM%0eF@!?X7+x}@r5V|LGfB2}|?llqv? zNR#XQ_KoSgfp$Iz&`RP%&Q0;P+ze7kmnwCb5qB#kH2Hk|kY=>L*hVoN(QxOX74Svr zGw&dRzO{8- z+0K#LtvJ@ zTJZGU;z5A4LQbH6k5zHPaPoB5J<3wheW8TjmI~Og)%j6zp&CC5z z_FapjS+>lzW#gV7)*XUa6R3j8s%o3{XF;$X%vPv5pAm+c&D4Q`s9*s9?vMY;z=cC# zqe$7+waqa$#{QTWCl8vN!qwzPa>N|F?@6lfm_JUKG-&i@4OB4bngf}VnN4g+>L&mbkw(oqi z(2faS$%W6iOLaf4E9DEK0+jdmEYIp8Yx8d7v4te)Obe~&(W8p5qrnrI3Cd^HC--z* zFInnXjCCHh%7%EV)l{blB-ayU`0S!xmeBI7*-Pl9wOo2o?pqN<(Q|DJn&9KOzAbwl z{8e@5@|<`~&^ZWf4N5gf6rAALa;K!<_uQtH@uOAVO3XdmtnD@$aV1cBp*Q2-+ZKP? z1=?EbBS_1Eyus07bh_LMe~aF5$^oD*lIkxTuzm{)Wx%)cx;IL+QU!e20n|7qa;IA7 zn8SrD>-m%&y1CdQt7omdHZ8)3=a(||sP>I7sH4ckzKBm`Vc}f3(f9z6Xk<4%FJWxZg21?PRsR_~a44<>d5KsB@#nHV8XN z`ZC=g)=)X<(rXyZ%Xwjoe%%S-b>oIyBJ)(65U5ndR?aV`vS_gC3OHMMZj1U)L)BQE zs5#y>`62%IBGq1WK$RUNh{8YL@N?VK;Wdn`F^-d|DXB~6SzU{>8#%xuuViWEmef<3 zj8P2ij3scO44}%SjFgwL48i32LZ3MGmijQms1l+6JO# z`8McJ`zlm90Ff$WYLj#`$~cs%kdKmY|D=(8#@*($)X2($202B6WN6I+o#Gt_UT>}u zhS5KeetIFN#mHA$DVl*de_dHN#$YHrc683 zkdJPGAwxCRde>!QPb!5tPlN6nYxO0|muf?N42r|&)*!o(OA>onNMXQVyCCplyx4oC zUS8t0srIL3lSDnFUu?sJqYx-aBQE@~FRDDk0H(wd*O}x!OpFf6TjK?sgT_HF+)iNG zIrHOdeN-R~#$-v-kL}08O3v&>rx(z*uRrG|NYDRu;=@Zy4k=42A3?6Jr8Lq9XeT z|K4ITnhT+(is}B$vGe1vUEYY5-9846#cdkcRZ>1$-TBR;IZ2fTXP9_vroe(UwH-p6$)#VcbCf2XXwm`P96}qz>od({ zkf+i}PA@IM_Y;^hBXl6R^2CZk$q_*}J82n?_CBn2yn`LAY{O&4O~2 z_mDg(nG_999}Tly`OtmhYPM!Bhb!-$8L5X4&`#R))c}9xM}%sfeqe#T9M+Bo+FFT6A7XyE?PU89$|-`S)6Q+ zV~y7IMKp1iEgU74u=z)nDxI2k*>uvP;nFuF)~af!AVBclGO=}aNwNj@+JaApHS~%O z=OxoF^E>Yg#$>R8TCbi-tv6w&`vn19e1PY!Ey64E@M`;nr|{JFEUNxflM*8J7t0Q| z6!}hCmLvr$2e%}!KID_~`t!$DMbgJ3@s4X5aM49QEQObD`a?T($+*RP8K&@|`QRUI zJf*+014<0yPH4YvXjWj=f*^v+AZ20^p~C^x_Y@C@Dmed1hB;n^f|>J=sIMct$tADz z>~iaUVikWOO<6H7ZNVYuS zv_l7V{)XVJ3b~vay7J}io6Vx;iHcb6qZ zieNP`HEB1jO>ynE5@-5`P4Bj4!JZ@s!05S516?dQmFu6tB&icE?4L-Sy+0mYgx&9_ z5I4fVk7fx4uW^yf)5m*M*S~{D^pgy{hBKEPE#`h#P}^Zmcm>H4W0z&Q)_7Y9e6&tE z?5FLf8{4v%n{AS+V%o<%&Pjm&QD@XwuSJP|yJ~XAnA_L%AWz0v-KCWYvy*xmXY#hk z6{gePDu-uBDYFF^mC9_A!h&-#iF&Lc$&kPzOH@Q3PD=;U0h5(d6F^`&8|yvj>gU})5wNOyQHf8EiT7sTebLz_OMi}pjbw_y`=^=Q158rxcHJh0FKii^J*m{g-pw+&NwY<- zca& z#&oSQn|mPB-}F2B{l5GUs-3R>usetj%U~tScle^zUwRV=D5#87juSevsU{1EjXCE; zuhOJvsr)K(>`su3G85ftOLRMjQ>jtuKqwpodbaJFf#TY-?Vy}?g4{a|(v zsdQb33&BL~aapR<^PIP}NM$qH19{=w#&0M7i)Duj@9)b#U&*b(0hxpco_Dn&7em>u zQ^W3w49E@ede()X3{5Mz32aXmV1<)N>Umfc0f{mYV{v;7?rCQ|xR<$Ov zS9MwNyh2%=vt`{&0hRE80z{Bk?zkSDTBji0wBoMMc;g?0$Q?c0?ED0N*@z=&q6MkA zI$pks5(xuYR;6>wv&L^r@1VwqAN)OoT|b#kj(JJQhYJE0bvPe_UnYBOt#10k)|uia zHr%jV5I2j|@D&E5snMJe9n>|8@GXMfsGi%U>zmE5_C+FD_E~OLR;d`_xnIn>B!nXF zh$2T^wUR3^YIOJkpI`p=a4JFyS(E*8YpU#}HmJ+Bqb}Gi?7S=lIM`m$3w5&7kNd45LDo8gTSs!q>9tnF z^WlrtTVYDGY$X-k1XpilrH6UFi&?V^Y=_1@k?E|myScUkZH%vlt7Om1Av%`ZhtH}L zL*)`if^6anMM%(50_Sc-ob+}2FJ{_kJIj}Bm>A@syOZs5pWrHYg3N5IQF*5@_pU>~ z!bqKo6Wi{|j`2@;G8Q>atSVW0Snh2Vy0Ggy1T;&t?s z@TI-1!!TQ~FX@Av#@GKu+YU58J-mEOb6$CN-T~m)zdYikRP~j|rnVcxQWzw;3IT&MQT`#j^yJQ}J~P z+kYF@D9S2tsN0TDDAu0ETE0n#{c0$6m&YGq!TvPZXZ~Wod4gRE5=fk%kVcdc92rgLm*MN zXf%a%l~@02@G@M3ZK?h0>h`NK`G9zA{Xu0?27o^Z0%pg1aa}^te+QVHcsIW}slWZ5m4oo$_J*JS_OS|6lcQ>@kHb(4<3m~5>zLz1kTHM=gQ#D6K{)>ynrdM4=tI6dCCFzZng66xdg%`QYI(1Lo9Ai=R;$9h3!nI3ZZJ7y zWsBB!Woyp25C2}8nqGM+y}J=FO|Q(qi;vt5<>{aq<%^#4J9|Dbb+`PtxlgL3k43W? zJ4TLn5sK5w$QCMcTdC_Mu5QgR3vgQGNYkcY&pV*N9wo`!XQc^UOs~WrAlo#w5?%p~ z87@yqZcmM_8$5Grim#)S0o*`B-qpq;5a#1#!ggFFdn;SE*MYOSKKs1}@+k713vO|B zSz0g{H^nq%#?r8FxCIk@Ru>>zu+W)5J;C2<1#S&}Gehk*$M>j@KgE^BC+5uUd$nEW zmt*bHmmy|5hd0a#I^dK|TIp%$CjHz5c@Dr#t~d7%P{t{&2#H#%bW0ktoa9f8H*sBZX#W=v{+Y55=d{Do3m70a(oZIOXnEV%P|((IACN-vHqhAWB6 zxzGVns~{LCBd>D6xcUt&|DT0~SGm@7u55zwk-Hv`FPDV6H zUfYJtB@~}NN@!MyFC@96;!u;6S@zFO*%sHmcU$;AvTgl4Pk41H(3;&M2JyjGqH@PgC`2IBP{drM~ zV<7svPFlmy2A*CS8&|YuCdm(@4Y2mQlw%M6s(X*u;K$Y1h9r1zsrh-bclacbj(!ZB z?2ht@t=%;Y4#`|{g3GABq?*N_fDi7dd6krJ0EF=MQmji0Lg%E{13^?E#|wU4c_D$P z9Da&y9YIjF>{9XKjdZG+3pzd}J&F)9FMBdd$xv4$l1izSI&!U-7|oRfXXoFZPt^Z_ z3wWsAlvS|l*vpAUPi;(`wECjne3I1$V2JW1uKxeCfYvCML)X5p4fDz zmTxL?uw9cpncPE)23tFJovpm=pJ6ZF6Dc4)ipJJ|mdHO5winz-K7j|u4p&y^3yJul9veEbXY zm^Wp_JA^{ifX0=fM{);J@uvC|rl*Q$kROPkoRRQS4-J=ru$6AA?XLckM(J&h^xei( zQk23p(4jY-Tg+MLv15s=#luAqc5xqMX8NRD{=$xF_ddwPQ7HkJ|1l=8NDf`UGqima zws-ov-T1Wkuji~sh^(=*R&`#Ab?o61H~{RltJ|BAoI8fQ;!l_wy0jU&*m)67Fz$n5$m+@h>7lSPU)!*`gaL$@MNvIrWQqmX-R+ zKkLjeW8LS9oEQ?a3d8{e05LkB>w$$N#kR;O%H{MsR;(Sbh}YqK`La z?SD|$Q{L67W{=9!XZIq6?nimIf&DPC!AYvL+w~ji_Ix@v_FuM#Hvb=rRqgrBkbw_b z-1NW8Oz=K~0>JR_@TIX%0si??Q%u?z#mZ_<99i{+y(CJ!>}{jN?*WrP!^bA*-y9jT zQqj!8|6~~o!9L1ESqQvlr@-Q&auUYc5Ix|6tfB6BR&j9P^uCeqH;F?* zVUBzYrCD>(eLX^X)A{<1^~;E<(v*$mS9+ADjlslme!WVX{aPhEN8 z22Cv?qxAu$cRhXhNM|ppKnYij{63645-4FoWH2t1-E8Hkpd))GW72Z(!xIIzf2j<#iK7JY2!EpZ(_iuZM zA0pbjT-BdtAElU&&U#g?>;uKsC|}X?Nr~4h`2x%@JWirG`>IWn`-Q6c@YW@tpQ7a` zt6E)^kn|L9$3NgyKTx*0`x;wpCyjVVe%|6Seq75}XehI4ytt3|w;(4FzT3a={3j`L=c8=? zKj^toonRXQD&gcMxi|B ze0KL;{8{RxheXD>5&C&7Fm7WfzNScS&+c)1(g zdIZ3AXKYW`NE;r+lH4?ZHx$A$!#Hxx{X*AIdQkMTh>`xJ55nDmWO*X0Sp*-Rbx`Gs z4LGb=CHvO)PjSTQM6uv_@D8Aa^L^X=6?%=!?rCYZFsv`zLL49L|6BGu8UVx>LK`li z%q(lYvqN8|t9aZt^jfo!K0)8~j9HhVgf=Qu`)z0k0C;CeFi1CrwfIA!`77XsThoXx zCvAM(msXq|ntSQ!Vq3Mm)uEP+#R;CXv6Piox&F72{?w%<_Q*gp{@lB5cMeU3KAQ-i z`T&OMay``nV%qr$ zNb$!A>7%H2IpUWG+-f;uFu?hjgN<^*Rba^Z zZvj2u3z^|3sqo_L<*$LQ@Qy4ko>}|{!-4;ADRaAC}Wk%v&2fhU- zB!yc1u5*%Qnm-f%0WK?)^53;RmV*hf^_xW*VL)V^8t70kpZQD%7ORYe`(`u_&(&c*pd2%#~n?iyeHAj=G~ zwsY|fK}&1#jok{AWrp;;(hwk?NRorKL*u}uWu(`3i?FX-&9Fq&#^1Scds*DjSb-d@ zip-LO_4+V@0W$FWt8qDdmb#m0-xM%k9x6PNh(GduO}E`OFuH?Dq%&RTrGFp9Fvl%x z?5#wMg81bcvHb>cIjPD2-IYCxs5|&b;IEa3LH6~{bgg|7_ggjLSB`Ij1>l=Eiw<-1 z`TZ~cENHt;TR1dv$U26-Pwon@SE;qEzT>EL(uBsdWch5$BIOZpm-iIg+FWp8wV=wc z^d!rpmwkSrukXIlPcU4e%XrzU8kAkVj4oZsbzN`I*(`)xVxEc;n-c=EE5o;B#Mz-E zKf%x9M(wuODUY(j-El2!qJpAH&rA)ZdMIpKyYu9?dr_`S|hnd+cmCZc=nB zL@)Aa2yyhS%%U$ff-6RP@c&VNo*Y)%c0O)v&0xMz0S)8~BBJcxKVgvZiMsgU?7cr_ z5At{7>E>KjU=rU0^43Dgj)_6Ngvidih202SJx1Wx>2KNlJwtNi#iwDJ2StrLf5~=L z+@`*V*Kwz&hb3AAd1MEuQNY~5wqGS$E5`RYAOw8yq$K#^&p^7NzxS>-I^tJv!s)Pe z#@))+K9(j{kJ*TuE>^w0MBOHJk`B6lA~RjTk>?fHopC|>)eodo#gFI%5xE9dCGZ|f zV|3f5UI=Zku5e|Qc2-mZ|MRq2n8Z@Qdl3z{M*|_Vj@$Fe5m4v!^`Fis`Mf7xQe0n` zUo9fQQ%xo+)^cIl7tr@_6TfLIl{-rYV{WV(P4>FUq$A9dIbmKBci4nzS9n(9{=W`s zOh?P-&HR5sL)Z$g4D+TsBs{VT)hb$73j2vrgd6*q0^t>b-9k)AdVZYZRNol8=k#9D zG3gu{SvF>#IXh&!5FRWUtOS2ko{cHfxJ$P4)csAP{lJ13hVH|2lRT3G{vJXxUINH2 ztBiFdhfXKdC{O3XhsThV@z znNVz8%+Vqrm1#K#L=#^WBU5KSl22A?mg%4b{<;JIJ`!m@NPM#qAx2az9@&gwf4g4K z0vq5Lw~gy>8mkZdY0gDPu+?0CX+MtWXnC z;<%;{1DuOFZB_AQ@%mG}k-MH}kzottR~I?*SDfOcPvAclo7Ck-uBQm1oHF1|ej$Fh z=QE|Ns!UEv6~dJYj}73hJe)(GE$sAmU#)BW5z6qHyqLT3!J0PXeowWyq^hRWzHztw zGFj|rg@(C`B!FuuhrWHG!fqiCr?{^FrrQTH!O^8rL-9)I7`CWC!uoDn!k@u^Ys=pvuYAjaW;Kn0-SglFynq#ZpL(#hfu=()xEreL> zdcH2G`0_T_-cKok7R3EAh_+^40?ks>-6EXf=OHo7#Zo6NvXq=x=n?Bl0iR@kuO_O& z%CS#-+B+5P3~;SABlgR7k;LmH|AC}>^#K5G?_&qMe zYJauS_@4G}K>hQ(F4v!844_WezztTzS@GE$!2HQGtT_X)0xrZ9dAom^;ET#1T|BT{ zT=zdHsTnl0EPwLEaOxPp@4QQP{j;EOlkK%n8iAc%Ki5Tp;bW;#Yg%(h!Xj5kKXos7 zo8-^lIyf-7A-0XGSaNdj#8R?U^Y*$qAz3m>f z{P&qTMYiy3mBlM5flxBGmspqQ10e9;3vFHPUR@b%K^S?nPwaO$3t5w!WNbR}9N$D` zjjs>2LYpFeZOys>74q^aN6Fwy=G@AzyT`bbb8TWb*8VboHEP);vbT!uZ+D*w5L{b0 zVUc6bKJvd7?I*4x>hs-p!2UE2AEbg!hn|^)gVl$Nf%O%cd_S!Gly^_7e7WofzDIz0 z7W;25`g5u`tPBuU-tcq#kR z@#$HY;gycouGfG55NR+dE+6(>tXb3Ii?%()_+Np1|CJ%Ea)^9haNHAIkRN6pG0^?S zdQ|s!hSzNwR`%b9tR(4KY}k)P)+{OESH;wJ7{Ih!+y-AEmDZg>{Rku27M^{AXF>7< zypHaaT!**zwhrrE@*b@#Q0g4hJqX`DvbA9z_f&Y~!YxCuL~Wc1K+RWv=Gh|~-~7?|N7d<7 z;qQl@G?NnLD96h40gOz4$5=R+@i__k4?q(*k}~FgGqz!3JmG9SJJPN%qkCDjDkq!W z`g2}a&WH4}xRcrZ3>*KG^~Tm~Df*qairXv&K<{Q%$fio~rAG}7psU|ZVP#4e+*)dX z&n~B1r+UPVseavmxi?@js?qIzd*bnl?Ek{{5E8uUGAJZ=-=Tc8TEv!CcPi!lzTEe6 z|Gd}054AAKHK0X|g~#|}6nrO9jrM)fQNf$><(AKrl9~I!-yF4-kzR|hoY2_uQm&p1(c5k59r9!Aw$j7M zi)gLB21NackyWDC5_e@W>>3xAR-15iQ3nk!%Nl+|>&Cp@G=FFm_5@N+TKOH@^x%nb z%bzc`owFEWWjMl8LHuBrqzx-4E%Y#rfVq*&JH56iNikej4(T9mAl70%1)0Fsw=JoQRa@u?prDy^FRD- z^Yk+~|CNVN6#f2gzSBAY^tM;}si9k_U+8@kt?^i(qfoPju)668MJS4yESo%ga0@lE zHd5XQ6Nk_`1#4g*NbOXfH#m%rv;jl-KWy^4ca9jmtI7?PcGUIe=a;P1TBWT0@eMG8ynwqcRDFOK;N*D6qA-C`iCi33fO07zKM+)D@Lbt)1 z{nr$q#+s6eZ)3R^!8a49QwVpYLPdg#^sLWg+G!DFq5)nx`GYfzO0=>|PM_6))MQdm zLq22$(@sS!f)zNbG9aGm+(jgLaWJQSM&^ykKr{FLB8%;W_?>boH@G zpY}{+-ZPgp_%>`2=w4+GnW`-M1tIIYS(9#ir*T|2gKQdH-+&^ zlbJvn3As7krIxh2Gf?oJ5?FQCdj-j>x=BS^#aw0hX1RaS1-UiK_T(uc#tL`U$JJ_c z$27@1#PaLqXK7;|PLe{f>itX)Y+k#aNUjlCLv&^!E{)rd7Jnj zR`k6v?jv|Ra{AkVNaXD}GXWB{$Nm1FJB9i1{_aU*T^ef-1v0rULbapVcTb>&ZIL7O z@zI)ni+e-BxQX8B_Z)@(x1_-R(5`D-FBdhRd(}tps3H*3UE-8tn{%SG_~IZNbCjM> zkyNR6&Etjofdl>{aS%Cp)3pBErk8i&)h(i(u5q;i#r}S}eSYa~-7o7y?a^ zbgp6iXs!P5qn*o#`^G>S3+5$cHzgvc-$WE2LBDS~@__d&ysQ23J+~Y$JJ|o0yBzQ0 z2Rq&CSYp~C9m~1y-DtN|c-u$z7cyk}hHd{CW?3m{Ye|V7y51BWv3;Dbwrs;j)veae zd~D~OW=_p(qKIC3_WbQm^OLlG<=dc{HwlTU%k!VJj7Af*8hvYu-*hO8HoPS%nPG*8 zOu&oMEqUGKQ&mg$+94u%;wW4+^9Zya3KAI!Kf-;mTP7Y@Qh0Nrh0P)eksy8h(#NG$ zB#P=P1VTV>^Hk&FAY+yrGKE2zlDd56yq}|`clE`BeGR)z7IdCV+&yog4$F9+^lIg- zhuX2sYVQZ?DCcjFb{-jNxZEeeD%N6tgC>P{ zt5hJ!?;-kJfUWFl zCv>UK2TBmgpsoV%f8to;kg40#Q#xMdSR36w)d`uq=CH%KRgnn0 zl#=u8<%gNY^my0|Mu_)TKpzoF8RZp;-g2Sh6`)0m7kl#uu4f6K_?Tz$)7K)SNbFm+ zqnoI6I}~``yPI2Q&UXQ!9S^$+8Y9e?xBe4<6m(!L~ibiVOF)YCo;Z+CR;;+NMW1n^1B(NaNJ+UXK@4ck&Bsy!FoJ1~Ru(0jT$sP7-wkE%`CYJ7_? zBLC9n^RqlB_|e*p%hH&TC!{wUSP|`qz4VU6XSCcYRpPusYVn~ciE~!ak4Sd9IG6mqbT}1vCx5i{5K^8XF$1O9D<5(+K z^x5o5heX2*7UT5f5|D5DNfZ%YRXx1!tw=gnXWm%;74$!pH~PD7b=Tqewqh#Nv4?rP zB6QxmiBYa8yLYtCHF3GOae007?5#8_mK*LAl@NAM^3w#A>9&_$@ABZg82WI;;Pz0 zim3qS{;|cDuKTd)xtJHDrLL(lYxC&eA(-}%YDRR~y1vqSc!G4}UxHu0JbsYa-~ODw zGnBb=?pltKYl%O8NFy|`F=TyqFxX*eK1peQrG4WWK%1hsX^hSvn+pB0kwV{o!V3V}C_*tT16G{@b{=5`4>-JSEjJ{4EY>h@YgsG*%%M)V=P1(;32u6`6Yq&u0NrWgn`5N)EL9hI7`$S!3g4l&Nz<#{-^LHqzhIq-S}>@-)BmGY{Lj3(6bgujFg|aR*wFV&zS9L69aQ)K}6v za}-T#60NC3ALeCIbA6d0ODCx$V?br@nCbLzuUCrl$=Hu*LsaNyA2W5nzb->ZV-4cv zhfz#w7YSBgpOJo(f*vvU@RiH4kx8JMR5rez6KcM!0FgPCq+z^PK(Kv5iaU z>iiTc^TwkqmKP;Jd}YE?sszCHRa^c) z_0iG&C!})|H7;RY4rfbx@##pV^zg$`rzCv3&mA8KDQ+R%L-S&)R)jXK6SN*2rXBho+xW13+86UufitZHZum)j+#YKd=B~Q;&zjXJzs@@R zr&A<<4dF!)*L)YAag~F8wZFW4nZi^b4TZ6h=FL9vA$j)Bcc)kRq7p4V)r`F+2u%Od z+!#Nk+skWNgK=4xw@N^IriKqWuhi@i8TO5*<|h2z_$n3f{N`uwjbgZ_MZfY%k?*zg zzt9QZ*PbVkWtagh%2d4caHwS8hHj~KW;6TWv$mHI7x@2BZF|1f7b9PY*0d8VtY?lc zLfCdAx()W8Ut(Nl_i3XUA$j&H9_}~db)YD3XuyfPc=P>ag9f3iD5LxDbn9H&>ai!= zLh=0o)3Ej-T$d!2*mdnjS3M*-C6^x&nbqc#Zuh}AuErqIfYUlf*B!I3O`Klwe~zv_ zo~i%;yM#(CT}Upgk4hz$RPGzqC-tRNDhgXAsmT2{W^+l%z1zxdq(UxpNp7>bFT}_- zmtiw=pUq{PUHs1H_lJKx9_M&_pL5=?+w($}EhW3ZX&!YUEDqlF`PB0I{O8Q?qmECV zo>o<)#3)N)a651~aA^KWqgD}GSvJk!(^-w-dz?r1Q%b*sKx3g|9%|nlG1A!VE!&2T z+WMb26x3xQBjI`C_taGKhAK)fe^OET=!5jBN=SsGEI`gNAFd4wS zH>MtNY#&fU9ErXoumW1fT%|-9wMwe+4$6+6?EjFsbINyh#w4}`Kk64!v(NvYp(=6f z#5hr5#N`(z&NH+<)H|YN9ABSr5p<8&i22MEEBvWnA=z+yWakvckUn#QWo?wW(?9uX z$})26@VLu&P(jB z%-;_Jwl}#!dZnE=<>e_ibrF`Sgq)T8U8h+n*nrxKzi-a-F2LqbGRD(2n?gKOkjsj3 zC0&Bom)HTNoFl`#@T5^GCVwGCFE(s?hFgk$xq>uGX9dg5B-%<5a}6jt53f7_t_vl- z4sbZc0#b(2V(NELemW)Gi@0k%rUg7jl^A=ZTFq`E2W}0RaA@MdA986mEBcdEPu!YY zfWtpS{XZgB*!K!sv#~+jnClmUIU6-IN+auV>c4leMQc)7=Ol>Ts>aHx<5@mDv+-vy zlYjg->P=d;-hL4_$*-!so`A=Hn7Z0fns^n~XISD+BmXq$J^T;RCtphuqd*C1$C(oo z&t9*l+XRCK`y5D*7cNcEO*Oa0c}bdSl~2Ar@m}zfvcUS^z|p81?%rmBXN=H4hy;+`sQsr;wba#w%o%Rr)vSckJ)%SgX@4f zSLD5SvCi?$Q1io6=$&lmm;BaC9-`+Uu9}3;soxUfnI`q340y;xU!|Y<*b(+40P)eg zq+5?ly~mvm>N!e;SO%@Tv%4wI-}K09+16s^i0d=O%?ML|1RvKv#gB6Qw^s0)6sIbN z%N*jH%2FJc0!8mS?wDT7B$d%X3)I^)vKV>#L8qa4ansHJ%IRdkY~Kx$6OwNA1EZ4A z7AjGdZsL5#3ME$vdt1S}vQ2$GNOhC%7Y>WJW??42#jQuM0swu*LpffV_?~Zo9A8wx zLxoqN-*Mp4S2?hYwK0*)|111KK#VbSH2yx7UOuH_MfD70K)eot*ED)Bj5ce;D?;ZY z(XNvlx~uW^gu+9=t^`TZp1Qa6=6y06N!li1*wmVe4SKRoW{xO{$=WYg$2Vc~1duu8 zjwu76E1R%}I=dF6?OOrZ$A?BvA5HdNn)iE}wS4vsx8RA{kdORe=y1)6l%IKk>*b*m zLFM2cpFQ1u&T@)Y$e0J}G_{sr8@y{qwp^8V!J*9v`(4j_t(g^tw6?b_cETUY+z3?%i}auzG$8 z83?K}*uPVJKCAy99bhQWcz{rkXC+>Wy}KI01TB2Be_Cov z&CdrH#kj{misKROvQT<`*KyljQ%E`~h94rtlRqO8g6kCC!YDh_nqO7TwswZ%g~q4e zt?X7Yq)fQJo=BSX8X9-Z(gN&?_B#{vQhXlM!!;+4nMqLRxE9XqFi=p)wq~>F3j~cL z;*yu}$vp$L-Q=4=l_cDwU7o$x_t$81_d|b7{BsqewfVI^CR9l{^^ITJrxvq+tlyWe1_?D z%7W`ln(xu+97n+novu__IB|g@8A3J7g*kdn2Tuz`IWV(B`||;g=YdKR_^_8pHAWso z-GWa>_Z!f-Eq-ZD_dgkxGjAcRMt^Rq23swr#+6jDl*}Yh))z4b;Uw#`RMr&yCY489 zSEdH%Fuhz_`RI?@zgB-DQ9W{1rCZh*GIOd;eu5;=)BHDsK4P@oCoO8j&<%I+d~nyk zlIZeudwcgW=8*)1KGlQJPO@#MGGE6m71n(67gd)}nU-0iw9m$}UP=)~Uzm0~d9SWe zU7{&7&j2pJxsUWt-lDPc$n-pM?yv29D+M;JxN+#W%u_iM8O@Pt_k3(x)?JvE=6R*g zTT$W+Rl`~7PQu?u zF1AB6IW{Oc_sb$vkm21WNQFP#2H?Gp)OX%QvWbMyo z<$y%JXN>ICJy2Vf)&5-Z2Bc;51dSCk>os=}v9ej`OutfD33lD^Cq-;cdGmJonn{Dz zvsCe+cAM_f^%)#rIF)XJEf-6m0P_FeX-F1H@w2=5(Zzn;5 zA+SzumJ{^+L8%he^u$>(`_p~X-r!A|#&vz0%70Xu0vZr7Xhg@D5+t%Dvos#UG< zy}}rMh3+1JAO!K?;rb#T`CUh7?6tLY=_!;jD^mVyP{H4{riw|Qe}%`?YhIoFPSGG0 ziJK}FdX7SW(|PGxqF_P&AMlk+)#vkJSBDlD-V&4E2f_or9TSjnJiGge`ZW2c-%6Cf zeH$NQ5en+pFk^}s_y0!u<1cmv76V~KiEw~-j7AK@G}K1}M2o2&N|L`Zuz%h}J)=G_ zern?wq@_lG8BHL&Q3?|!^t!j=|LdgZB*pt<8^hj65YF2+3amj#PIx^0Qjv}Wv;Viv z`ph@n+-RTZI$e2zj%Een*h8yjssL<&C1);8l@i$50_?gXx*7%61C9-jfRvy#2 zCtqoK&n$o6?BC53@T6APi7+Lrx7j43s;FKYWg5HnONMAhj5~++mgbG>YmuGaU{@DP zBrw+7@#LzMwISJ%8hN3rGcJZl{I|n&A4gAiG;lZ6i3$LK`|>Py#9V;S|4j<5r8EdCF5296#Yl>T*$oss!xA{sqjnRu5F9B5Azg!5+k*&HMPz&qFzt4FT}-E zgN{t`)$qbU(mrU=^b1_$kcMJ{uG3*uyz3_3T;Rw;rnra?UsHp@hY-T zrO~0@h${J!XKHTiydK3%)t{WhCYM5YN=5mbW{;B;IQIn$t47O^e^Ccug_{x}Uf|Z{ zR;1Mr0YwrAw8f{LZfu_`AIHdfYpkU6P-wXQW?h8^_X&TZ>>Sh<1wcT?D+P#OcmjOz zEx{^#9CNU8IFObc({}=xHIB$^O+q}9eJbh>#Z=0}F=`eR3D8%*RPq%JA-OrQZA2GO z`uTmRD6DgiGLt&aTw{(-B!6KZzukq!Vi!)_NZQ{cCL&x6C&c$njV2d<=y{}wQKr25 z74ryTq;cQ-fiphD%d`_2F+%PQ1!u~HYRB99lr6Z#*u#Tc8{U4>;HC5Xcy0gS0{3B{ z)CabnIp7s@=NJ-x&LW)tk-EfF&&sKLv*xj6P1uRZBI)L+aLAyC-4`{dsjJ){)Af>P zRjhtbnVUy=Yl6ohBZ;dTqYbz&gqf2eqq5|H%0W41&1pEYtVax zrC;le@~qnhbtF%nXV`T#boGt@kIz4|(uN$`@%`LbivNqJvcL1PYlyR(=>As+w?_A^ zB4XW{He0i&S{d}Fx4CsHlMQ}6ZO$|Bv|C>VMK1Zq=?3#j+8?GJ5u5HEjI(2m9}MN8 zR*Xkv{^&CLq+OF^cKREOFQCZ%vmbW}P_EA0l#w5ute(OG*1!wp%?N-K$Yu= zEdR|_{@RhhhW~S3ZEc>rZBiW}MDCdGTU7#A#g&MnRaRu;f`|M z&t_lxxZL9ShaTfRH15YZN3f3et^)(LgM$4!QhN4G2T~-GqcMxr0N%1jt z0N^yUe5jWQ<`e=cT%tzeFHk~#9tec-kW>_vlsT$$4rgXY$sD| zo`OH*=peygBbe~l{=76YTl(*z6xxm+$LSs2go67A^9Y!@vnp4bm{Fb`c@*VYcpl0` z)8$G+GSd}-v#ncz4xeVU-;=Per4nbj{R)&(0Q+uwefN`7oVK>uhi{vieLFZ<=1yOS z(6a_>WI=b})}ICZPxGb}`+%&}AzY;u#k3ZR>pn&@G=9NaKV!oc-EBE=`qstwB?yGS zeJkI{YDf^@ZmKo?Z|q$bYipt-G)2geu^Q?Wyx|!DmoUQAx~~fKAV^(&2=G%sI~#(W z%gf&XErxKzH30q!%iTGk7So>mf)MASJ-C;g`5xDS>d2dgk4~yaa zR!+hS<*0G~D~I!b2R_Dh$CBK`<;V$=l+#Qem9=C%h20qfm_sUtOqt1~W2e?1p(hX_ z#&GK^_R>|L?+4}@!?S~<_PR}`Ho}}~4)n?{gQdG`y z*5MT$qG9=4ppn+GiG4Ce{S+MfKXFq=;BH~VOfzyT^e+0rQrk^c6qj~^W4IF?;FKAB zQFsX!R&QB)VyItjJW~O#48YWp&%r&z_y*`1cS@Jde? z8Ahl-h4F0T2T8;*?|g>OYPSEI|MlCqy1tUlF}GwJbsy^CpBA{OzWi-ey7i4^Ng!zwoZFb~I9+3jSXFQg^92ku3bgpFQiw;}T?4pE^sI5)Ud;Q(j_w zM)_l8oI5;t1amXzw?pKZ-Y~pnEot9$E1@i(*~gFrKW@`n#u7X#M*0&f&Yb6!DbSyf zJwmLXYnaKBp0M!F$4X;LuyMIz-bv`w>S%APOy0*YoBkIpAw_=SXM|3%$;G!-aQ9-9 z`Oz%DUmYfD^j=9`?)B&EoFbYuYwI<0A|RSd;y%P1FJUJ z3-&t>t4O@3!A|Xbi#e9Jee0bwvz;dzR2Z{z?=@!kteQMAU;g zxxiLKiC(9n-8wBXiHNZ6Q~+d7qaR7c|3!UOJrlxzX(@^9Mazk+4;s~Qeb)RTH$xWY$jcBmR$l;8h(c^h8hPhH&%(%7N4baI@&Q+Xc^}{Pn?j&oEd2 zzql#E+(^3~kytKZYxtwn62h2v>h&NeuV&T@aX}R;vFnG)%uD$R8&#D330wGf*vH#> zqT&0Ze9C`cGp}|xct%cTKaGxi?YH$lc_0+c9GRh=5M`??T1r%=f|-4rE_LsO z9A{=k3kv(idr-G|&YMBYO%^gbW!KM?O+vn5Z=pO+)V`JX*F}Wgj0JVtUmluX_o>Mr zDaIfCB0yTgb3?xhC#w^{i&{uTw60?G_$gMX0NyHHn%-4~JWS_0u#B778i)I&5hb`Y zdu~W51T}*kCYZD+Y3dh2KvW37XgBy9ws7YX`5yrP@W^*en@KU%FMYnoL0yJu{o_zW zG}-Un-}$Vklih>k$9ut&0Nt;BYmbohzDUy9gW&YogbcB?N$yU+4QnB%TgGI#ou35&3fooqk=*s+vN^t#|O;ZN=%izAO zn4L5hF_5%nd zh~TcYn(^%-N?OSR8Kf>)T^5$T^_TY=uQjMNKHxg!lo?jG37&z}n9u~XvL6?=r=>T7 ze7VlGQi}R}M*&mRvc22?;L&=st-=Qv4nu!~JT$KXhpp%xazFN66xYs|KN$vSzyF!& z__RUa(=I8J`_tm;!&+^-W@J^b9>qH#E&A^`YMwC=-s*{8hf#HSotTj=UZus5aBcho z>Lcz0t$Dt7VyAx>ho#-Alp6?R*%x6JpRER35zQ1nkAzT0clGUTC0F)U%a9||5)xekVT4;sr zV$F@3g@*}O3=KZ_6$(?n;7&>l~gT%-Ia zq@2G@E{Z_5L!1aN*eR&^WKSh8xZ)6=-#E^RLOw=-!CnQTYS0;ibX{fV@X#RT)h9Y( z%ZVhlx>g;X7@db`!>*C!3XJ>p{NECQFw8EiEp&0~o^_SjWcORcW*K%p=P>LgaulcU8%_*GPcjQX!r>5} z^%CkrcFAT1*DjP8m?kxzN@G=CK%E`gDiwgcWNHJq=mU|2z^&%Cpe5p~wIa0r{Wg8a#8FjL@Qm(eqvT4IZUkfqy{IJ?-~4aU zItp!aZLZQ`d}-h-|6>)f7uTL9FJ#OY9`1lR?xVSQ+N?>|%nNI$RO`nQTe^5g+e79Q zYioE$SH*@eEFm)xh>FnlO*%8x9kzL*JI_63**coH;(rYe#)e%A+LRhk|AJMK=Zx@{ zT{uzaekjIsqx@d7?(!Oso6(Lpdp3_&?4P~AMK6dX3~oILYc;+UNE!tKAH_R}-`J%pcm%)tgLST<^8Df;e1%HH zZ7+%G=29j{6;%F!0$+jEWl%M|h6=Yby-)SoDxGg_&mRCobi9rPIx-WGUWNf`lKEll4EF23bOYm%R4^YFGpU)QrG`_!XoJh`&mF6IJd_ zpYlH`9&L&FyrOeMS#u8C+xaG+Rd$D|t=K;6YT8QP=;_Ssi65X7G3a=qe^w;?H$w9S z&pMa=P?U^R`w}1EHJ^k))*i!qRV{}7y9#V*7ApS`en5$J+;&88jsPA@N^kx#x|`S0 z8?3A~wDoi}V{z09UHWVW*%$KwL95%%E0H25MRL&r#}s?=g26>p;U!0qml*gdHMN$XL@1IR0aQ9DF0`C=DA20k%Cd+Kmm?)dtBWzhAlq6`6Cq3)Ir6TWq}texiW@pTlCB5sc|IJzpq(4pa8L0ddK}hHzmv zkOa`5b7BHK=`HvH@j>=vx+~2kFp>3PlZ_^7{khh%uuhiSU4Xr|8*D!qmwXer_5`rF zHEJZufqHzv{sVdIUN!(A2F-q!zo!A&pkM$MK+h6Uurs>$X+%kTXiyXfox|f@T(Aj- zRbLY!Dp;3;;cvy0OFuIvyI&R!HG0+vz#C(xOBBJInhh5u-|`t+@Q6eD39y39m!F@8 z9{V2zK=u!H=6IR~dYZFX>*Z$-N_h;RTmPtv)?Z%O9(2(EjO=D-V$|4cR0EK7bhuUg zMxeqfV`+dMBjo(D`L{{P)|@DLL#B3ctGZ%0c%KN1ExX})l?0y0l3lOFbWNzt7S3&f?fQxp(cx*gM;FCL&oCK^A zJO-pPbugoQ?6T}ZNlr-F`VKh@cnQRH!4-)FGbDdSTsB-GQ$Gbh5y*~yg7oU$fVf*g zqIO%=1O}+=k#@@a@167na^ext&q9>uKZYgTQjY9zC;m^_?gdx3IfiKiFx40ZvDyze zYcAj7%da+=BOZuyey{G<{_5Tw)+5g%G1kh@#7PW20;&OMtI>mt>up>PcK<#w&>HUU z8OCQX{R3!iai|4>Tia$C4_lOQrbqK*+|zfKd4KL^rLlF?nDqs4e>1pNaT;$@kr^1$}2;MwOCrOldH0^rs1sR1k!KYetv8M%B-(wWZ$|KG$7}3TZ@jz7jI*`PM;yyB1`EKa;}EZdrBCFeW>vjy$Pt zLWY`O;C-6jew~OmK%%sqVo9a{tZ~k)Vcju?%Hv1nLsU-~m+D!JGmF2aAMLF)(Kmz= z-*c>)vU88d3OvK+=h6uMC;bu5_Ag9(oxfXfL)t^_EU7Sy*buQD`n1)zOv_BQrBI{A z&VVQSikh>`TZee@3&8?bmS6k8Z6_zV0?eAg#@>kAVmNymO^3YrlgV?0FX@Yw4eYAPKd%`RRe?M?1IZ|8`& zF=j;lKh}=CPS`Q#I_HznD;g*llS+W&jh3Id=4*c}DeY1ac!l&lQ@BSas^}dytjUSm z;CFDWsr=~#v5kON{IsF4Zt)wEcbHY)-Olga$H49fV3mV1#S1{G^Y<&Z{ktJbeTi%L z9TZ|YsQRF4jMsRk7J@W>ob+a)Q_v_ea1nbb2^|Gb>il7){kgQQa_aFcquPYexcT?R z*GPDgdF}UX6*aFkyO0_qI;1qLDE((c{Q!cRcI)pm_K_-Vkh0OvpAA0k=To%o@m=|5 z*GZ2w(hG4AJM}UuuHJu9(woW^L&Bg-xn+~wk{5<@W z=3-RrL@U-th^z-X<;S&~V!Uh$GJdx7Gfvkz@5Iz7`woZM;bCg9Y?8OLw3^Dgx2co_ z`8Bs{>BTfRl4?HeAo^24w3UToEYa_VcX` zhl37Iw_h_@Qd)MqB&v)+=sIeXb``O80Mp4gy%V|(m+MQ-N5COvNCaBQ&~G&s1jj|M zE#aigg}$n5oO81@B;Vo+hBU~rcARsC6w9+#JI?`}o;8m0ns3lI5${yl-Y2i%S+C&T zoxkcz)*_j1S_q(iKt6rJ@7&eXSrl89o+7tnVZDjzRvI0U37=&Og^d-%clV61Av&ul z7*ta9MzxH~Nw}{Szv2nWeRh56otGp;_zC6o?_hRK0%H z*a}U|@vuT~WAU*I)Fipjm=ge(4(N)M|9c2BVg1A(7NdL`R&kPL{JhHM`Y2?(Xi8P| z9WljEdkUJb`2gFZ?t)smhLl8iwwGOw3PCG|lnhL4LCi5bkSY;bb&uDCDMGKqv-}N{ z5cus)1JQ_ht1=5_`?4ub#(Y%t$xS{4{_`01IsKQwVCLVm`@FDmB+R*A69&=20!-_~ zeFYA8ZOcvElRTq5cw)tkzt%2=spS923bPN|a0VLrDol67U*MTAGWX8UJE3q$H|!EY zHG>PIvnV_4PKIuc{2A;Cdn<2Mf36;Pu&g1Y)z)OBHWPl3Lvxx7_rD& z^9G-oKrAgzO`UI+6h^~tdU@Q+1=wK0@Yq!l=FgutKrqscl{#GhVxFn=Dm|vzWZ@>I ziZt=(vaQ1MI8&?Ny(o`!n+(aruuy7QSflmKCN#e)$sbU6<;ogT#pe z#qMaq2h76~YRI1){DKEz7|&W-Q26Z@7xH(@j-aMwHWFHhs;`UPEZl$>|NOi_FV7YF z(=V9UfTH&%0i=u2x}{^{k}XBnwrJa`zH>zA$D2a7d32#=k`L!17+ddJrJ}z~<_;I9 z)og`=RV9nUcNlIyF-0inEl-MSaqY~C180=UCOE4FKo2R5~tnrrkRXVVA0Z#MFB@WHe8G8oAJ6t}XPD5r6fjt(o8 zA2K?nvq%^7ID%`=PMfF(pXanu1(9LL z!wsIoNMvmPy)kNAVt~g((%ixVBY?MmYB^G!)lentMtgA}{7TUNHT$4TTU8op`i(;I zN#^>L_wfIG6Fz`9(H*KC7h7)DAvvs5Pu0Hxp2}+cxYsEL>Eg6~UWfSd=mLKS zqf#`9aWWX2-6XjCV=uubWfHCyWqrbhl}@`VG**7PJ{bHG8&t#_olE3@%CE#QWW{|Ub=`^~We z8L&QF%&2VauZa&Gn4i>GK#-H)=$&x*Vb^TOeN`mLev9k<%NRy;xyv|g%YDp0F#+p3 z*Wt&tquL8E{0@dRO|J+Qv?Uu>!d|UYRi3g8gcpS5!W9PJ(Kh6==w43A60ta@*(^1m zl#KY_#>f@qFJ|Sn9`Pf2ips2~PCe?!zU3e-M6ph=ZBU)+9_Q}2P(+YT8OwDyicrdJb+Eq`db#JZ!7Cqz2oc-0k+LFCl}!TjOvNDgLB~Nve1ew-l}g= zeEbzG>pfcCsEY_!RMeDo9sbwXV}N)fE=p1bpWbX=m{NW>UaRGm5CfNe!bvN>2rARD zl9+bhUv;Z(xY>P*O$>I0paJ-A`gz4u{9nOF>Efp0~KOACq0MM87N{^w} zcJt(eub=IY48m$~UtJ z|Gd=(?I+%JPHR&Ag{cXAa)7qS@hKvIFIyb@ap;Mq$STxA+#nx@=Kn8n;oh&eLsyfU zv)wjiHtyEXERf2+yHxEq2UMWegALUS}_2(tlQIG4IbY#yfUN~73vujoaBhp!j3%VB8zWaODuvEY_u_BnMR08i(1 zzusGG<(nEze5Nr8I9hsVQI<|Yj3$;xd7aK_h<7EPOL7ANn8OQ3fN#b_cwm1;*XTJT zFf+C_pA;RQ?BXnI#eNezcg45woA-got`oxJ?Y%p%ugkBF)J%HaY}gYO6M8+wSdRa| z1DL`iRQ?Xl#2xdzPj5E3a5L%2WTaTDtDyJVxNZ?y8goz1+W}|V6e5O(+f6#mM(NAb z_}jRH)m&k5le{E%2dAg&*TtdNKgmI5oSsL~W-qzlE@3`NBJ<@Q-?QNr5zipPc3pVm ziMya#dlm5KSi7h#0UjLKMd(=pyyy}KKueM6S^a!6;zs=pgLloxbHVa!;aiIKhd-(2 zWzCfjm0CtR&e4GhW!1Yd+imJIKV^a8UrC{mjHcXs>geZN2_&=vC&(j8 zn0afGvKWevfK@=4C;C@mp%m^88F3NZG^b(WLpck$G&sp%gqtmedBuv9WotMzxNX*z zhEvvpETVXN&v#FV6=HLRNdgQ%`JJ5mM3d4( z_<9nPCIyA!EkoCopzf3~fxFnSWok9x5@xPuP>!c|mPSt6vJPlLXUD(E+Y|>QtUR<) z*!=)113LJk#NF^M-B&w?YZu>HFCS7u(^;`^wV$NWe4k30jV^TT6r;<()4187CyragylPoKmHvwkj5p%BCNVpBf@O-Qn8IU+x z-LEi@q(p)b5On~x7As)s%$vY?V(W!n$`Eb#rxY|kSNA`4nJI;iQJ~*GRrlQzRj0CY zF9YG+;O0ZU$TK-?ZxFL7^jIRFlR*uly_aR%+D@AOz?lF-D$)Rio8)r<(iS}IKVPwl z=6ZQZGzje6Cy~R{1I^$!v*n|oYP0&zUX%W~o^D6_K%wA3Bccax@ZmrB%t*nmYu7$n zQFO=dOoA5HB@S{i5pn>=P*VvC!@1vKU@P=VaqdxJ{}{n=3o;4vrSR;IEwCRI^#zu}oD+PgZ@Xvp0oih~@C4EGjk2(*i zJQM|AA9{I6D9ybR*yJ}@<${(6Rnly|Ne|HcA0G=>a+eY3=|-@h^|D%TmUkx<+O*V5 zn=a3Zi+tW&V=`_TZ(qqh#@0YcJo(s%!RinTDXv0+r?%Cw~u(iqn+JVjvg|8y8H5Fg1S`)BD<|))}B3fNmg<7MYYWEaX>^D;6Iu{so<8f1}5k9 z7u8+eqs3t+N@NS3dPO4=6)XqHZ&KzTojNx?9qjB~9d@u}8z$6sy5ZpcRMPd2F@}?+ z$^c#ATM+IAh#tKO^^zB=^v*9*!U(2=%IN9|IQ9B%!ivxMaYtN4 zOdp`y^h|Az@3InL!s+?3w8t4_C7N<@0CN?xE<+C_O|(4l{RlTXPW61gAAHpi3LO;u z5Q|=qAS1UIbcjD&L!=f4s(%Pdb9efKZ#mHpH+Q5QL_zgFu}-jr)yZLsleMn1pEYR8 znh>7kf^$=GyqWi2;e*SS^2AL3DB;O)^CZgDW%U&+71otk^9<^hag1aldA2=&;O`0! z$R^S+2C#QDkOdnn^aek4M#%js)7@)8GiOFS1XT@b(wz+EZS#)b4hEDq*r>ks*Aw#n zo)1i{ruzhbrj_!uzi&eu%wzvu&xpzkB?&=K>3#=(>wlS^gC?{@xl=1xz{ioL*gUb=jM!uroI8g(VYnQ<_Q`Z3&QP^zFG2@t_j) z55qjd{R~$}d`l1^$lG6H$l3iM?--d>a3iBxuSmX@^fS|Bj8i_^T0R>2i$v&I@VmxS<{&<7mBlpa2FXyP@Z&p1e8}8(-d|0wgyq)w$$SkGH-Q z#G~T56D`sF?>^&~qjGC`Wr<5r9}N&P4q~MeaI9(;Q#HtoCv*3_yKMA_A@e3qz?;(d zjMqWjqyR*7zF*9{d@blma~5WmH#0;y*}*niEGwsVH7nQ?dNo_H@rI$qRAA7%n_o~x z?))6Ob9x+%PW$4n;njH(cMCpZ$c5rNcUujA&Jsu>l3*qF7UNmR%+&ypnHr6CpvTo| zM#%E~ZD>$ydjSJv7_5SWn3>faV}RI4zXPWYHGK>6+^sIuRhO(D^P7*? zW-4l1LIA>FuIcN?^OO;l(3yq>&}x1zY)fr3mvq|g=1nS|SlBy}+cPnuDmqs<{+X~A z+6?eE{&lA*6g^p8{!B|Q_qXWU_`0bA)D0Hcjjy=t8R5u%s>W37a+#(#R*UqR^*={7 z6xVdxt}L7H(YXToG1@g74^-7o!kgNNND|!uy%IO_$x$CeugzT*u1Ec8cr=?(%ZP(J za8DaRmd+o4UF)bJI!<*N)+C)s>*8LW%PVN7#_!p=K60a#e}zXy_jG-IZHvHf{ zuw;7X@b(sC3gu(fuZEmOt9lzpm9T9y)}A*JO!oS)$A+3k>*Z8-aLc>5Z~&UEX-#|*(%5Q?FXJT5_MG87TM+nK884b_L*ss z&FZZzlKI=kocif(n=ck;;`VJ77O3Wkz4pwONRc)#0Mr;Y=ZR-7&uvw>u*<+<>hv=W zpZ3`iP>}WV@fUPqr=PxFR``XvTHD5<-blfk%;})AmXG(=2U!=zNdvOs)YAy;@kwLN zn^cH(+fBohXq5&N%_N-}`8WIuMvegkv-&XeLwkp9|CI5J zpCG}5R6r#QGZ z>P~WJbgV5X%?2A!V@WjYJSBMDOv4;lt!Ukb9Ux_~KNurVWDMboFg zNz3^Jv}D|~BY{_{)_emEmVC}GM%=c0KSZtpgkVB_H-Zz-fkz$M7nXd|h0&*5yE+|p zj7R7-@{WHuAo$Au?#kUw|F{S^qf~- ztRv1bucmHXZ1I-++eKCzwDptMc_{vb2vmmJa(D5;^f%t;aTn82e`Sd-S!T-XtlyDv zl#?bd()d)d8UNE7ZAss9eQ0Ggk4agc*xYswg9OHD4WqfF`N&p?SfOQ3#E>6|E?;*~ zz6uGO%=;Yy(ER7h^t=vaJD*gI=zO zlo1|smxc-9O#Ee`E`2_|YBZ(g#+UcRZ$Xp^I7J-Hp5;Y7)sP-ak6Ro@xo4WFTY$Z?9*VY-y|)siUsFj z<6?93Bq|~R!rbqpR+t$PDfk%Te9~#e!G*!P*Pv$R!eDw5tWI%iPTZt1`AoXM)5>ya zjW!jyZ%l3(Y77cGzm^a-b)%)1D}#b2T~CF3mz}?)xHd!HKNzH`Q+E#)T*n8{JQP7Z zgXuQFR6iNY6PlHO3hUmF?Qpd3(>>$z*djuqNy?FIUw0^3bGaI5OUATH@KdVv0JX$3r7X5jAhJHMlB$- zH@^dT{XsjJXF$N6-t|DoTOr6R!U+7YD5e*v{UPda9+MT^YUQ@V1wMgqD@bwo4Fsop z@d*zFon>tHg}EYZxsdiU*~P$P03HC4H+4G{9##fpcxeUh%a{X1Ee3_QqJkwrDD>o3 zMAxis4Jv$nEp@r7`c;?l(>hi=6MJqS36=CqBfRdc!Lsow{e498rV2d~mqntSLo}7` zO0MdjWuP-+Nh{L4I=RyHoq&++0fmmM3+1Ecrf?Hy_$?5tM?Z&Ws5Ywu)*aB%^Oi?T$% zAdE;(oTy;5w?JnOGvv~ZNL(?eo)aV@>m=+by4#w~mX=T&KGpuj^ zyO+9GDoL-2xjMkRqRf33xv`7)A5+m?+Z?~WmL&zIL04{rhEn4c$wa+5ZAvP_hZw_I z{y3FP0-%o>hQWJ*@)cS8_Hk8m4)acq%7V7}m<5}RCKLo5|DA4Rm~!6Ts&1|RCJ~_` z@e;Y0b629uvdt6QvGw4?ip;dbQE4~ILWN0IkKy3zXTaENZL!W_q;ScPbU0(AYZ#Yi#i4QV?*+i<_N(HX(8h1ZJo}V{71>ij( z1(dSt)0*aEdNi+q{(gCjDlE_%BFgT5C~umdTzxO>CD|Tdt*&imTx)xrdOtVWVcXEj zkeyVnlFJndvN!nFfPHl{SBWb>Q4oFbnh{3BEwo)9{8$6@$*_A($!q0tk4S0g4Oti~ zzAZ!|r>uIncOYP8$$cQe zWX0$MXg=EL6Zx7V4sw8UhqLvfzEs5&EafltHnxoD%;=|k3`>+V&D zFIeL(k{AbWljd%fe#HQ0bn;pmX> zYpJ=RAYr&a_9E5%AQJUru9rCuX4r>Tqb3Co=^)c>qJt%(+kv;C>&T#woaIw%d0R~( zDH&jIp(OR9qgm*$$CG(O2AkQ($rrMXcWsm|7{D=gSCdA+kf^GnfhL^gF|l5doj>Bk z1VmN@Nb-5qhYx{S@<)i}e04QB0>_tAAl-^vr?+*!Dkzhe%MmASbMCZ)L-Jym|95nq zt2;1p^f1|jm(c#uUsvwB60saAu$*(vm>xFNBI~}+jXF%$O=%u92sVEHxN3i<`dFso z(Jt?CShs>fcydicTQU{&^RD{19;(h&n0-B9X6=p9ef9rojsKfN0(e)>ZX9&Dv7Kj* z2jKx12h$cUrS^hp|Ikc#aj3g$w-+{O0GbZ5%eSs$fcvtG($WULlH#M?z`g66fE!ax z__BGnDG4z6a$-#?f6&b_y(8upFFpy2qfi8qz#MiTvAz|D4SGMlZ|dEix~`OQz5QFe zVKctATy6d{#0q!!^f@4JBfTY^vj2zm{dMx)6_su!jiQig5o6@IClcqv9z0lcma1|b z3CL-urKN!w+@UyF1-?pcet(rWp%1t>LCEm!y_l}D&J_J0MOPlr^#8|0l7!-$BUh4i zAziM`DoQ1EQ`nM9<(M-zI~*Z*7b<0MW0-rknQeCXeSZIp$HVNi zz4v*)->>)c^*j`*_Nl^EN36gRdl#q_4)FETUQENS{=B_9Zt36VUbc-ia#;2=e|~C` zFOj-LEC>q2W$|LALV~o8UZjX1%0lQDzaCD@X|1gW7(ZrubE~?R`MVCj{4aLd z&$3A$I34_+rS}N_9!P=a$F6dozeN+F_;ymGspTr=6Wm?E`|Ft`<0>$zy{->V;JkLS zAM82)LSPLH>)1@?3xY`^dp4cWdx!qh;g-C9N`!y>;<|xOz;@C9vkWkn1i(Us=FZ{6 z`W}TW_#_pZuvo8%cgUPy{ZmmGLj;n7Dss>rvy8Lc637X`lDv;4`)&`9&=ovNI&7>o zNtCxl^{2Nk3DlP^Qk~-#eHHNl|3?$)?JV9yr|(8&J=f1)+z@Zy$YIT>J%zA0;dR8$ui9#J1=}VwN{pR<;Fcq@ z&Nn1mpPQ9Y+NpW(@)PLusia4sS^~WZr`7Hy&z`m^wcUV zE87gKJyLWiOj2lbgY;<8?F;$vd+iePD9LMIrdH_65@z+o_&sBPb#=j`@#!eW{+6dz z?Eco`zdQ{!oPb4{q{6ZXa;-o7!FlBj2;2u3{QrP=`_Xwv-LB)Es9B%sLZt}HfCf^G z%P7pL3fn?5a;mr~y{$Z={TGg!o1f#Oh>{1&OHqh=HgN=>7M!LLL-;0?;^tL;$h-3+ zET0j`o9Okr;34*j%6(4-XGDF0fAWl@-32~vUJA1OdnZR@CaFQ^CPtjNZchovjVAe_ z%fBYRMG6V|Mi)t6G=ZcQh^M9|Vwr_F9u-($Mj%{3{jOcp9I?;^uYDw3^eXi4pbAnU zFN)iT=hGs;4xcnz65KjLb-+Yr=MUvfPX-MNdNsi6|Ba9nf%c#*$HS=hTna(`lMJJZ zch{a+LP9S^tnGW3#*%lA`8qL;BzmjZ{1e#!>Fi6a%EtNIi;?68{JS$%UuC18QFnMv ze^R3XPv~rYm>uA^sPxHg%|v#rW1y&N;;p(6x_^3*@@GxMajZ2<4+&Z1u6nJ1*ti&C z3+#chCi(#yV?@GGnAuIO8=B#Lin0)>Dy-5qJ3lK7U^XoYip~fgFic!K z^nhEl-GjW5o_<~u{8ne<9p)nRi`e9NR82f^*BSv+$w}~h^N8Uy!4(!2I-0eMy{%wq zLqsPIJTemk3iQ33LZE$;MxRG@81F=^LJelZY*=k~dp zu8ioW?if)paA12dFH)8LnRLrTGD)EXvA5gj-Hv2hxsSk}@My8*NkYT|k_tpI))&^= zOjj!UJVMtYGRCL3EjImFOIbXuOJ4O9eYiC4!E3`&HNey6PhxYUQYE7E$<(=;50VEu z69f1H2wN%b#PJr(GtC^!ZeEND-qU3Z-@4j~T2_)}un+W6+dY@ucpA@(BU>l}-oS8< zQ(cCVPu~79HA{Bdcv)6&8sIlqhl>vmg?`xT)BFeaEGn=C_HTctTX+N*tI|f&jmw(Dc*oXW@L3rMA{?qI(9SA*E4leZnAXGWYz&326E}n~6iWKUh2W8G?^$xD z!W->4*UaKWNLzP=!d>Nwj9((4lB|$4Q_Fkaw7mzFe7u`94OOtM3^-}2c}lzZh%VXR z`D=8rpsC5Di)3FP+*A>mf(|nHtIB}KEcqjz`8zrR;GzE)m6)_eqOP>!TE6$^M~fQV zlD$3UBV}U?X^|eoCkLy6j*947>yIE!q{Ei5?y|?4<5$J{?or{*l+tHW=TDDjwHbtD zXJDO%g)Z6>koQ&67nIwRkvOiy9A03@*)0QaZvnSua!*DZJJm*3q9SsHC(Y-{FMGWAQqF(h>qT8+t zB7FrCTGW6lq(BTX^r0Y!VUI@h^w5Ylw_AG+%S5Llq7>#Wx!Vjm5$=w4w^WXPXE!7Y z`9if9Xlev7OY+hdZR?V|J63FYCy)1xTv+kBgyaZUE=j@VO(b|bPOyH2t@4n^EiJV{ zHnojSesg?ljAdQ09?Lvp|QJ7>Y`xE*otRW&MEoi zConK$2>~q84*e58dra67eMM2ml(Hv{s}GHw=bWsTB)0~wiy@%iowGH+Nhp~LA5}Vk zg1%GPJ<3vaRhRTUHVe6^ES2^=0;@fWeedGA;(5W0Y+WeMn6LdjA$750@d@#gngcLS z&71eND#E;~Cs9NK_Uvf!xp}eD__ITAOl8>4C5lL)2d%o|voRukK7%3A@zl_c&s!FGObX8e`uMeLjn0_@`@ zxH^U1Ut+khUsksPaqk5Va?OB1AEfmLvANlkC`-6?v*lk+CWV_VDADTSISK1g-GJ)? z$KdS8dhtC9z(+cPt{tRFsJ%XCWRj;6OPc$*pkU`nt;=+&C7xK||ML5dECQPIX6!M0 z*I*Q~1!wk&x;QVPcGQ+|`$`LHcP`Uh=B^S6hi}tI3uE3bn($XfP5>Do8hDh2S+p`G z=!RxKag$%%Z=@)>^&sPWutO6Z>9rn*k`}EkIJ9=|W&%gIl1Jhxd39;Vy>)wAoQ5;E zk|yh5Ly%C*MR{d_`>)YujD3?8yRSs_;$_IE)H3u*y~kx6Ssd30AkYN3$;z6|#}oGL z4`J6C*rFaO&bB`>)p$b@yx_`B>LkS|XJ+SvNgm%7u)fb9deY*>-wKA#(CFlY1jU+H zL+m%5_thPuo(NYg5W&ZfzuQSlKDQ`Foe-`ZKm^B*Slg4<8%Yx*Xiez%+9#p4mfdvc ze+rU|YB6fNFtoi-e0#%h;i%-ZLMTwzvi3e%++NTTqa6T5E>*DHG4ZSo`l_GvwE_>=V_@Ns7aGQHFnGcI>$YQp0ok7w)qlb z{(m~S#xM&;7*(Mz99x~ZKN5!pC`bvnVW8xy9yOsu=X6#B)ADNy?LllKIy!DW2#c=K zJP1FTSsYjHnp7&yoKHiRp0G2Yd5QnAaFOq!Q+5R(U%Fs+e(R#IB!S!wfA{*knS(pv zcwvOfaodzE>x)gZL1PS6iCgHKL5D~0aeL|1h>x>FapfHQEC%~^x=hPGPFt2J0Vn+O zGL=4k+jOZg?CWa9$P!3s`DAUr=EP~*c-8ay%3sqT%Jn*|PJ~I;jd~V`Vs?Bb(?|1@ ze?C+u`9ESij+Hx5m_w(yw+v4Hr4t_8_wWU5T!9Vi$M_Wg=eO}No&5V3k_Q6KZ6#9i zio`9(?E`qNjs=Nv-~(F0+N)Dt^qYUoxh?E`$Z&!IQ{ufOuojkd0=pYG_7rE{dce;F zrD4=}r*d-b@Ev;MBmc7?T&5W8^T_$VR~ks9zZ7H^t!@oPoAGvy{kzThC~wrI`a2*p zc5a6Z^@eBr`=*%=_S+!|(e?B?>w6E7iwzHS@di6}9w6G#GWYhi)mZDlkK1>NN?2uC zOB{z)uXRIqjsiP=^9m@|0?0}LPD0u4;lg>+b*QtqrUdqie=TXJxR?#9k|JTqfI1=~@-lo2-CZpM-6 z80X;~ndZNZ#~)|pAa#r< z8s+};>9+E=&of)FP4miS_|ctYEy_4P)xrH=c+u#dnZ|kBok4$+U6rsEKl9j)snm>d z&m+0OH+hlLN~&S;h9oY14y)q}oFs1j^;WDTW2Jwb%JOHjbtbbH4vE-WbB(e7N&|v> zV3_*dGGLiAYTbA<^CSkLB)wrlF0f3Og&A`J!CJ>u;T$Ccc2@1t*-2MMz+M|mb_j<; zXz@?(KL=HhG9KS39_PjBvV7LFc-bR@W#a6G7n|VFQS+YV>~$e}iw|3=*lGH8EZu+onZ~I}ozE!9 zrZ9rs$k#Vd{p@Gp-<}a#Lih0FS?`X%G7Ivqy?vO|8F)AUP4{om;0A<7Md>Wnb@J@v zE22xtcY>35`2A_u^P8gKYGCoIuc$^V!b8qM&v|lM?KHGzn_pU6djM%|$Pw`v|1nZ@ zJ{p4*S6=pBIc11{>vx|6blF~?1Xdb+i(SQWl*8z?EhM@N_ky#i1J0O>nur-6pAzk# zGH(Crp0vnH1T7z2`nInIOe$)I`J6Qz$0NUMuEs-FOrO@&EG5&o?WBepSYPlMBS1-J zCb2`CMX5CrmjO&&T*c^Lht;-zmn-)=N@5*y>Nk=nT$=x^1GnCRp~(m8)r&z*b_wSC zUeA)}MGn{ofzu(35c92=yQLrIVFUHq+gmn>X47}TTu+hy?Jvre703@Z$fCPg0o`ex zF)_Ax+k)YnvN+Ss1?1#A*Ep4Z?JEHfvDdGUMxPQM+gtC?(}HI&`6EZG*V9M${#oyB zo!4jxh3a`QL>Zx^k!6%V#sVzbWT{73rv)%P)JlKVaqb!sI)>9D7cZ$k zj39yypOG0+GezK#8#{d9S;R-|UmBLM`M!+EB0<5{kiQymGd#a zDd?y(jCU_hYDyBJGY9)A<0=a=d*?g;-Nei?Q6_^`mv&M{dU%7T$jWL^?RtQwreDxz zV|VI5#9!Ntq@bh3dYTh|&x?shY5+lEFR^cm3`Me=>5@V5XBtmD>zB3O zVM-y!?PKzgClaa_`Is2og>ybf^?1?hi)=xKJeLI`Yrd?<%}h@J|Nm*gE7qzWsQ2ef z@EWMy?AZT5JO&=%kg>xt!qvOnOyG~)#TJahiVu|E!)i15k6h&Ky(*#DC49RG=3<&L zaSq5EXC)TK6w1Gnf&rXxIwH+nSOi|GBo5&4{`*P#;+euRpVD&n>;mCx;@v(W zNdr{`cvhPVzfxOlh-YPAsiU<`!Ab0QcgMwrO~FcS!QysyZmeaG=V-8VN3ft8H92P2 zDEHk`KLPaU-I@O2+Vg$x-(hC>oNu6rI*`)@n+fQFv{(uYzxr z4A~_fin-~K>NQ{>dr9-LY0fGEtew??<=y9ew z$mf}vWuNfzu@wL5jH!u^V_&hUeCE1|wvSU+k6n~Tm%IuK^e)uqv8r5_uA|O}$>zmf zu7{*g*k&x3hUB2Qvd@@%`xr~w(i-3w7ZI=4G|UqNB{!`dfRKTYGY1TbY!f-W@BP|! zY{kQrkU=k25-5k7FY6&If7}?QEvttu`@jD^E0rUW$xxZ( zdW!zX+&&ixb@d%^-{-8|0ER*W`nDcmjP^Y$Q@#B$SOQ9zG=|z+k8pZf{vD29q&NgS zegS2;YQGm8SR>beTs5$6MvA~pvRuBGE{jSu;qNU}wZwKGHo_M(;Zl54Y2$$;>)D=HS}J+8>5mzB_p?Z1>lC0EgtMQlCBjlX|s z7qn{WjG%A(zbXB8A^nuB!1o8{EUnJka=O|*OAh_2#{unyr7YxNG!lL1O7RAu;aP~!KM&C< zJbGalV{Eqq0H7==dOre`Hib6ZA@%#Aqx)>d;ajP{I9-9YoU4lJcwK7D!L@9Wc&&EUeU&x0a6*^!;{G zI&Nq$CohTcHwDZA^v$GRX3Pnp;W`J|!_QLE6N*1A09*f>^jTs1@;K*5Y5XzemJBlyzsAt)=V@)ym(xibOZJ)iXX z`923KeN|DG3Y^?o_CREs6oj=-B~Q8t4@;#RWs8qod+s0o6a>(G3uT2cm2RH@s@IK& z2T|VeCplUlz%CEoUfimk6^%7)H|U1x4u9~{1N@F z4e&esp)Oj8mbVH!P>Q<;b{;l&}(z(S$w)rR~b;0J%wsHxmJXW zW&pwV^FL;!Xc+oV9(u|x>T;h+!DH@rH%qpTXy!}nec=t_T2C)A(>``isY$e)d_gQY z1F%(YJY(uJn35zw^AaNcczvb%D$+qy6e~QhmIWtBZYoafpG8*B>IK#326O@V8d~CgMLk2oiGFP|RW z;m})N>{X1skLa178pEV{GpqU-R2(cbvX#>1129I^XUoQCf3e^v4xW;or`jUe#wM{$ z!e2?F-UMG_U<~n8 ztka8%!I#Ia7oP+#YT{y%XLW+}7cVzd$xGnizgNoj2G1U7?tQSKmayUQ21Aa};n~{7 z%tA|0y;}%h(@wA zE?(|b|ElhC;pow1t%*GVyVB~?L;D)1Ou8v0%9A`~5czL98lS98Phzky{tbIh>#Y!X z$C^zY{ePZ=9gyEwPMIu>xs{@y*6`^s{fp^}=M|c5aU;77@PI=Q!ApAOTj~2A^W;8# z-d&dK7eAZV_H@qrw;?6BwVvg7Df32aJ>jm90P!1c`St~pz+3Tjm!&J&JTfzU@PyXL zLC~56y-}(MfmLtxGBhAV{Km>!Yhz<4uj-jFPf0Wjt33kJPM$iyJEOQSa3|SXI>tT6 z*jmQ=%uOhASr%-S=oTkeb{jE=fez?^q42vUC=xPFXmR4+hWG8K3CLhezIMdY;nlP=l=cyk?B;BNACTNbHJC_jy z8Zr2g+dKvYH}~g;MA;acW&55JE%DzN>ZPWE~yu>l5 z-^BD}$Zyp}UlDjtRH#EXk4HSOsShfW#IuGxt|b$c(T$QPQf&MzLTR~JnDHqVILVC9 zedYXCdKvH&n7jNc!{zi5}xMp9ZiD5AGNa;k@_l*~SUH`xP@?3+!Q1a{Q z32H>g#A{)E&}G77_N2RS3R&tr+C{aFVl5-6n#6Ej)j>TZw6G3G(B9gN;qOvP=@2*E`k9c2V|-H109Yc*jyvV9^~IZ;;E5f^j52zaJQDy=osjV@%d zuk7+_7w?{GA)`JTYa`a|Pl#f9T|xL`3|>UkiKn};?wy~?BuZfiPD5EI1=|@WKC9B8 zR;tpv{1BjvJ(z(c>4j{}J#J&^Yz_2*91B?O{;FZo)!+$_lEA?o3hv7x30rL@*z;}t z?DC-_bx2tf?{?kAOzUAWTcv`%GHAE9?m9 zF7H%{mLc0=Err2B$td^N!yppPNpQn^4KIJxS9|GPwHA_NUse7BP{E?w$X5AoF?vHm zo4G2L2lWs|{2!_!YHyG+zP7$nPkVwNQ6pT>0{qK4d4Nq+XeLY737_0(m=GMq0ASg8 z`&hX5SsZV@R{4`f&vYzVt}9w=6g1McXL;acl?Lka!M$gz+gkUVSh-c&2qbS)>x@Sn z-;s%%m>1jypk~URi4w7uS_O*DInntv*lXfT>t_IQWizrOAd1*CiG75rnb8fh?}^7u zg{!_jFG8Yx39I$f8~)`l9^@+-7*lSDkETOFKEKPIQ8?o)npR7KA^pF_bt9$K8??wX zosH7XvhS9JBtqpiO{R&MSlIc*EKNwjVd7Bd@Hj`T-$BZQNO~{WN0jybplhYk4U?@Z z*qwBB^sl%|N>v==S`_mH6=r`v?9!-Z9sNmz4V9GZ-=ms;bbV*#x{u9A~jMhMvoU~n~BcF?l>M+&MU ze%wz{*mrZHr1KE%a@UF2r;Dej(}u!M5YD1r|y zdy8+riQK&8vkPSyoHG?}VNoxDEN}fg(pDF>eaQef2EV#qr}Fqf+PY-CL3LxV)EU7X z*1(g`jTUwSpP}B#WLXhNcw1D~l}+W6)AziKDQwBO12BGYh8ytyaf=9D1UnA;Npsx3 zY#nPsTs);%8Yu}Kc#-7xg7ooYZVNdN{%kpKesrRi$ZevVzr}fJqDhu(`C4jeY1Ko&GZw||N?U5%gq|7cv5S)FywQ6nv8d6b zqB)hAh&V9j_mZGsKD2l~v~Id)?gM%HVFRG7{QHV^XoUz9es{B4e$W6rNJ?8(VUKV3 z(Oh|}(R>P4g__OlA!jT+d@YHk3G5pTYyE|DpCw^NtGW9bO5{5X=oR|1!Tb+>hU_H7 z<=^Pq?%he}7HW&Q7D3P4&a$rrTnbvnrV5MDMuY0qxsCz9*i{z93VOyL;H1N}`K}?! zwt$PeLeETSSLI`BV}{G`7Q@QD)vCAYbSoeTOm@g?S&IL4_>@4wOexs|HMmMH-e$D_ z7HGiG;W4XiL;fr+yRxxqvu8Th_ik4eN2^5riWjau+_iIi5AVUJ?51+LsR~gFqS?0J zAb+=w;r?K#WnKpf?$~dQ4XU=7pc$+vIkp%DY)tBm1H#OWROmL|{w$9BQTGK=?RC!S zmjDtNP!!7ir&Cs%M7Rso{Joo2O|TE8jXaur1WW__{E%c}&|x{7e~)1E<%%TU9WN!JTvli% ze~b>CO{9nyk~>kIK?C6*2_yeLTj*fKyX*n(<2kv}kQk|4FV;66IrOJp3+lwD$jQ;Jg-n76=37yxsOtu*q+Xo5?JaT7dV&nN}d zt3P@6MRbIvG=;UrJ3qF-;LK(fnQOE)$f<_0ZGC*>UHIpy%mlv{i?Xt|XLl#gX;&VAsDqMHNxOXG~F% zWbzJ&!YX@4%IYw=LQf@dYH4)2S(sfcIe$$ZsPCcJ7JAt@yf_^l3X!kcLRW$3lYtke zx9SOi-uhg!-9Ai}RWxq?aoF%j7^5gyef(yXgGyCK-? zukIT?!%OJHCZpI%K*+ z*Zdq);59bnhQ4eB;N$8xw+#2aJci)|91Y260%_*8ypIw2E-Vc;XHQ%+C=gEXmZ|+- zN){`fq5SrXQKiO?dDeo$G;$CQ8O<&#Sb>Q2`2A zxyTKqWQE#*ohH}41to7UTbqB! zSq?d5Lm5yjLsrLtK+5M=U)FS^MGEXF?*e5IvTl&3vL-5Z#RxO_-yc~i|h z_0oXh)S5Kc!&0H?th%sPoe97+K!W|}EXdR*B>>J+fcW)LB3vcYjgkC?=ur((SpgG>2axjEHt&fsddjiR{j4AZjD-HdY zRdx03x{#84qdS3J83GG4lOzh0`~o91mr;+F=h@B#XWm;Z6UpROw{<Q+eFm0f3&T zN-bAHoSgA+$IOHfSGXd|%)yeP$Ys&=fuA;Q^$76G?{+f2K%MIl5;(@cJ6@`3u_;B}Ye3^+jDc|eUs`hB4q^zQ+14*6GdDT!1L#+jImS^j#HbxcFgm~0r@sPS z52J3z>g`jnM;V8Gv39Sjkj!%NtL-rb0nGo@lMw^c#o#)6XKdcHF*s!Ko6_>3^^)s` z%fdSAs)HM3pbbJpO$T+slZl&aD8;2go6$xeMIyjWRg(Cs`cHn?j6JXQUL|UycpWaI z3Pj2omU~$Xc+1sQG)FBig?M9A&EH%Y`js!zm*>)yk*_w(HUs>Y_0z9}o>(IVAmtdI zzv%l@4>w|4xsC$hkP%Z(0|^mX&S<8-M=2>(rt76sw3^cud|q(2(>_0wZwimIzz$`6 z&xh!-)vvyV0#1YS^DeT7N?57_ETA4ieDiTN1_$6v2)vKgOGW!WzI#Yo4Y$g{{Xkvw z+R_xK;+i)p6@&mBlwno#nB0DV`)oL&(7kcLtoNo@&q`zqhOEbTi+TACRr01>}-!QU45+gv_m)Q z1r5SNd+ffYA5*@I4%sIiWN+4SfC&}^yxjn7jITH_OQ8UbsXP(BqDTIZ^%q*!wH$p? z@V6q#&yPHXGP2!d#h%EqPBE@CCW{j7yFpm8%?DCCa8km*g0^=K`G2Xf^OhjIG#PY6 zO<1}0O>u3hWOm!cJ7>9My;}-a(bdf}PwGNX`Jg8+O|%`;MP2^S8DKqp(Rb*&NUllr zdk{+3WOV)RZmvkyVWr7G)b2J&b!(3BX88y>bgmc=g4#si0j zV$hWpafH|7#Sf`N;KO11Ur|T59kF-J)IJmvB5s8Rm?g8+c^`%wb}@#ug!!F}a@Ko8 zWrU@|8z3hA7Ws0tEqC#1-77%N628CBI`i_e^-_!RURLg%_qh)(98g#+d7RKcc^2Y^ zSg)!wIig)Ndv&4>`YJT_){X<|gojEkMkfGyh_CpQ|J2!)$YWT>WVDgw>Ee(nkY>M) zO?KLNg%-7nx+5x^k2=2@C(S%-u@+Q>vitl9QkzfPB!b%sOR}LT+5RqnlPh1yUXwhW z59K;#kj8>cTn{!TX^#@XrMdaAOR{pp91qu-%s(Y_T>SF4gN>HO+(2lHiVkhUkfU}x zROaFixjSsEF4e_~u8H!cQWZrh!d5LQeL#C@D-WhO#^cmlt=o9`>#>=;W1F#W*E`z+ zp_TTx88=I&iyxOg0SY}}_1wP$psQ5>KT90Pf@}Y&5FKj!yN8{>r&>0xNl~DmDrC=e zJ;QMYi%GF74|JK|BY9gus#fCO@Mp~C)(f1{9vc(BV0r*`!jkvUCrDS6 zQLKkWR@Mgj*?8uRUV;28ve7kWcQAA)8?E@DP+x!nL13F(`$D*H0qg)x^_ zJhdfn^Fvc`=AqBc}#6WYP4{r(|#M(i8Ge z{E9SW2Y17-LFY_t^X0JB*(FHyQSDsHoLyyS)M|ATI^!v%I`VfdVX74nM))<;>+rwS zn#Wrk!4@bXky4HEL$^9uqm1Hr9B;JNN#;g7*NdtrR`=_xIVV_9N*murbnUr{y*z;ju8E0v8b=CAI27Z`w#y1oKWxI z@9N)wIc#0OU>DD;Xx+d$6#(ym(siB%GwzqK1;>mI;w9|;j{yV5I zp_1Ns9NJzrLJn1k^Sz4 z)S-9D0-<$G@o|Zj_R{+Ce*gEK?BRoDdXt_vD7~XC65@KB@oF{1LRvh*p==Csez@Vj z8V;BBUF12@*B%}nsY$?5e$ihUJ$4vZtn)Q++978IFR5gVMjXKO0=~n0IuCC?WfXcc zt~9IamccG;MZ*F!NJsu@M6pR`u>7zp5V@eM)3YEtGnF`;t+!mydOuHztesLR4?(p!miv-F3>=2n1Vx1&@pdXhWQ zQ`jMTCXmZzW~nDMYZ-nDxsAhE`XA_7uHeYxI<@iJ zxaim=M0NS3rIKT&wVXftWpa!*SA+JgqIyc9TAD~5iqe~z+`$lU38_KazFHs4yuqm5 z5|U#E9+_P4k1)+o1a|H(vs+s!tA7qR8ZFnEA4tF_#z0FVJD82(J9g@qg=f(}qWe+F37&64C2o;+6md&_qd@OPfusAmsw|cXHF*cC(GJQs{~^k)R&YS%xBl z^5d1Afr7%4?es5Vq=A`bnw1d(&#(x@uE^d>K@R$>1^H zBzV~1X|@%YE)=G=n%=xAjMmA^l|c;T1(_E=sp-6sWm; za<@FnS=zs{wL_(g=>>=bE3xfU|Hr$4q?5 zl6vHX0N(cF+0w)^H*YBju$(EMTzzNUeV8xMEDb+2y3(;kyS&2S-*2Jd<9Vx%qTzSI zR}VW~7ibD!Dio_l`{De6N$A5>7(a4dd2UQ*hWhRJX{;}jZ@6f~p$LT=BEqG@<;3_5)WX=C>J943n9Wc?>X?*Bab$(vl?~{CP8W zXxB;K-CQHy#C~0y7z;PDUHS>R+zxC^8|f=t$Nq}6ofj21t99qZgHOYG?|?G*d*aau z(2pTc%aT1^RU5F4aqy>`N#@x&#M&e?ww%-}s5NM&YrTf}m>tHhzGS3ZikDY>JY^D{ zDR*j}+bmIvLzuC`0I0w|#+o z^^eK!1BVCpxR))8Y0wU0aLAy;cT}K17IjhmNSCMx+Z;e3g{IX0wuzY5FK#vD=XV2|3 zS$X~XnlKqt2RfoDJb*)j-CY>I>y}SKT-T2EcgP9@C=0!Fi9A;ut)vr{0pq=ZGHQVE z^PA-lNk{pKFGwj$;SYGD1l+S83vSyu-7ILxW3dlTaysgaVjx9xqQkW#-{#K$HU4ub z@Y3|Io#IVK)9V-8`s(|i`4!=hz~0Q2Eh_9BAGb+G_kL`cV^;a?H2U{hU2hLSJ3Ya@ zjB=9U{+gi^Er&iPKzgJGh=j<1ip?Wx_ip}ZCLB`?sUiGv=Q<=1j_HK#_viBVa$_F( zOuGEd@^GScSm`^p(Yez@M(e(2Fp1FM3!^@P*|)QTffS_F;1_bj(XP4^@TJz*-|?B3 zql5E-*bq^I;eKmIcENTCWmOUpn|1i>a0)F_7jFky{^AmPpNqurZ+!e-e9b&RfWCf& zze|b#+lmYj`(3q$KNfUYz3YRiTWfASlZ!cL@ESt^e_fl~0hzRYzrZor15p(1gNG+L zW~L(Zl_4KW%||6XlxX-+AZL}K7CUr^iDmD_a4zbquPOM&nIUD}>duhqgt<3Wa+N-( zGmW0=?_uVCVR-@6{7IufQn@hDl_!n8#66~Y-_s?p2%)@?=SO5{)#`4qYazHhFZG7~ zW`+;S^9B3rg3M$npJ+u)qB+p{CvFMhKnNnFt%lku8~b2fKKaI=;M|1Iip^>3+$i>m zQFP^T8m%K>8(Aggp+%RKR+{A7_1yftOZ%g#^bb$8#f6aY{0;^I7G#WC_HTGaxZ-i? z`oG>&+y+!!%)^duq8{apKu=gtj-vuRL>G6F9LppGeoIk$4(NS+XXRLRu_$wGo4u9t zANzT=;sOqbygiXCAmfz<6*u^Ghvx=#PJuKN1P|H%Lz9KVKhB=ZLIlC>#oMh z`D5 zJuD$wU=4dLI>pPh@ZBg`%mrYbk3RFjaNfRSL4qifzl_zB>p^N7D<1}1lHjw)0 z{n<_?yn>0e5!!!Imf2bN1|Opy)R&AwOK0Kw(ZJ!7LufJjYdpz+I9CL!2{XXBD<7;0 zZ7#m@-!%Gq9;#z}ct~4txrMMHbSF50hfw{0NZz)&O*-%zk+}BEx`?VUpuuXeX|0I7 zw2j)GSDZ#@G)KkTtZk0)&>{#D9KPhf!M@s5?M>>bhNPb&;e8H0kEPJIBX`5~vEOsT zM_&R&pa2!7dKX0_DUafzkKMxdX<&`r%>3k^MtStjili#%47yp~KbdPZDVn(?>~ z_mc(J$@Sc!H(A~5a;M*}V+if1^9FL8)|QgOnH@5tGMigiy6)2Mp22WI&ZRU%IQ4stvTRPhh&WWY%im`vF2s4p*#Z%1o@CpxT=_ zILMQ}ouaW=M$bbVj9am_{{fy_2oH|)Xj&eNDw(}CK{hV9&osgPp8**w5j==1rDC;r z96xUP^-6AOr>D`>4AjCF^VN0rs3F)}5v{YLNZOo)yQUpTcb{=t7wx^~F9tg--+M~d zeqzR{uydat3%*8d;*Up{ea{dO$;;XLbJ+ue2cpAlevD3;KGxDjEmNWL(eE164Sl5$ zVqITP7OTugjRAVr2eV|qZ?Iw1?-ts-h1JpH+v?o2s4a490Od_7aA-5O8jkaNW3A^| z&@nP|0R%12mORj{TeR>7jnDKL{jmINtiFw9PlT?#AbfQu$Cm=DqE+elL@Vkb|5DvoUVuQXvKUh>nP z(UNAd(3TrS?4t!eW-k3`SN#+YnwqrH3%eQR`N_gZ`zPWK+k<>dsVf7`p%w%j9q6!k z5mxr_>-Qfb=XPiRek@o%N{o@Y5ZD2{UaOC5~P57*OSf`4mpRN zGtZdcpns7XrJ!9}aaW|LIMqsrMt?Jh8M~E{WmiBq@2Rh%=-Zz8e6j$jaxM>x$iwom zqX^>2!+NzI^oL{o+J_`|`GV*S?nEXunwT8Eac<_8Lx$J10$!h~>!V(EPzZ28_DXLa z9piCjrU2OwP|-N#5}IBCXdHA@G*QfC6u(US%w^4xJU_wd3Z)fGNL#h1XoSx_vpU@% zb$?poN~i=;UDiZ*VX)omEOtjzjj$X4^Ac{wtomwV_|K>Q*vj5sC|H;oeW9ZsqkXfw zqw$-iELh&5^!eH1qa=%89_POPafj_^EDQ1BN|}PsF3dwb1$A1F$mLrI5&}-yK(U&t zh}tAUpo)%Ic)hRF1L!9nE~6}8^_9RJGa`62hwz3PyifgqBwc$v)BFEVH10b5zHV?xrWt zpSIQvo;8ZJzbT;#nuHlW6u@|$^)ePnbrIi4m0Y+CZmQ1Id(IV0NyiOuU4`vqWlY|~ zm<-vNYndorwF6WOhb*XQD z9$-63#gH3$yU0O}M{8gA_ap8g>p4ZrQV>1HbC31@RV^yc`89<|N-$A`CSHJf3?hf0*$T8VIc0)wV1vKh}aUz!2R}0w}wdp4ZV3^pn z-)qE0@boB%=X#R|-+V;M!9CI4InQtWnB)q8@L475Eo>OvfKNXrQQwC8s6$#alG->c z{@wh89Bq!;)=Y7lfdW`VsKaS(o2}3Yu3*eg+;WH+JNfHxG94SU+%c~Q-y?ZcJH_rf z(snzirc(E#7AY;P@UP1OVfY8LKZROmNA;lE!vMsW{9wDWX#o6T7{Ebh$ZiML zCyzw&L89D!csqYz2AZ=G7zAHFQkdsw1_Dk7xS!`6uvb#-y3HR_x$y-i-kjfU9Pi<)yRq z9X9H)O@wAahv0o7l-?F(R)};nw(pCZXUzBvp>=0LxICn)oQjG&257o6Fosavf`lc>=@jwTbe7qr zjXISLgd!V%6)2_U65ZgBPKcj?SVU|_JBF5q|9i%3-|3GVx^#>_VMZzZ^fdJ8|Nk#R z($^DvwM2YLz35LXmIE?-OY}C_sNme+m-!VKKG>kf_-={i3q(Q*4$I=owXmAD<6Z|Z zQn}ezPF}QNMP$TNpnAklZ;fYMOq`uD2Q9np&mlvpp>7KCtmvefw1DM^A81HtGuaFF z8N`g3HW+eRrEWwEcJ4s3f$%%JzeLiocf9%#CYfmV8v)(M>NA(Z5E9p)J4h{pU7cyQ zd#hx&&kJL;K8;{3wZK_&^gTNj|LdX+XllLlROMuirDgtZ4NmYG52Ib(9b>6xkC#ub z7szeVgH424{|3VTOp7xyGk0&6L!_zQHQh%^7K4l~X5= zC0g#ZER<0Xx=-`nAk`93{;!Bn_K3xg>!&3N7f0183FB|P$W|zODLo^ole{X&Naiu% zLUvcDd?^PNZI^g#8~=S+;*nCjY+EI1o_lXjKmtc+#}*3WsY+&!nZw#Acj}!8Hg9sf z`*K{sap*RJ)L}`Bw&em!lev_U6Tr5DSji?v-}RX)xv{rd@)k&^;(PEZ(`}@MoFDu@ zLdrxRrQtKoV(K3tg#w>zwoRlDo);}?{w~%f+5 zsw)K&pgc$Av4z3f{^1w4Q)5VEUFVh%^+MIH4!J76m$IZq zCid8eZrlUgNsQ5nCUgE8fDzC}q?RM741Glm{sBEHJ)H2piH%c%ZIK?{3!G5q)v;~8wWcO!cp&OzD?+cL;H98#-$xA^?)%h9%VMNqp^bJbh zS`xb;5M02nb7e$m`tCr8s) zWa1cJ=JKt`9jI+mzVzGXmZLF`Rn9=~dFU~($QfZ~V#*`OFUYmR?LRs?pjV~bRcwH~ zHRhFiAe?z~Th(~&FWSED5AiJljJ>F0tyb>4G(iU~c;m(_nv)h5Xz_9$>M(+zUFVq*^)Qnn?}7{ky>^=RUq<}3Lx_>EO}Ao z4BAiWd44F}BX$qu4vKkc#%M}25%CY8o>rN5m0Ban){NQ8k~GBWRW9gZjoI~IdCVvK zvw{5+f+@qaAr+z!2ifr* zsLtAT+I6463k5|^v2;%eZ=pJqQ?cgbmd^tqx!@wTI7uvf+nuK(RzDpoqux|H{moUw zYVHZ(3-Gx8&6N=YE{lz|TD}5aC}FvFt6xCkcZFfM5XO1MO8fNqW)7UdjJ+t|AA}Es zx}DFgB_Cs7S6T0*e0`d?y7MNV!Bl~Hj|9zZr!ijEDXMM$o`1q(EuB-6*_a&8^V z8?-GN+cQ4RseQNHVeARv!gnT;u78y*pj@}E+5x;ML1zIV6@U{h%vUCnsws%$(A2w; zM*EENU%b8sRbPG5c1a@PDI@7hVa22k@nY%zEw01Y*~yLTLMH-PY#SwCy%bv@)EME) zcG_2B1}~Di1sA{%iG@F(0lw9K{GJW*u8_xFa&Mw*VoxMCRQA}a)MxD@rmKt}Pog^3 z*Zb;xM5pVhBFW|clu~A~-E;Ovo!eTaJmgJ6izo|Z0`RuQ1|ffG8+VsUw!385FxLqA zyoH^S*F$pw-nr&s?NjtZbn8Mt)ym?V^e5*2xl<&mPT9kfpo{nRCsKcvK6M2HQI=%0 z8&6~q)>A;n#*T|00ZZf2y+(jJ2vGN>RG$Xs9HTBfm%w|KTVlEA<9Jk@@|2&kQD(dl zWkT|%MrdeU5(sy$bsxNOZibqdA$kg65c8z$Mk84*{DMT(|Jc z{(+^%-;Z_cw?ir`u5Z4;O*F02w--sUx=ui8WH!ZhmTv< zc`S21m7aPGj|EGf|MUP!YF3Xt*HrxJ;m&;$cKl%eQ=UlIi;S}z*H(3#iE1WGDFhtL{7>JuG3O~n$_1@H=PX_5JzT|=B7pT_((zKXx05I%A zf>WNv&EGda0Dcn(Xo(b1(mAO66Mu?vRo5e5a|`tgJ^`7VD-R#{%+!+3*B9&5Ov()@ zjw>x-be_8EC1Z%Uh%%gi30ILw{TRlrEnB>+r1(?E>hGeK_+~DVE7xWVk3Egnjlrgg zK37ghe7@%)>pFjR)I2C7*2?aUNdF|RmA>bwtvHJ?a0kn>Yhw8z3Pb=3e>V4Q0)Wp>9HPnx^QQ;ePgocd!_qxRr}3@|5T zSbStyqwNPG3N^=psGCUvL4QArG|C4f;*ft>(6ODhy)D<(3=IpufN~5)2lo_-(x16H zA@`Kum#Pm;V)-_O&oV5TMu#4!%GG*Y?XU;w9F`m9TWYebS0LeH?7AEp@x zGOxMmTn4qde<(=;KtB=?E^2vc(%a(g=5!bNB_MDCo+7~YX>aN#)OM)%{#Vu{o)!GT z&wemQOj?7S(Gvj6RQ=!OwB@Jt^ha16a!LG_sCntGYQbaG+rZ}>RQP^NV!|u1!hcRK zG~)`V;B4s8c$zN>!)Nz0)BfX8uh_#H8$`c9Jk8LpRmXH`m?ucE_LpSkU;qiGBT^3y z`CwzfYsskwj8XzQv#qPzz<3*@Uld1Ru1?9?!(byZ#{WApj2lV;yKUs5ut1MLjk8?4TgIq2B1KI|h#vXJaZ>*q=(1}`hj|ZbkNSkmN@As~H#2FLw z@v)btUBM$C4p&9Xrww$RWwz3Zg}b`hu+Kca2cOiEkiF{CE8bI;SRe4<)#{JFGLEi^ zm}T)Ox?UvBe_~P|t9(I#ED% zJwhV1<1N=T%pC?Gc+v_pIuY-zn8_t(mBvIpT#cA^T%aXw1=eHtCJYeYTZf3fj%G!L4E0UAcwhwXI1oI<(0=F-=XdQyR5{X(No@vYL%K?nB$G* zKB_$$`8}YoJc7IN6#ZBRS0B_FaKQuU!b+KN!TCWlxErijEJPFcqu^|utvz>7y9`%u zF=c&q@pwU#@lu>IN;YgCrT|!RMM}-p)9bwO+muM{rJ;YPI%qod4AWzfgq?1(l$al` zs-We)cZ!e^5?KY5DrkHg9QJn*36r1_5M2Ac=4ak=#}ThuRzFqfAh3!m3_AmuMjl!0 zTmCnTovlJBR49F{BYOF>qTvnWzmQh7c%c3IBEkzSxH0P!+FZGfgqPMNGFD#6~*=4QDzhVcA2hZ`;?e{hj#EJh!sJ>7|y{a_c+ zNttR2UDziXE~E*<3tgATBD3^jp~{20_{pT0XsgJD-BY;}uY6|JUc?M&2*U;6yUYYN zhC1?l`rmDC{KcGSvrD=V%~Ab!@D0?}mB=|onZLa}@R%hoUVWzZPaz=%ZrEZD%Z@DQ+7;>J@goou3lx6x2>DUh*g zp_hh{Z29PkS)tvF%CSUBjr-)97}YEkSHIl%UnB=hts(2_i1b?c?}9E=bd_+{xijEP zT|P6aiUXto1$#(j>hFN*oVCK47%{u}sqLfla|}h=u?}&83aQaaP)ioKf?^%Ghe|`tdd`*TCTBn3i(!c3`-CKmspF6B5x%SZGCf|S*-m07T)HRFMU5uIaH z`NbVmAM);_ZM=c-#RK6z>T2&9krM-R-w~M5Ps^-)Aj-UzT1GhJX(QHsKaF1frzZNS z#^*|Bj4yDJY&-#v*vkqy54cxXKl9X{6Fwrn0Z&!l3+TQ9^s|}ZARhA8#EfWmWXga% zzwShs5$^{u+XNeXT-~zN1s(PurO>?SjAcA=J+%=Bp1P>H7n9U-t_6b|BmYvNhFn!x zTg|E2g5RF_0sEd3ZBh~|xyF^6Is()jc2Ao{u7?X9BXP2#(xF2rzz~;|MlLncGl*;t z_q15~zRj|4MGov;T%-uZcnWu$*E-G=wCd;`)-cy<)rdUm4&T`@GDmZ_P}?;z4RT}D zl~2{xQfVmhG)Sm4%XvKC0cmN(V5Lfc;rpoCMsZ>SB~1V({AMZkbnDy>V|(S$3eJA5 z$L{REnT{%`h^mYet;0!Gvy7(qVBQn&;;les${rxgk2DRUGSic}XOC=eb!~(gOA-Bx$`n%O;mTZZYpo>{FDMdfvxBH z-gT;mP{J#ZJi-7O*(T2aE%LVJcO);!8C#Azl_OC1xX(0+FWa6?!colUGk#L*(jX-! zfF`UG$M=c%Pwzc7VpmjPfxZSxtG73?sd~m^uUUSqZ!}@{tM9OgHtwW0^V}6Av4;MG zguZ!0H|!5-zmNYWk?n4I2-VIVY)#89kpLQT*uzU63~A^6`@^aUvvBy_G9J4$WvjK} zeq=+7koS)K)2S%r0Fb0M&v=j!z3~jJO#d+t)gDO`@0@#jyrg=%(Kyu=fXKsI=W`C% z1ZuTb9Wc3&ib;HiSrgpTnPwyXNrh2=leYb&ykU#QqicmtK8<*ChvF}WPlWnKFz-Wx z0RmioFjHwhb<(~zN3>+liVY4r`h-L&?wTd7k{9G8L$5p@WzO@xCIai2bp|8#mG4h>eEmN$2o9;Ynq?l*(HZTQd|_@9&!~Cpdz^H{*+<)%xFu7`}r2Tx)@2heZVBEZ^B(zk9r z$-;7pggy#R>j~4F*3Q2v{o7v=gN=byUW+OXUL$_7vF9E=bFg*euilPwpQYw($Mbul zqzZ2X#olj&uh)H)n4?s#gD4*snul1OGIdPJ&Bl3-XPESv$&FMGcx}Rm%{#TMPv;bA zVrb<$%zS1=son)1Q6-fW!)h`9lMt|Jciyx1n6DFyA5linOgl4qAxSk`uLZVu`d-LX zMEz#M>`%Vo!ZGzzdx4GY)WSWP>&a^h+N8|q$DeLb_Q6pPra7UHG=CLE9-Ub^#?x3E1Em5xvnZ(X?Z^I!RM* zxlKN=f`308%(pTS`)4P?{lj(GzHzv0EhOjHy64(h0S;O>vt~M&?zZV1Z`7@$lF1dy zp4j5bIWvt7^*sFr{R-$OIsBua3_*J;~XJ;&?EvunmK7^OzGw65}c0iQ`Lu{q-uM(eTYu)Cnw+a{|Ob zf7%Nqku2(f{&{1Q*U6`%YIfni5r0)Jf3A8I%ktk* z1>_Z&qkKIx<8@06Gu6|15zA@9*i^M2TFAJ)ki7ky(chjSMwp_uE+B}Q>N{N z!lOi{=||c&5@q`cHyS992|&C6wt4E^xj7lu%%}aoW>^)DlT*f90wN2^Ad5_~o=8ng zFlHm5R>hD9WdR%Mmt`s38{*ibkcgV9Q%41q-YM+&`Qhbmg-dbNgOhUi5xK3g6@I-0 z$|V$O%j)aBs12b()06WawL33wRi}IcUdV!{U`wNy?5)^R69ORn`JVqh5B&OQdzu_7PwtJpEkcBQMA6LC^xokmK> zTgVc0mq+6{(S-J5BX3P1;g}{I!F_o)a^ilv}!{UUs$kjt;Y*BUibAH;)*>Em*5 zl1VY7){NuerVFCK!%LcjL(2cb83-(8vxN6&VLJ6M(N;kQ6MFKH-O`a{gmO_!ol#i+tIU<1B>~UYd4Wh$bvs7Ga{3P zu!=m5=B&Nm5Ef4NAF7$b2rs1w6MMUSqK1~|88LUaS(NYmc|n6g+O#}x_dTs*gK8&r zDSvK7G1h;>G5SP}O%Wox`SDc?5v=KEfvwSUQ0q0EA?z&|;(IF$=OKdb z*j2sR>mi7^kj;n4&v57S4fkvi&_~0D5LXp5%+6vi)stW1#ecQ*e(jj=ewv3jO~+Et zvHX4F8aXvzOFh1$^n%`5W#k!4f8X=5s}faKf|uZj##f%(FgGQ-p!ZDyR{ua?(>y~8 zBW*fwc(NuE=<3)Z{4N`*`j$7o*)2_popNpAU9FC?lrwo4vV7d4*5Yj#AR7x~ID#m# zLHIqy^sddbUZy*1*ztNZLd!B8?@Em@o!h+kX#W6FcQhYYAVyYhz_pfh1#{tVqB^}; zq_JY@GrZSQBYOV0RBx<>J??>2icbTw^^(yIYqUWEMzo}|P-|%oH+Ot523`!5JKB$E za%1&Q3U+OIjd5tXZx;3Chr8w(UiLYpcqJ-{bksaT55A^T@K?j*}_v&rqj% zxo$IeHe#Of2JbQpD`aAVBPz!?Z}X$Z6GXL}LXD+f-JXei;csa8(rKz>U55V3xnv68 zKN}=NkYX0nOk&>N??>=#`FAZf32DI*?IO*U*tL^n%^9K0?wziA2-kFmsXU*x{BI?t zA9%cXb8HXY6@AN2C#5Uac%3Hv*^k5ZiC)`E-Un%b?#H zi20bt`o6N?i*kIztYT;OGl{)~6u7t7A8r*H=M6 z=QgZZg7oEB@eREAgWMpsyN3!~UxQ*V!oamp&dj*>wmhs)@^isU+};#GsL~Ba1}yJw z3-CIx@(%y6kNc|14o2>{YF{mJb11dv(9-R_uQedWm%Hbie&S4P)Mo8b7ngn0d1PWL#iykMET_JR}f`4^b@#5CNU=7+5j9i_1baNuK>OCbZ zpRr}u|Hf5aXp~$|5)i@tXgH0Lq(*K{^c94Mr_ytK^ZRMHBsl8BIF(FW)BcXc_dzPm zH$Eqj0>(-F6@(ZzZAdgcRP$aD$-N7N$#`8}n8WfYc%QVcI~sU{F!32txt<$u-J=GS z!;aX`s&gB#Q>b9|tL(*$!UyH{=#QNm-4Rg@ud|?0mSx_rZqwUE(JPNRa!S=tKQ#kwMZrYFHO;giXpNQW;5fBB! zHxTviXwpo{pWJ?)bxm}zaeES2r^Lj4ecq^fU(JZAj2=VzDl+tlCC4!+;2E0r{1rG4 zk_S2>_AbtachhH`%P#61by#&UZLg4ijXwpLKwsL?zgXMA>7Sm8=k}GX;uqCD0CS|NS zAxWsng6bt!tPR(-L;TEC*cJ|Y0dc>^PqET~$W!U;B(+7QU&bd;i;W%uxT77CbH^md ziCk8%i@Jgf*!xTzitRjW=N)3Wfz!mJU9Z45^)1XbQe{VvPq@B<>dat9ACfjbMQl!@ z%7IP07bTDBhc}%XkGk0cqvWtUIV8!xA@VBu894c!$Gw{Drs%8Pcl`eAW@U`Cd#xuJ zrRO6nx+C5JLcfL@b(oFSf#+|Rv3CyBzMO=Yx*sJCq^|k)+oW_v^*thn{_N1}Aq!-( z(b1^;PSj%jvw;QBlx8|bX=)6=gP@le@H~}x6>gi6WiN%9dDT%|;fkwR1pW2z_LH+G z5FCLzO+ub|fYLE)*6p>asVf@yIJMdT1gh+bFdJEq$idf={a5!8KU{w?-1j_-2*P`0 z4y=w%57;g;<%3_F4l)Z*lPN~IlC38HKCzfP_A*$|*P`iWH^9AG*5SoG^4lZN5#`Q{ zQiye$cZo|zdx9(1kFBJxv2^$)3J$CDCt><{y(59|S3TG|3A-QlZKXV8fSe#tnVbXp<5Iv}{hHHUzlqKYYcm;*W<=I&kqGvA5bUJ# z*O9<`ZPAigbVaEQH-B>mB=flFL#y5#>d)8*C{OILDjw1+k_Hv@>i6k>Rp~!~0+REmi<{;mAx^Bpb;d!H|U}2^_pwp zk8p7D@BAik!ZtI)A}@EM6)Ss2`Ibq%BtHC3_Y8ZniI~%-dM_k^#R#9lEaiv(%Q60yVo2)WSl*RP2PUuPu7e1&pmPy&!A!&{1MuyMuULS5_OPL@7HHbyodDM;ARk zY*2|6sd_iYI84u6c$j!sRp9eiYcERpv(|{jA8hF*X%UKI#%=y78OK#Uel<2tH2BK8 zSqGsV95r!&6V-$6NzFe=Fy97dNMEV3E>f>hv4OZ6H9#FRoHKr&!&YYYdN1XpPvbg7 zw6M8Bf~QB9_ap3FK#aALT18DLcD~i)T!Tk$gI5U5I%fvqLz^67-ne10 z__iAOUENiqw)Gy~tZW-L!B!1DZD84Q>af|vc%9ZNVYqzCWUhM^dhJ`g8ihGs;!Zq< zy9%qG9;KjHR>(Az=J%Q&4<$GaU$kf*Ie1>ubW1{=P6y;A6O2}cw^6tjMz<}^(IVMoQFsX@z{ z2If8GgcIs(%1bbLGj6UjWWUkI$;ez1~b$S;MTdSOS)uiAhoi*v`@J2wxKAK)!p zKue{sKQKObQ|KzRY|r2uzucwO^2Qk_wtUj)xy^RSQmh7lbU$xb7n!UsPsyDFInGGi z{O%AYykFfH7m%b&amGAjSTI-ahdU9Xtz@bh>2AyKocu2tUAcV4YwZuwLX3>m!AZ^D z09`ceS@4=H$BuZ11{7e<65pOt3`ZB$J}tc; z&#Suj{%k!@e{!^WJS3!g_`4Mzis+IXu!H3m&VP{CK>Tqdp&Bt_54Ai9KNL=erA2%d z*@eBQu;)mH;i;6!g9$(e4yM&XlXgArFkLf4nO~>{QJx(CgQyq%O)sYQ!w&8499%F>{ol>|7J(#5E1m-=u~BRwLIkkPn%nbklPP@m2^RQ z{)~}38d+CP&CKb}j18{E2tG(sBxbYj9q4al8LEXY_gIXIV6NZ7wJ|^C7Em@^ zKR#IZqIl4_hOR=#;%q1ti>=@}@fHD#@|!faIE+T+wlk`~vhW$b*bM^&5vs{OmMl~V z30~PrJk7l=aQ&4&b4jN<`YvD6b9L4IB-bX{_K@AVCn-WZM~mazjh0n8gH>|4g5u%{ zWl*5L?22J3$M5H2@No{+bv8AmGn>aO8R7Rm-S3(lb%e|{!E zDu%8)zYnsCv!W2)vtvKzH!$Eq=RHiYp*1e(1%|(^f{Vr7Zw=_`@khS0?ln?X*IQpR z5M>78ZDi{_-9AJ@)=Oy6()$+siSCN1@*5dzoQ>jZD&oVmsOZSc8Efvj?VE@8JZcgy zps4BYse%1=B*Rn*ER|2$#~UQ*j;5CeO1EoNd<`5MhPvnJ#P(u(k;V0Dx|JFx?}$7CzP(E3D;F%fCebG(OFHM=^zlLBt(LsB!u zi9s=-mVG4baRNW?SfAZ1Xu;p8s9~2;Xk;11VahDC)G1A+0|pm)ND4&jH$)_%cBw@D z$JXaTrtJCaMSH!fS=qdQ<~aq>m#YpL43jb;myv)LiMVzt7=KA0t6323rPN{mW;)N~ zMAlo82oah|BCGj+VM{LKMdb=8c`W%*nei5Q!6C|PhVQ6RW$k46uCOr`_mt>}lU}+% z6L6G&bA-(^+S5jhshT=r1v3Q=1@2)4Yj|0zOysIFge#qwC{OvzbT<~i$Ov#7pd!9R zQ`X(P){XO@Lv@bm+|P*P;r5D>dYgHz4C4pYj9(rX+1jl~+~ZL;@!sOWiv{Z+Q#e~^ znft0skr8;og_W`Co-FSWFY$0M@49jq{Z`_)zJBBU z<|YXoE}O0JHr!k(R%bbF|{WW3|i|K%JOZ^ z!q)zh33F8;`hm6fCly{`x`dN8*D~BreHP{QjQN;wo2+ui#0IKiH^t+M=cS7EWkG|YhI5ti16d?ZC2HQ&(M^Rp)~ zaK&aNvQVl#rXkkUz2^Qlv#an)kfYjxtkqI4Jp}}Gw2wBGCpUS>_o)OH=6i?`xEDiF zSrn)cv>#Fi7?b_8EgONTGRjyv)aW8Er?+`y#W=v%En6dRT&ok3{~2LceLbicLC;5+ zN!L92C+HEyP9No<9;p^K54?`T>ryEL-C>uU11Rc)3Cx?~n;yWALuGZ6@FJ8@rc#XTg7E%-8zn=$A5%Fd`~L z-QTxn+L;~GJar1LnzHqLOQ09O+dJe`qh5ni&-&}|`w1R;kY;y2hOV-B(n$E*`IicS zYmO`zsIuBm0=p0qMKxGQ9I3gBq(#nOaU$qAtslDLw(Z}VFZgv8-)}vL=add)1}v4U zSVg=7Cw?S=pW6p)L8zWs68^HQhaO>;zA9r$a;|Yt#U-z-Id(bk!u)ZH$4%jZ;S~b6 z@-5KA0U*ea9t2dZ38kEH#a)H-`c6-qNyGm% z`tyKxTr(GZg`0H=HjfkKFtt%cY+8LpoY%%VzN6EWSQBv?yD)R;*+N602~_XZ>?;AWWrA3sZjgn=-9%(?*}dYo zZO~9VW?GXY?UGpz!$Jgg$eJB};X}NOWWL&d@l^H^uW!xDn-=d)Zg>#lXEz?(^}Yr64fw>d5)%&Q3(KOMbQsPB_SpZmCwx_uWn|6vy+Z3e&K$zMzS>=u-3 zaR^zvY1(gH{RdWU!7;R)`KsGj2NkJHQ_e9%iRdt)3x5d_x(4Py=UKx$r5|?CV+R-> zgA2!r+xAiZ9ts+q^G(g2H~?-srEgi+zzEqU_e8M+G6TB4IQV{%!{W5gFVj?OfT7ItLR0YJdY?QicxHSIC&jfXDUe zTPFc@OYMHR<;S%T#dYopApo)9x8qM*jWYt(F1Ot(qQD+#YB^A22~R8f#;Enaccv?T zQ&-x3MQqvMd+0IrZUgVz4Q1kMMqt*9s|dta4{CbaX_!>j)5PH16yk`@m$CL`_|fp{ z!$`*?h%YKH+>O&VRv<0dRD+sfkBtjR?uG!6&kj(;mcqt`j_>7L7})6j)!m>HE7p@~ zg9G*&@473SBmSlsvqLr9D|1_)*PV+AGeiC`USwGpbbJMzn(VZ}PJ0V*0*z%;2>q6f z(rB3CFk{I3D3ud=HM4n!)${isjPQSUCw^f~Y^JL_tlIndCdhn)Op8NTyMC#)`rZg$ zlUayTAzp)Bt3IZih{@hEp{rQ)emi_RCJ?DNt(O-EoCL@t&hH_Ef(o6(1gh?)ipzUC z$?94qJ>P4fk=;H2?mO#1K>}}bAc1)+^F-Qp;If#eGGWa7?Q=tvOJu5dy9GHvK`)se z@vtN))3P7X!mZ~SoY$hD3#Jv;UHrJIgV&y8$VNWZHlDsTtyO7<)qEv#if^I$+hQO@KeC4x>&}_YV#{3UoPz72M__0ww3l+-*oF#^oufu%v(^n&WT8<9moQ#e}S< z1l&bu_B{9_q2MD#al=AP(^!ZLTGSLs9r4ZTnr*4NP=3CCQERO|`Ew|;RO4b@@Bi?> za>}gIrq2nC>h`+%Qhm%ikBs4QeUjbMi3cyq|0*A8ZUtJ0_Bxc{`i-Wze@mfb<9w6F zp4AB}j6>XtC=)~8#>M=O1lkgFS*ken!Go+P=*om*kL-#3Z0M!x-IQ)-<7~plxl!7E?VVX` z!onPUJiw~Zvi*2UWdOdevMl zv(=^M>yro;ULJ|Q>`ZUf@Ipo%6qWct!}jy|5s713GyTznFBtnqb!qvQmWQeA{mDYm z3}xzMwZ0@PFu04x*Z-3xUEK#WouLp^rGLgw`vi_%FcLIu&nc}h3wkr3Sgsl< zLN*p3i=fn4gj60W2rPxq*AM}4LHGN4K`H<+_NcM;{lnwD+Jxn zgd{$?dnm-{0@8IFgvo;z6D!>HZ>dvyYCn;1HgMk8%1j)krvXX4qrP+OQHFu>Qj1^O z*C$BB`J)cklUILh$l)z+bG9NTxa&Mim>mR3!XyaKi4ApTjc$WmMERtkxA zwIF{CER4_!KZ8?w1Bwn_7lB4;j&YzUFnNqw#PO8{G#S^T@25G1i0f)(K4#M@vn2&w z>~4%8$kf!miTkzvcF@rpgM?H@4YBm0M-u7?$x8V08ZL#u=);o=bxa6ex<)qFW2%OU z{|Sn>3cU;Ge<%B?oiIz3;jy(TZ1^8MlO7c{`Y)p)Z~NyWu;%li z7H6EYlJy^ZCzN6K@Hpm8n1PEWQYA$0Wg0G6p3Ri+ zhw{J{`GxMg!njA(1V{r}2r0aJw?}|cF92=31lcd7?JR$1YiGEpFH9eat^RgB!8Zku zUKDaKAhEG})kf~GI!!WWuL8acX1je|Lhtv}+%P@M=I_+FEhS48?Hng|u8K5qGV-Y%?o_+L~pDomq;0;OHj&@siLOPf2R3==!vt zAlmr3)>FlO*cL`aOG4g$U<9Qwu8rn35Ka-kciKCj6B*Vhc48$`m8kQ*SK&s=DqnU# zgbn)W0vUbaD#)w(QBd96i8U0w3Enn;U1E#;9GT>u06~kkAbuyM7KjzI*l{aZFs>NM zxUIW<4!aor7cy!K$4@<3XLv`{`-_twXsgdKKeEDst2;0BU)%6B-aOT+7pd~mJ)177 zb3Z;&HY?u;Qxr|<&JK-=Cmy~YUK1o`ePUW8p?8J(!3@pMlw^0Kt2OuMoLi{{|C4eQ z@)x(Y!N0Y4_u9Wv99=U#Jh#!8s#wkaG>%}+115BRxsYaPBvo^66cB(o5;ASMXOW*v zw_&geNI}I1X{x8T!7ube0nF9nQwHCBFmzs7Tu$VFUvmk1ScF&dKWex(Y{w=_x87Pc zZ)?^%B9fqJmgiGLHa$aH4We;Lw!P+o#AX_0 z*{#S92cd5~%8XL!*KA2JE8e_X`T%ZerzZA4lJ&H8e8M}ssTFa2LiTC_2Ty7U^+{G) zgu7id*HVc-vHLaWHT;h2ks+L0nmzr>EG&EEB^#jU(w(?|_+AlIHBZMkdM%@EZFnqD zEge9d^6sDYv?V5dg&?eIH)Ro7ko7`s0Phw35rYJSxAI%Fhcv=0av^n>X!4fC)mA_7 zWbnsD*&OgUK}S>;+X+wyty&fw?qr^nRfxTXM{$+c3P`diw{vY zvhZ2aDdzJ+Xj0`bt)M`bCq z($a?*&Ixf}S40yXL5B9r;U$9|4qkUa#LB2e@mnX(uiL`PZy&}q17`&h!)}42>D65j zIQ*NEoX=^Wf2>A%?E7<|m+^2gM#$t{M1Reb%7NcC98s60 zrzQXOBI|ZWI8*G=thXYXB=43Dq&j8|nAY)D0;Q6B#`_Ck1M{qow5 za0dcC#7p&)R?{{#wG@$2Hw;$07k=mGIgi@2;XbzfGGG-O)E`2RD&xo7BTCU@SMd?% z!(F3Bog-S6rG&cuOAfxx_8fwA<#~ir$h5WP$FSowt{n>lggV(QYFya*{a;{=Kga6= zRhd54I4AwiSA>igNqoZ=(t>wqR9@qzEaFu-&MR1M-th^E6G3hoszK1 zi>5+}eO14LzKz@w-(`7Op6Y+&CkN z11F8?9=zYOYk+oGKoc(I19s;BNILg$rvLwqe>y5Em7)lhqKH*OIjs^pA;}@kDmlk; zHk+-YD2FAo5UYgblpKb!RnFvm9y83$X=a9PcJO=m{r&H{X4kd%`}us`_v5}_HI1M2 zv;ogbw=|ZK4Imu?(E~BQwm}YrZ)SOayv<97c^a3=Ld70TYuw_`OtQc_k-B@@o)wZ3 ztTbY~QrWx2lrWB$>RZ|!ja!0v0->b%HG}%4ZfFZFcf@Wg^TaP_fl@VPh|T&cV~z1` z?}&cE>X+qNs&wun+WbrMb{*27b3D1g?mtc2BlHT`!#C8iohvV zn&>CAD;TGVUJh%yxabgSyw+@#Z(g_;`BlYXxrjv;H)tW{dWp>c3Nao{YfL@L4$7-C z*q{l6t}uevYu`8@3?K!9ga&r07uE75Rp}L|0%lAsImaBi)K%yabRK>B#qp`H0nLG@ z|AeLhzX6+cNY0#6fB!Z}A*$f{@1bQx2{@`~06Zs^xDcA!fc>qim%{4KVL2`7M~N~e zjiC}xFZxGb>N6WtTK({QDgJELVc*}&gysI4_e**4cA1r4+o+O_R^sz7D9j`Da6vQm_vK>l+h2<1@3oMUsF z#0$VWX*UWPoH9esJB`{BHLB%_hYL{OApPNg90_wYw0$|7q^Bu zhtQ6hFT^q20B_2m3oh6@TcLwm)+l_PKifUH5E?aHY)4F*lu*|jW-0Ls?*QzRCAlYa z$SSyKnhTYu==d(=uI-?_3!y9*D!THuU_$Y21I~7R1X!Ky=B0kd$p!qire&ny2uY|cx`~O`jw^04<7PZZ^q0Ica(mLo&-*qTy39DaQWKLA0XfKu=R@6 z3B*2^jSH#OvTQF@nkE(mwfVO-vNqKX4jX;H<@>Y{GE@M#Kq}ve=l}~|YE6nr1yB9V zYcsG2zlKCO7^n!;v4yiGH4}m0yl%)7W>YaM`R5YKrHpzmMY?uuIB`ZhbT#w)(Ai0a zV*8Y2rjP|P+c19e)j+E1&UE>5`%aphYagJ^or@bkRz=?Ugg_(-Jsst?waZPRvEEInFzSq)?aL8KmON4fo!B zKe|RO&Fo*fqmZld@7I47fAiAU#J;TGU4N}haGCRNZS>FnP2|d7%Ya(+<$YmWZ9bt>w z$yu;73B~S!1-$J<&S+*z4leWzuM5nH4dTd?%nK>uU#{ZcmP;i)gSqqI;G>fYO}vPQ zybrlYvPNZgLqUXub=Q^1Nb47c>?5}SkW0JIM<0{wmIOW%I<@S06x9v2ak==G_QH9|MbRz;62* zz3hS3QcW50`S_L?p0EP$g4bZyQ8)Jeo~Je=SUsJG?X zT$6G_pvyH(%=&W8r;4)U^v}lejLs!y*Ww68s8TgYYU$vljyiy~OhXrMB(0vV)Q{I% z7WAw%K$0F8JZXx7fh?~t{^{e?zI9Rn-Osw{TAdJ%IuvAMH%Z3bUR>zo&_Ac=9UsKW zt;?-C)Aohh&7}NX+rfTavfKy@G9#Q(Kl!=)Vo!Wm|2ll|z6iL~x9#V_D(VNNpBB?k zl!YLKPQ^SM(T#le_tuc;YCV@IxkG;ye*kKEj#x7K!KyjPU;@;7%Wxw$KyE5(YV3+Z zmSMr@fcBWcaBF~k=aX37<&nX;V&T0Cjz93f21s5FpyyJWZ53rn-&ktXxxJ~lWmw}O ze#V24wRu1to>Y-*30XAde^;u4d~qH?c=;IO7`)@8{@v6np0-a6VLFe;`CH~R+4Ond zbRDi@K?Pn1hn4-+;w6|H0FjH(t<&JZ^z>%;@f9DfGNo%{Biek8m_4BSW0^9yzr+i} zv4LJtvfe5uMW^}@3pyC@OenT6tnF>}MNQ5|E;)7Z8p7siud$AXFC*UlmuG*k53Q(Z z}oiW z3TgUJ|Gy_*svHKK_YeJgpTZC>Yq=&Yao90465(30nu5IL1xuP7-4ELm=DrVXw6mHt zIFq*g`AS#6F!@m%N?&I6I_I>KnhJ5#E0)US)ax#aT_U2SAEQb*c_ktI3@rSqF%-11 z_EnJE(93uW*Cq6tB4bnjw6IQk<-`WOj?WrTyg-yH?eki8tx_~29DVY}=dZ0^ne3oqsnj`pc}dW;{{VcfB14e?9U_)(*$}b{NjvG2)ZJ?9|2{Vn^xOC?5I*=#m0s3 z+gBi2@sJ{2q@Og{tV*o3Ix*yCK7~N*le3d}$C0fp|B|Bn7SQ`R_3mbtDD>jM7V2A7 zK|_F3)_?zRl^0FhGoHib9#@aXbha_|eei~Wt0OF;RJSc0hn(nb+7`}+5MbJA8H}va z3!o`cTMXARj@LAU)cI)ZGj>8WVe}qc0lORR7# z8aMSlQzLib0zYx_cTUK>5$_VDOseW##flt9?!k$Sy&pH<*a!~dRVTv6gPo+1afc5? zoW}4cY}*1=_rNWclN46FDh(%ln|SY^@?>XKbxC)JU4}O+`(F^0K9Rr#Rkp;3@EtZ+ zHir2u4o+|IVgjfY%QL@l5?AL}4SSewtFB~S@d8V4RpjY9IT~l;`v+&IbY7G5 z)1o^4eKchzz9Jc%4$wEl?JUp|-*KZ05#5OE)r*--L%r$EDjC1#l^u_;zwTwnK6 z>JwgRfE-TZH7~{Y4H|T85LmhWz_N{A&-ZS884dgky+gPa5!BRcqNT>W1s(6C1s3o* zfLGaCY#a^M>>O@fIt{Z&lTQNgE{%r1Epv!GvX>Zd1aOu4Mei?y6h` z$W-X(;cUG@uIFrjJx7vOV}3p`1yY|jxJ ziy}s+i;JZkbF-4~Y{bGKE^7ot&c5X0<=nR#6Ld=+Oz*^&{h&Stbl&YLPOTpGx$A#Z z#JhzO>|XVq^o1(Beb#Z*u03Bq{UHL2+%&Q58qX>=DK^b&8Dlr)q>^! zYkRyu4CBN$nhW>ae9>#Pq>n4JVyiSy8`g=4Fmz(>7PbVVajcLur8<<>Ia&kwAnbM;GqqjJW)-4x(jWGSTW1WFlZW%%(h5s#9X9|q-MA?eHe$&*E6ji8h! zglg#Uc&_#0j-*@X7(YLdc@5$9AMUJ0`p;rdZy%T{az%aT9}bxH<`W-_bNPqMcj`ny zwb7~h7D|F`!h1@^cQJQr$smQtys`R?SNLDt(g~-w1#3<(;)m4WvOyBo?>AqCh(Mf^@CQ zBNak4$q^pS8aDy-Px>x;>Z+}?O^2Nmbdp9ewjA(_1j4CpEPEVPX zRe62tZbZ&_AKW{*rz9lYH`b&iW1xCh_v=b{YyhLQvP7-KJ2V8K181cZHISW-V;4$V z;^8*1ozE=KG2MAp3#YL2hpD%D5o#+^hwOmidM0$SF8*D9h@+UnQ7##cX-TDi_Z9N5 z`{e3uU%rUgtqi}OlF!R^pu>Zb^EY`{2obD54Aj9@O5c)ZUt{s&kM$6}nh@YI-5=Ge z6@?Enmx0tbUBp*>T?N4c7ut(t06b4tP0lZpC0l2HL`Y#JT|@E08*_!3#<#MRuq2T>*{o6`hy%EDQYOP~GT;2|Zui{%WK3SkAlsE$ESLMa4xEH_@y}bT}QW;lbp$v58a0!7cxAw#C!um@u{9w-C7AO+sUqG*5TgN zAIl~}HJ|F!6>uA)2Om2-gnM^Tr zvCqeXdon@Mqgb_5;n%9>6^sEoBx2*vZ|>`1E52VbV5jK*CaLG zcY%B8ZbRL3$~`9F1yvQQQM_JR zh3spWJcvw}-9GEG9;s#`HTKoUda=!d+0@nrhhM9v>}zIv>HB~?@|V)-Jr1Z94O*T9BWUj$+;qaJAzDacCJsb%6kNVZJQzt4JJ9%!?oMdDWmcv zAml^}G6_w+#lCo$85%hZGg|UeA~dotWf4l_p#X5DbDCQ0xWwVUgzh1dUi2~`$BFcC zw`;SfmP%Bs=BH0LI-?~V3Ez1&jrPGtGtpkR^!Dk^2=vCNN=!qU05@<$d}!KA3=aUy zy7J=P7ruIvYIji+0X{(X#aNq4YsBeNS7*G1Sno9*L>)&Tu*g!3ep+p=Px+0y9kwX5e{IBW(mXjYZRdbDm zK+pJ{t5VOodk;9?=-DaJdQnIAWH8XyROW4H{Cu9#oG*ZF9W=vhi#X_gR`{ZNO7Py! zT0URoI$__naz<}JiLtzwnbl?Flqh)i>?zY~#$PvRtm7IoP@d#P269SvelXOZz`Ug- z>6@2V^8L>wa7))bze^HFVrYLtRhXX|Ri+ENGAzx_gXSFxPoKQ>GoBxGktT@%JBnvl zKimL&%jO7=lIf+&QpWxMl%t3dYpQ}mmB~OI?F}?W7-L;UQF952Hz&>q?V}=Vm){4u z>CNApwFx8sOQ}_HN;V%a9BcXLVq>64|4w|W#dld;yziHk>bxgj_exnJ^`V`g-gB52 za+r3f9xthOB5*q=|2|I(WleqEj>_%Pnt{g<&443aae7rnbUY>&Z+TRewO-_VTx*6* zTC$;MbbwloEH{7ZWhr~1$g5qm0jHs?rJBI|Lb~jX7EKBAItT#yr$A0WSoc12;D|?- z$GN^WR;rVP(aE>#%B;(|YY%S+)NYg{@;N~Vt3!7yS86M>dt_I6WMR-@VHuqA^dnAO ze&}?k)INE6@e#hLsg?VE@w}>|Q|G$Z?{|zFfJi8~l}z~9@?QBHvUI;|7tLzGZ(qVY zz*J>J?sR0gm1uv?VQ1DlC}ACs+#P*OI&!~+qh{gndMF40z((A$+$Eb;1p^J?z_m?h zJ;1gu44Ujds-8Rc_c&rxwVS$-sDrd%hW*)UwD5=AVExhZUEw^@RL~7{jQOI3^vaxz z2iO@lho-`&ebj>0mlf{F(=ODcm+Y8~ogew<&|6#w3aK;=4&!~_DTv%`^36v_9Be>J z)_&35khsy=w<9}6A7<86L5a@gf;nh4*t}|Gq*S!$N7zXh@|RJAGvJqof}c+lUhje5 zU(Dv^4Qzwgya{pXT2@_ESapu0#RgC>Af=oPG*bZ{_ol9K6lt2FDrsv~t*{$6DG%*6 z%Q3GH%^tq*&pZ}3u$+(mE3NwnM}1MG%9V-r8N=jtKP+7UnRj=1TKXmc8gJ(hHTu9u zusPw(1GXs>rA#|HoD3aPY*J9Qr|0k{FJ?hfN^2e)#L`gcWJK~RtzXUN$oFndFM2-j z%P4?x3RgyD81l>qFk-AnJ@=*GRN7=)QgdNBjH=Us4Rjjk@XkMPX&dFo@D91Q(sd7u zUNR=|ncYp|7aN&69NMs|hXeZk+S$%o(WA}owwt!40$j)(U$dL}7df_T#V57t=9gFw zyv^p@Pb%(h=r(^(={6Rf*zhvg-n>a?8YHi6Kzb?4JUullwT_jCEeFJ+R;!1zDJ;Nx z7CLl(VE*)X%S`f@_C1Z(jkreGg%A576H;|3(*>OcL1y+ca^=pA{Z#K#;Pi^lC>`SmYT{BcJ6^b0!7C8nXMSS%B>E}Q@J{KKy3a0l)Xv@y z+x_G@>l}@HioK#W<0B#;ymc%`!DH^alny(Vr&_v36YBz%vgA0-boG45)Sfcl{*ee2 zaIf-yiiKulT}pWX;vm}Ej|I1^$LI3fIwRWjIT4@VKXdStduA0t4_(&i_KX!Sp2-bF zc00nc3Zgmn-q6oywf552d9!!Ow&muvyP?S=oeKd4{89$UdNJ!z0z!si^W4!m%eg_K zBIrD72{bhz9LG#c%#k3&f3(KXf_G~Hro4fbi%KLpV}5O8L=6 z8Qu&~K|}MJAf9pZ)eF{>R;%qX{QhGXC7se9e+)3%XfpRTTr+BPO+_p?{vmV7VFhGr zQz28X^MfA6Ps}~<|5JLo)}__FT#&=IsVFL*-I*pC;^XIaZ zFb@2VOWMXSNBwX6)#viPW~JbRP1LwK$I=%t$2vU_PwS~mmWM}}91>L>33D)v{z--XaK zYl5f%fonEWa9`A4+2-6V`f(hOYbZAtLzWppYed=dd&t*VF8;Xj^4^D*R<797iB24n z(_gJGim#P=?S-(LSqkJvtr`tu0mrD`gZ@wl+(0}`_3z{45wnS@(1vw?0OpYhGm6|; z&F4{}H?L$@0e%9tcon~0ci3wF6tYO0EIoP{2to-qS8Y+}b?Blm9dJ?kdGjgvDAk?&qBMDScnwe#nHS`SvX0T409`OJ4@cy5PvD^x#rwFtMs1n%JHB`jUbXE z-|qn}%ExwQu}rKmd!sS8#0aos%EZq!I~8VPgtBA!WE?m}By?Ep^mOg`!)XI{yZ}OiIK3^iv!80%ArB zF98wjdOV4b6FRWco&q`DFbzEXm!3jddH5`g4K0t~IEPB6aeRlYR!4!TrH~j^5p;?$ zat$aEIT>$BH?WXGDmcNLQH~skd~@FQM!fu5Z&=6I(Pxf->-A9l`=&YH1$@ddhI?ki?}*W4`oL6cZlB9d3191?UW%nKU4fhik-Z?u z6Y=(-bd1K%35-kxpVw-x13?konIf-+XUPRud6@G zJO*xWD88_saY<@vL8Iw8E9WMk=oY^68-=hYmc!rqrY+?9*E(iu8*d*6DZ+Ra-^USu zRw&XW(r%P7YA+N2((;!Tv%9wo;?(UL-b>^Azah!3BG%iIff|RgX3GAEgEH3?M6lr4 zTyME42}c#x>KG7iifjkOmG6HhjI5vjmJwk}+wVFV4R#YSw-E84+5UMktia&CiNP-# z5V^6ukgvqo9rVdD*JMKe`)J0tR0HKi9A-nb8yq3!qBL@xIPr?LZLWLEl)VmW#0l(j zN%4|tq~&4`MGuER9xtW{-I56oRmbK9CNiXNO_s97+xHG?sd4jH5yWdpxzi!}3aALa z);@nj#`YwHrs#1*zmfjhPYAU<;t6Ve&_lO61_P+{)d~Q(q}n96TKl!dN+-96@Q3xF z7wA-6QJPsR>RR<)?zQ>kgumLv^BJ%XjOl5^$H3SD$4Ix{mh{cBKJo*_t%FncZv@pk zX!-DQr}0fvr(3S-+~FyVn&IQX<>%(K%t80e1lG5))Kp= z8J2qdjPDE5DY13&J4@4QZ7<=)j9(uwSTQ(}iGHC#Zeh0QmEV8V2GFF!;}AO`LQbCx z+_S;5d2ox7rrbvsdF;YHW*97}EZ50a5!onG5$QrheoQAvzlBqxQF3jgMnSNrA4<%v zTEZTym%}7kFOE)w))h-!9h=)1P$f^JuK^Y zN#)s2nnmx?h&e)Jx%Q8C;zH9m*8Q`0?tlEvqpEdP1C%sgc5pilU3}Q8B|*6O=xtQ* z4Swm91g`C67(n6NM^{qp22@VS1IfhU0N1gQyb5&RIPR#8$L4F zx0pVp#B={ynG@nj6I#l#+aHPX+i3)E0i|Ofb-@l(l!a7=0b6LcwEuNoYs3Wwb7cm= zp!<4b`aprCHvw49Ux7$CkQ@5S;q?^c`^5(tlRlLjKY-O>0V}F*N}c#EBad!jaG?P^ z=roQXPsU<>9#Um+O;|p9LhnKIh%8l0g2FD^`DF)u z;2FI38R98r(m|ty3gM-SNJ`2^o-;QRYWCYa8Bx_jIauX$*{PIz$8QS6uwbbSj--BL z#Wk`rb=hvO_d@HP4yhO~J+4ZBZpCh(`6VNKflLWIrbCj%JQ?NFnjVvCONcuU{?N}$ z9tocuc_;OUxRci$|D6*QKK?;d(X>i&;OgQE=>YhSBDL~HNST!D>0#))PWSc8Uu`DO z0I9(6!SfbO^D^pj-a#ivxhgfic9AKHq8jS60!bu(5_o+@>}h)M91pvLRiK$%-7WR7 zbHsi+H;!w80;9r@thC)a z*7ostPev{6{)NI`nBB)#e5Ukd)_Q@vJwU>CYQ1vVYleM5SOa+z5TV$xX~zHfd|*dO zuwmk&UX&zmJ%w>Jl=McFqD%Nj_1_)jW4EN4+CQ%6^ox5_3fXj+WHb_|rWKX(lC^)# zBJ4%ik z9NT6Z>5969Qj#GPk|!pE%Pf(DP_OwHX{kjlMCd6TZ!4oX%ZGRlkQ+-E*QzmC}H z)zM@pYl*w^mN-=K7l(j!+jPwGs)F~HhE6=NzvW}|dzDH;s`Qn!slOlH(mQ0_S$CY| z_FrRFy*^DSOmB-9b4&fAELZI>ea9G>qQ2KP1g3tyJsKa3+w zHvn=uTQNa7M!7w>jvEAI%InE570G>^s`iZpw~w}*tL1Am*S0QUIXQ_IKUIj7ty`-Z zKVkS1lv^@Z(zVikI+7Lg@&Toe81c)11lN`>wXECr#8q)E@-p)6H z#f@a`4lY*~O*qAxG|7{;jP!9#3q%*?4P2MaoMU;0=wW$qSD3?8$T&=>cmxw&F(Lm33^ zS@9M2QElKS*3y+TiCQX?3hwJg7}Ce9hP9>pt+0Ak%aJm}xbM8#ltx(KSndxc)EwNq z4EjQu(X#^>Er|$3w&+9s!p~<7?9Evod@auqAmcyrcu7?HqLV6|#7!I=q|egP8uL#3}Q9+^3@{*6UUVR#~eZ?OKs^-FsFLg>3yus5G0JTduGd9t+l z4rJk*zF{@AB4fC7mZt|e)5;%4ZM#hTv)r+A;X8F$@)c_;zyV+ipI5Hj)PuS$8ummd ztZ^8HeWdi%(XWjx82##c94|nxDh-omUNwKeJX<7Z>@?0};uH z+n2DoY{cHQatpsQ&H+{K+2tdJK8wBpk+RtWp73~}kyQ%~Q9*rTx74Z&6H3@Ezf-Um z0r>6Q{v#DZGD5#-s{28d+DMp+9 z9n@QW?jt-^Z0&ptN3-WWa&+xJ% z!XR0m=4d@=j<8pFf_rU}OkVxUc%Ra!-4vaM2)XDYPNlTO$p{_?TNopJiF74AH`<$+|%f3;<1=Syt3A(Cfdpx9u(}Q4b9Ep#v`^E^gP*TP^=+)^xqeaVZX_I{9k-u^(v$ zBrU62W#oO5`-o#hugb9andO{{3FOy)d>PD-qlbiF%4mAyR46mWjVaIm%zOR_rAe$A z?(_3b=T6hWn0`s3lopdL6v zEDv8OnQG_&kzsO_nA;6c+oAf90`rzurv5S|wxz^}CUgqOTKKsgs8~3@8)dzimlNFx zdPw;c8~((HS7fSH736BHKB=ILdYA8inx0Y1*MfW*jfkh_%0h!MGsnS3u!h+wpxnR$ z@b&oAJ((q~0gP<1Ix&oITBYoWw9d>DejlYIQR8(x>!<8c_HXC+(Uo9q`Ws!2RICH? zH2}3q$zGoI{ZTu%nd)K6kE(Sv_NXlDE_@D2?uCnD!iC#a9uhpaPp3KZ@-~Q~%bu_O zZ3Vu?FQVK7g>C_;`N?zZH~tAq5q|G9(D&atPae*S1pp5-r+1_?yC490MH=-JUoANS z%<$HJUeQ#?OURTUO+prO24kZ+MjdX1`so4PJQrX|3#YhGIanVuuWb@gowf|589nTk zWh;95--XNg$oJYDG(Z@`LE$ySE5_eqShsvSjXXqKS6pv#hgJhBax-vc%MLMXuI(VRU|*9CRr0r{_jG5n5~}U4k{`)IiRvWK zt$L3Iw$E_GC*ez|YG);5lC1^?-t>-28dpQxb*NS)Qp4Rn(BMcQpo$zkOtf6M?bkQX zAW7RT&dq$NTM%AWFz~58&;1Jj!SNpAd!>Wt8g4L&v$grly^0fD)#^&fmkhLy0qlHA z$VBK3r*)CMJJupKHjT>)Cb8_FsW#0w&46;#L`7FRqb1^%YeRXYtoe-|6Rlo&6W0FsZpP!8zX@)zfK$ITO1!P`>jq@(Vcd~UTiAN;u zc3c(!oqjYBZK}qM!@tie%(|VzP$<7szI%iXI_{$Ce|dUS9!Q>sjHc zuCLcds_Vs3RY_w91KnTx-Q6+Nvv+MIQE(@FG^b>ZTX8l)i4#dFRALj8igy0ylJ7Mn z0Mm7I>XgefC2UESUC-<5_>yw-6+(~EIN{qLmI1I*mk>Y2mb~^xhaYPjOip*%N+JOl zmbtDO>~z7F4mQSi>Y)(7U|WTT3Fby2e>(m|6GGZwK*qEU%mt7$Dwny}e*{j2#?#TD za|uD`SDR^fzB>I9y6J@}Ne~6<>qSv_CY<6cbvMUFJJiOlwl^In*Qz~?>c?a+dDJ?M z((bHy+zRWlZFZ3Z8&$u+lwgin>Ae*V>0{oaj|j zx&q0FkmNCKyzmK48`pA6*b)DlcCg{54ai#B-d@+;G`&yzr7fpbClKHn1$O*}2wa0z zvER?YWJD5wc6!PAu+jE=D`Dl&`dx*yshwe#wJ$oG@^o}p&ae8~(B#;K0G2J}O9<@% zXSiX?Wea%V-UZ>Mx#u;`N*@ePTNUtU-vOk1&mlB)MRe<=lBeQ-PIG%g5(4gbzN5Ex z{I#{G%}x&}KNcU=d^4J-v4&9k{0DzQ5Yc7ihmvRFYZ@3CZ!f{5B8tmGR(J745-8X` zq1c*+m#SGWMbyTvuT4iSwdj7R`3$SqlivE}epx$`J25Sheg{OApprCr9eRR1XZxtd zMM=fLQ~cJE1bI^o+e>+FiHXf;H& zRdh7pdO)tt!&}UNGpw6yWvi41kCR%SKAx}{740%oEgmg6aFJ&VZalE(KX5_p z?fm`29?*RRq~mGor8C5DiqLJnYlOrY4<^M+!!i; zh`(cM?Cx&{DOPwSKnFUpdWzhMhMI&}J28W-i%4<=aFD@KF5#v#p=lDgypZ^}*zyTo z7Er)-lVl z3BhLlft&X@ljf!J*^sV}_chTj9Wy5Y1Zb}7&4!}eYUqO>x5}stJ0)SJ|DfwK;|-M* zt5V`-NYu0c`rVz zDefRr?=TUR$k#^{8tE&$|75=bSc3OJ7W;>CLH??*Rw-`o<#IV+gV$KPZdMYMhr}1m zAPR?JCwac{PzwW>*HYamjYCAIar~h}wYUQBEQ;PnhVtyEOXKnx#U5@EUI`NA;TP(c zP8Y>vM!|MVzTQBjw89JRQ6i3BsF5UKY|M@9N`!7wOI{z{|E0`Uj%-5nDKoTRuoOw6 zmqpQX3?4OA6(vck1v<(EiNne$An}QPqfyUy&H^jiZexvDw7uvKm?k!n74xnzKVgXK8 z65)009&NO^u)G(0nDVj9XiFztgEuYlacOnudgJ=JKg-pF-Q1H)ns+C-Z|TA6gXW)| z%CFjwzYi@%NoL@kx;ti4*?AOOKM(F|^M;nrhRqS%+fS>TS^62z%v?7+npktdXi&ji z)jC{f10jnNo@9UBq2RAcW~t?q?h#Vv(`RzsH+nBt@R)Z?s2yhb>!PkIqRWJ-Qq3i(j^QJ}KYlyw5KX~^pl}SRw z+(`RO_jeI5vZ4I>l3|)5JN9Pg%>4Sj3RoBFueFzlmJaf}iTO`NL@aMi8`*Z;9?tH% zi3rjfcavLLT-RnM0z-66KfO3k@I;#Aug)tgy18Z}VDAjS?eotQ@>uBn-C?c80rd9V zA-)X{0w6N`OF3E18UGmp&;Ea<)Rd_t>7GtR`FT_@W|(!Cj8`1L&(T;vdl)CY8C>a3 zvX+%5UR`|OEGj#19ApsQj2d|bZ}B9l-Cj)o%k11r>eLYKIZyZ~*-5&}z5LSeSgeFh z7RztOIu=a@8m%ol#oOrqSF@4O9|1{_KC4V_r{WE*18rEO)(FewF{<(7{lZbz9-ylL z(tFXQy~9|1Ub?JwGI##oQp6+S^b;Do&0&M)C}ep~4J=O@w0Cfhg@#Xw@4mM8IF2O= z3mOG8^Zut&SRi-O@MlE{l(IdK>9;138&Xvf_b+T-d%{2g`1ZPMwqb&%Tk@kL7@n}| z%&;4BDja;zT{FklKR77Pg9%d$I4GS^P#@paW&s~%+?xr>@6wFh(u^V;jq$^J=e}Ch?og&5EXS2cpwq@a*}h z<*=`lpr!7VJ{a?@L{AL>CdBuruFc>}nxD)UWv{Z;abO{3{RF@i3sL8o0g<3GyVn}W5iAx)?51%{|5mQ5;8bjm07eh^hWXJj;szAb-LrJWu|ZTWak6Y}A(nnyu1q4?Sud@E`-JR23{B%FWw1ce~9p+OpxbxOmiG_)WNHEe%S(C!w}UFiaw zBHm9#qz=2O@ZukE!}iiU9Yw19->Pz1H)&%!+J8w>LztMy3 z{cELz+U~U$)(H<+Krp3d{x2md_jj0>k#|-1I=(NSkkO6T&@;k*I44^g9Q4CXUGRI` zlJjN*EBn_9Vxh6m2f`G$&2GkX@H}zQq&9BNpt+TcT+lm`Ssq?h#P2^n?zFYqKt1H4 zpVs{60LV6hk;7-#zb9!)85@$6U;y(kbYJUTk0cINO@r)dpPsxn)M$?uxfcx4&&K9X zhMq)dCcq*izatxDzQr4!nM`-OYoSG?1m7~HV&W`OXWy>tns1|K0zq76x9!X^B-GkZ z1rDN^z31u(YUFAC5T}yC6$oWY5*lcHc)oj+{`1lPA?k7B=%aaC70Q#nJE`FD+_fH& zIvMtG;S9>BMB1&8bvws=O7D2md+vcJQKPXM0fab95`ZnIbT+em$$=9zqnD7CTKSOI zymbddhs|W0PlCeZp{ErX=&S25igi1}NzZ=Wn)geHTx*jgo&F?a+)#RBf}2`67|ZL> zMuyhSJFMUJ^LTyw%g>sGmO`Z53*0)1b%=BwfA^TxEr6f;GoyiYWc=1nhX@Wu)HCL{ z?J=2d>>6lP8Plt(G(ou+x`P>^M}zP(8%5vxvP?FqA*toB(P9^d4LdUSw?myCrd(O= zcc9%6t-go>hlgI`3`Kf|)a^WdKWl#DOgViX4v zb&=PfD1+}HjCWO&*WZ!;Sn=*DfhN#n;8>nZ=OcKg(a`;;WdhxvWshO_hAir7Rk?Qb zvCxneO3ouj`-2YX+za;|!cmqAQS1(m4{VXxcrsu1(t-e!a1eFz`px>X95YW5e$c5| zMu*8Djnx^=m?#XlTa7aARIgXRM0pLlAnqZ&fN7P+2JD!QBbeDu6*+9p4*fhLfhrfz zg?H^#o((z9otcbiya6QG9^>goD>C#UwHK9?COT>rSYiNlw!P(3PxNI803l{ocp)n(<2`tA#_#gmCDRC3 z{?8BthEMcaagBt~o41BXrMB9Y6q+>!nx|5eXFiwAIU0(9%bfv;7!Q#l#lYN4m7|LU zE%V+1_zP8774FFe`!*T-cN2*k0_#3oaIMnu30Gl(Pmd~~z9FX37wWtq^9nbf)gQ24 zFV3P}%yNzJ>ag|+fe)`-{1J~6V-nJryo}l#X8awpQHFm0Cd&!gO1==b$Ew`3RNWVY z_%1--zmzVtqU8dEA@e<~PlXJV)u3kO!M=?(mu88S%GS8@XI*ws_8l}@$yA*Ee569k zD5SV6!hQRYA$H-L#H!kS$$z{t-{&xD04#hDs`RZ&xX~M)p)v02$l7Bm zlo-_=(JbX232nE+AN2fz+eQ*NEsaQ#?(!EmlibD`^nL7FZ=q!*ZW;o7AanWEu5F}Z z6Zka&A3@8_H9P?Ztog6KVNpde3F57b-nE}RRft#Yh-yzoX*m~__!c=bUAs)9ZI`wT z>h(Y#FzHvi<}MMDa>_tl8$S-h*x(XCUgy#TUj7hajWo)XolcX zCGneeu(fDF>-OMdAR~u<%{x?gI{YYi|4gz~+zpILl{K$#5PhKPn~oV$;^wm%@(@g$ z11{uRqn~q=AOGv{gF$%QD~n5#5R%I_;1$Nb%m8e9?k7GDc|YR0BT-P$&5RnAd0iV9 z2~h2#X16vrK?dSd*rn)0*8tB-Q96OQf2|KtZGdy>VhhwAno z)m(41gvmcJb$0)+;QCO=Ikso@DXgx_h;-BcADv^p1a6_4Hs4-(lXVt@zp5dN*1c3u!jLl~!d81z+2N}093x|PBWfm)SRiq}|Uj9R> zvizhGK5Q3H2#@i^s4V`VK!;GlMen4J;jqP9&-CM z`&oZw#~LSi!y04tDNqoxi}LG@NDS~@`-EYyz9cfPq4Z|A4y~6$|0BZHd5gLm2t^d9 zVw}MOU&P0Ygj@?u9jf5iqGKh1!j|G%Z_Tsp^SS{16%+|m1%4>JWIJ#@%WTS>$&V3- zejK*)O>f%VZ6+|fduXbJ@jiVr;;TX5Tr_gqVBYjY+I`WuxZdDfKJXpn`eq;bhO3_q zpA`IE2CvKQmIrET;?x-HA!q5ILoYI2N;qx1cI_P5F(3zS)-P<(#K*EYl^qMAl7R~e z7Uq*qW9X73ygWtx7Ye>k9B3Pmj<}V4H08pW(=-Vkblj)MERk^$Qk-C|c)pN>*h7<~ zQdSN(odk>8QKVf%isXoq8evjLT46cF_$(5Q$Or&JZ%n-*a$t-2U^O^RDbaFgfoBMg zpyE|9jk62sMvcsx%~<-llA?D}gJukj*Q+7K+zTy9wmI+-Nz^;Sy-g%Gw=dT_YRQLcF@2jkgv$TMqH>+Zy5z4&+rkaGC&As`79 zRz-OdJ}TT5VatoZfU?G<{&%bjF^Ohe)_c_R!s_9ds5i$a!Z-it6uvDjAO{)ne>7ct zJk$U8cS$N`NiOBGN~nZNxz9wBgf5?u+lnNKCAVQ0QXw&2Pzkvux#!MoKTuf5-|^FFV0&hvSmr)(YiRH^)%)%N1m%$4u8IC@iJ+M!+J zgD$fDK79P+%y)$Ob28J5fBm+roUM(2ZwfmH6b>$XZW{iOz>$QSt9b$Y5s#~;6w4l+ zIq<+G4oOwBG#NZrY5Q@NL=FnA+2Zw;5K7qZFV&(up$e;jfcCn72QVL&+&6fS!8`og zxwowcW|9S*1uN{7P;s2wwCiD>9E`A-*|`P3z%WTnI_nx?@)0_3pqXO6?QtIw`}~71 zyHqKc|ELN^yGXVBx->dqY>l95g`5F@uzE66ZkM-#q|nC~>PM~$2>Utc9Yy6HHPb3i-S17c%}49|BO~5{r2knCQ+AB_i8_man+cK*50=z>OD4m;v}!36;~do&-#4Q$b9Zi^T?xz> zsl5_DRd3m3`lyxxtF#Np_$}}bFVL?GRqVpy4;RjxEet5}>?B5a@H6Rn$Rz}-xfUJ% z^WhnvYjeRm$M_Cho)SzCoOI@{oljrWky%U2aS}KybUk zJt>v#<^P9My+;Kyigd-X+cFUm%vOt2`byw)Km`W@ZNbSSJ1q5j{P2+5P>4C6xD6 z+(pWN`ix)P=b5|HCl66_dj?q%^Y?&Wm!Nz8!}Y(tz;!NV5#>VtWJNr zzni+_T8QTutu8_G!34|g><#%*U{D(nvTJ+b&UWDL?ej+eTdJ=OIQAQ8(u`EHgsyl} zOqDni>0aPg_!oIr1R{b^eKSFw?Sk>hjE%gKsg=-A_g-jpV$2)1@g0*Lc$X7cxowDz zD6nC#xDspAsSaFVtt=@IU`1QgpX)8EHOw4@0LmGG8GDI6=LO&DF85Q0xwQlyFQ*sgH@qSARVw^u$mI4@(AAmhFT5Nfg{e77C z?kR8j-b!M)hR4~Ni5#lt4}*iog`|<=hgd4Ne1KaP4H;!=4WB|(Cd*blIap2RNLd_i zFaA3Vn)|;^NkSVs_3+Z;effcf^Jz()k{_}hmP}r~5b??`)GUEh)H9DivXRVuyv%Kd zeuP@L5w=RaKu;rGk8^?pnt_eo+bs_ZcN+efrnVPp@$n%v>&hk1BPDPcGRpNLDtQ47 zY6t4gp`~Wgw>ojA14Md*6w@0b*lIctSw-8m1JS>swGfwQx_Pt9AwGt3AN(weLbD zw4^Zxkh~WG4gL2}rq|oeSsvzQ?tS79$T@oR-5n4w904I$UTI*<2H9YQ`mF00$LsfQ zvd>x(68j;y2?mL(j@aFCcZd`rZ6@ml%kZ$e%6S7(!mHE4uuQezQtgEJ&c3!UB8|b9 zcVx0j-G5@2rt7R$zr({KZs4Z{H-o=YV-_4E&|i1T3Ty^LJ|gGNjYC&D$HU~Iuc~ST z?Yxe9x^UK$4fz)i7DUGLYhvD>Q9@}GPT$@+u6kivgB_H6X~GyDv)XU~)RY4K<_3tG zXs0fIpM>72keUxXIO2a2=7>$5P0)T5v(W5n@+x2-G0ORzL!c_T=Af|_Br;xs;U?B_ z5et=4LB5ePUuyqRMQA;&==@iycs1frh;k8r^aNpdU99J^j@zJoNcmXq8zRB-(Ad!~ zksw9yu|SQeBrY}{`5Gf*mK40jm+Q&L&3~~-OYC40YBHsnKGDD?onQ>v_U-&5X5D_e z1y(i?u^Rl=x4+3`aFW&hEc@EifYvvww^AaK12h(GksVXR(TV`tl}OMN>)Cfyjr7CH z6aQ7Dk2`D>`Z%3h>-cbaOu<>;u;WiLuvl|a=*wdm{hWE>LrA!ANc{ZM0b>sHz8~80 zh~xq9nI%E9aN9-9L5miBMzo5gji<_IKbY1(YDPliR8c1i&PyNe>u8xHqSNmy6fZ*t zTRU2$gAL~su(FUT3V@RVxYjfv8?mhbR56$^e5?f*qAv80v1H*r(9rY zv34k;?603^8g3SnbSKyhPh5_CvU}HBabRDytdqld`vm7|h2H37;1d&p3$N!LCQx>} zLUGG>L$X8n)>PJ8(85RCq)l`DUnfKm$|ZgpBYZ?!po$oL#& z4sPwD)aRTQlb$Zy9O+K0**ohCHq?zW@Sq*t=i!3;$)AjlOG$Xpdd&s?&(MD!U^JQmo8_u*U^VT^1C)+ zcQ?mPlK~f{&*9RR4H9E|#6oXjS|6l!9pV4(Gomo!$G!Kj4Jy`KZRAlDst`{PbqXP9 zS_{5p8(W6l1OXL`my5_m!LxDT<1SDw#S`Zd>veId{+( z=(lT)@qL`5Tai#>kRDc@L4CuCNjo$Ke!8PydFJ_(&$@MZZrJH{RJQ8SIn=Y0i<2p3 zi9Y^I3cEM^Lwh49E4gZk3K(?ljgBf92P)z~&}woQq54c} zY~5-4I@)UtwVl*3@bmGv&T8$=Iu0&aNNhdn(QE!SsU7pt(*RTlC)u*tvKupgoa#pqvx!u z6cV1A`eAp(IGG`#eid0gtYU+4ozGNUXD168p|Bcv%%im95_G|jw2=0dp2MGf*4Euq z_q~A9x+q>v82#UR=}M1rE)f1vTI{IP>cS>8Mwzcm*>?Y?>Vz=pEu^wU5!Fp|SYUw} zsVq^!9}0h@>A-8=ko^psm|_3D<0u$Hu1>d)v`bNcSN^Tje98D%XY9m~L#rF4@ANi@ zJe{aNQW{2$HaxI^lYn(B4w%(Bjx{~PiU%>;5iey3J%NM+>+!k^kxG3lXnj#$A^57q zS^;O}c2#%fdF#BIiY*h}B~z4Z6=Z^ntm?LYW~VEh6f30u?sXMYw{;4|cWNTOzUC-!{Y5sNzdiu>=%z1rI^8FI&)%86g!i)AJ&AhynG7E9 zd#qY8yr=#NP~+8$j+QJV3jWf@FGlice2Ez4+G^WCH0Jw;{LZfVNOxjzN)+4$43#gh z>>YwDkrgfq%I$HvJ0X?A#i>ogKf-nege-~2^J1UQILAh(stG(&I7N_KVIITAP2jPu zNPBbidCw)h81hczeg0Jin==sK&=$VI=fM1M3Rz^v^#q|?)HJbZ^nV`;!mBW=?+hHb zQ!>L?jL=h+d&B-=0@KMYtKrqpDCU!8lv)>CEacL`KHWX49krkN*p8O!{+Q>}neXv| zAZ;f>q(avV4pp8^2hP*0khY`+fG$X&@ z>6q`i{NT#KGE!7wCrR&JR*H@m8Y*S-lmAqeFd$x6^Z++@VI|QK*}U>GOEAG+J-Z>+ zbRQ@G!(fo;Kna6=Qz_(k%s)*NzLl(oHodaeM@;J#w6++`*nY0@)h}A`OpdS6Pn{r0 zMq{!mtoy_NP&3N-=pL4&`6>1pa_#zUDCU)MWVIg&RuOJF$+=ASDQ!iZIx3k;)fbp2 zL%{i8H`N%Jg5UWnl$4qE_$pwa$7CHS z7wl=FY093IoKO!f&6$0(cS(AB64Z-@wy&?DJd%4lM8_h%-FmKMRm(jI%OBz$+1vs0 z;&sOMu&$THR75dK#6-aj+V8mcYlZ<0ge~ZjO(sT_uk?M-Z7S-Y0z1sc za|L2Q!rEq>LS$F&tc7NCx{x{6kCDGVSJn1!w=}+}J(nzuSgQLC?@@|L4-uKYi)m6; z*qiRf+(S@GP*bT@%;F zsaLX(WlJkRY{t6#_=s$_FV+JJJ#0?o)727MJQLRRJtX{%X(~ z(h;XSlQ5Tqo9|w{QzDynWz8-Yyjg!NsW8GI%Lp?Bgl)n+dl=2r@ux!v!;>sB5-)tVte=VEpC`!Nq`a`d*!0xv6Das#xs z*f}{vZTetZR&%x=1&s zgQy#?;C|}!m4fl>#GgmFcRdn>_leq$ft!QIsg;C5UUCU|@r|mNSj1x-ZkJ&8C_iGS zj){M^=Veb*43b8CDa=6<)gj9f$R`T6u^~`3RIoV`dx%R!dRV&@;Zaur#gz&wng@)A_p!qFqi$XUtm~ z-PiT(hgij5VQw*HvWw?0m7dKUWhp{Fwl}3XtVWjNKMl9mpoj7i#czz?_Kkg?u0_^t zrjcY&2{+YFeNQa9dfUP5ZK#I=BBn-7$tlg&Em4Y`&E(&#BIwBmLYa^4#E&gXTk;T! z^x}{}xll%KI@XCsF!xZ>j;ONc-8XRt2uq)%nxwpfv0IHWxdJV z*`_G>b2CZvCL;9ruL_u;bySbH&y<7GoNr?l$9$DnC~7*A2J34^mfR0IIzwDW+{}`o zbzGnFn(0d1HFK(^lG&7`P?w~US)w)YkBcp3*B)gq$A63Tpgl%X#jd-aYG#eF1KFo* z?EC-KMOYG_Y^Zc^)aAFD>{r9I3^l>~_Cah}5}~tMUI+pJ-X~|AKIW*vF$0V{tn&J9 z60&xiR%ewYRAV9HRF7|hh6kA?&FBEGNA5zi$?<6X6doO*LYHLTM`UfrmQW?RAEuOZ z@fyz7AA&|Ek{-=tRP)2b=vB`-Ya0iRrJpHEPVEI%=~R$J+*k{Xj}$piv4ahKuX*>; zHUB93C~~HuF%h)AQ_*px$f1%rMoV zyRk_f(zB189Ui6;e2q~Z9;UtAmv)&j4^J8qXZb%1(lsaWh0!Qs5MUNB@UqC!sR=~= znveNs#Qb!U|81h3OR^d8+BMI+FbD8ka>tyX3hw|qLPCo+B{QkdTz%Li;{mS#b3jR1 zsrk;R;RA3Ho|=R2A`{G__*H~jM$=!FWN3^zZU@a4AM@8+TJ<;x!uA$gpDHbt0U^&J zg$c#k5aLa?Km0c|H6TRT0@5}jI3j4?=)Q%_1om4_;_GRW1hnEkSx61y?>o*~*yv^mZ&#FUZW5faCg`qkXb<>BZouZXg|j;w}XK;dprIrZqf^d%Qfz zW&1qggD$?hD?EIm2tk`U<>8w~6^r-*wupFoEW%U_RAbLhTwJoQof81U4sU)E#Z^b` zpYq;lQCzzN>Nw%_eI(w^dRN|hY?La$4XD(DD_$Keh5BZ&y+qI z^qn_L+nUWf!(M7^@q&*XKvN|h?P=?+AG6v$T`VBY8~04VyprAfkuH<^?hToYN)*iu z`_*>WayZ1#?2bEppP$5YFrot;;HC&nx6HWtmF7I9qpgzJlt^|YOp&I7LVIA4Ztk_Z zO!9iHs&Q{6|CVb-_pd1Ti}tH=sO;R_V>LRX4g9gMu+@b&9!PV>_(g1a=SOI`J;vcd zqrXD}Kb!KkAt(}ah8y@S!Sbih)t%Nfrc#y7a{9hxbg~(dETO!Jk6vQ6oM*%pNoHoXGzm3zch`y#DlqJZi*jaJK2t` z6pVx%f9$tdo!N?UC`L6VWY`4V5$hXV4%)mX)qZv-X}4;)zWL0jy*m-?KTHHNHDX(q z%=;bX4CoH~)LGjuuj;oJerc6{Oyi*W(S_TY7DgOD`p@zhhW4k}kY~I$IE8Cuo%^hP zQGe>shEjxY4}8q>m-_T+GT}98hD$yRzlVjF8F6$hK%2WeB9@5I!JmYStQBUrMNXe) zh6?L#$~L}^D&>xo!xYmwi+dZY=thK~3OO=X#`I7AuUkpeMeIZ$XE9Ph3UoUd1D1eE zN%T#4f0-T7KVV*a>Q$+l^7W(Gr5qD-#GZ^EC6XFFxlC+}gt$5ErO16;%KEldNeY?* z$Z-6f^vr5QKVNT;GLVD0o}@YJ@ZK94dpO%}&a%ITUWS{fR**IXo@pvKhv;$mENZKsv5?ax)3 zZQcL%I#{1*KhKg`Dc74bDS`aRbQ;Lo>v|0PUcvr^UFndM&7Zl89qm2ZXKjw2**lZE?or-iRx8TbusRa)^*HIx#NOgBo3Pz;9fS8oaVm3*nAUF6Iz)k<_WW({-kM_1Mwaxc#3~%B76|3U)mep zQeJ;^D(o-{BeA1@WBlI=-8U!0XQ7CG+xn?uzgiElCIfhyk}JJ7r5)`%S&vm%3GbiY z59+m{$CjFO!Y>`97n2UJ0!mjcc$9auHZd+Ja$V^DH3LPM!d}QEv?)r|j1)zB_z{** zH>y8omD16b+pn8U6&1yzZ5rW#hF^I`j?@dWM!e`DoDWhb^$*{Y>yEvek;9 z5~zIq79+ld3b;0Y1pbv#0k1uTJ*LaZ4Nj$I{Z8AsrK?UpODM_*&YRvj)W<*^mTc+P zZikP{*$$1pYTw9xhf05N;Kljb$UO4xImmuSd~BLTYVbFm8ljL`{qp@To zTA4f)YEQg}=u6{5sZsFiC4-HwEp+gTj#ePFPoMYO~(~Ik%$GAj@r^JU?B_pkC20c{AqcU0qeo?W1H_+(wy>A;Yz zfw2w{cPdWz{QLA2DqxNWBq!%p2JT?nM5o-`K7Ol))!Him74Jzr5}cd2!{58rXcMfC zN*GfioAQ89Hiud_7TXz`I9QWBI9j z*ta(RMs)b^B`dVqP{q3Ai?ZtOvn{#)@8R0+CT*g=h7O%e%4Y9*7yR9ttkj~`*Q5Bs zna}k8t|r=b`mDNVXg*c=q`7tm9xJ9>SeBtV%nLJesJpajt}+YznW5QcY&$j8E5|8Y z*)KmL%5d8cTq;9I5(-Jy7YYhP=CMtL29Yq_)+5DPZe zd@P4vdN#z;L+n9Ky_*#_VdFnECW)eGf=gWCMBZ4&T~I`vDnR|ATEaz;g}~5dn_kYg zq@wRDa&9vAJ-yz3jDV%#AMKrSBsg3_`_G}v4uw_(R+o?mz|mH_9RVc{fY)GS^~WPR zrm>SJe1Uf2tjmbA8@Kt{)ahi0)$>L8qd)M==>F{u%TrgIXVjNEPNPRGm&Qg#Ar}jW z1Fif%Q>Uat?R$M)IlFC9zRYT5=KF?6=vfsu?{2`}A zaX~YDw*l+e+8~h85|Ct4jCFIm&2NdI)ZfPX^rAOPv14KPE%b@^Q1d-bL+58(7TMc3 zB!@Kyc4$J(FxA9dsNmB=?f1&-^x;$Ek@pK4PX1|8)2$@6rNtP5z-mS-pj=c&&?y*V?-;T-rs zP8z;1%MYv=*g6&>jP&-+uRI5j%CF2b%i&NFl`X3=!+T&qWoHmKA)`=|BrFn7V zgB(c;o-XEFcMr5XUNyv=#g6IZC`6jBq7Cwz0#zOmj{_UO(By4rn$O3UpZzC1N1E7a zp*DYfn6n$uwkINIC#-n}MfeuOPExHnHC-^$PU$VXhfY_gG8%xw^CeA_DN8U>UkTQCGyZSD(RJgF+9||#DS@{~%{U1i zIkg`0P1sD+G`sHBc3JM(CES1g!n)DEi{hxaoQcrzGjDA<`c_zQlgC@hH8F5QqCDbr z+5JDaO=`JFo6AuJp#zu)bQn-#Sx0QfG8x*K(Dc?3ZFB6mS?ux(v63gREKu@KrB)zo zm83zcS5SqN9wPrF!#^qF+P55_?bxk}f%uSZ%)KuesEgs(I4+#UYp8J1V56hV*NhrC zlQWT#jo&kT@{P~3YHHoY#cz@wm|OhkH>Y3tC2`G#mmAxdMC_xG4-6mt+mu%gcVLN> zBBQ8UL_$qDa3eY?QV1}6f;*%p>lYQYfa~wx5WPtvkS6Sv}V(O$_IdC$#P5{g4TiMeR{SJoOHD^T_djIHhl975TiEA>|S z9$FDR-Ht5E0Sjlfy!Bm3KPIT##a~HU%EOPGedi#|7{(Y)*Jvve+u8Km!x87Qe!3UK zb!SCtZi7F4hZe-`XZ0N4DM=8F?bHq;p>Nc#h zit0hc(MJ^=IzGvlxv*8Y5I0(7wN(})f5wODPgu9sEDM?&t6-&(!)*yERLfY820x5& zZ`0Vh@U(CG^v5Y%liJ4#i*;sA=eatA7O%;zxcW;9oQ~Wb|4(F83EZn4n0pgvnjm0V z473yN(oDOy&WnT&Fb|(q$(%%Q+cv@@EJFgjRWEhN!e!bCC#IC<)VBzZSm_g4GvMs1 zRNbX_v_5`~WlOd3`HsAc*RnsJp3tx{Q`i1xi_umsCD4?^PM7K(K>eJxcWZnEqA9D_ z{*VXKO)|UevnO|7nGf&IlrYG*`#ZM6%b;l^-_r525@Sb0jE*>S%FT`$SpQv0p0vM~ z-MWF5aR<;4_5Odyh)tS;PZxmI^UsQh0B)I&7m8l(deuWg8wNx?F`hZNf8tuL`UEv5 zEc|TdV~!w6%4OV5X-WYLTAWJ%K2F^?du!|+4Ap$6(hKW|bv!|^=&!E3@Vyc)dH>v1 zELEp1@?kdAayxOysnaPz*3@w8KvmjAOHn47PZnGOZ#;tlYMFTNwv96u);E59oakl6 z&C+_Es%ooWbA2@GrIxIKsJfem(nvM=`_s5i(vHPd)k;P+U9-;m7-3h{hA{$^(cWmj zHYFbv;xwXDXLEY1HDYLLYKRQJdYQnyLsLD-Q+cw!QO+ni!I79wOh{f3k_4(>)>$Eb zCSL)kt{c4rfOQhqt-5p(kFtB$Rd}s`!Zi2kK3#uiS{53zq&UP_c&N6VY0X23vQBNK zIF|>zpEFmVrgu82wTDhUST@eCERgOKh3=!(v=Qgwm^J%598G*Ee)2k1hfy52QJntNrz+vT0TH)yqxjXJjftjNpQmJ-Cg7kN@YB*E| zAt|vkq@D~&=j|trSnb8#!*-u6o8|-+i#t@o30G(Gv8ZnzJe5y*bB`#Pr12x1A9W-7 zLXJnQAb8B|8&r3I<@gr8FP{Ex!48?1XG+-J^Jit}wU_x$$}R%8XCD{kQ=VV`OI zQb9|tLdPv%`j|O;)Y24vRM~5SqtFDV-*hVz)}Xj62yL}y*0|o#l9hoRw(7tab6?fz zmBw1Ki45uU>V*!yvc1zPk4(KNzitr2PtLDK)At8J0!>F4paYBtL8q=J#NOYQ;R7J@ z&;#ot?&%P(qwD3N;a67@<-3a#!rUq0?|T`DyEL=*Mq`+pK>`c7j5c5Y_!^fg%~G|d zkL`k2az_B(+xo4vYR0nh<7+_m^x?L!u(`-RYz^NM_@wPlG~XMMmhVRl2?|MG4M}uh zR5LDpfYWAE%}Rv^Po#gpvKE@#kD_J%)NV^k4R}BNp*Gh{MKUAY|Ca_vtqm9ClRDY{ zhgHokQC}N8$~;|BcMscOZ?dP?tlQTx^imqE?(X-y^{dNCf;)uhq4U-K zPm=$b{T z+SQ=KQno^+Xzw}y{uFRClfoC~F$Vu&jvmpubl^(Dj+LOF=b=55>WTYK!>h)J`ZAj% ze_a=JK1qY_nYl#6^B$))n0;-(g9^SBTEVE6!KU>T>gYrhXlAY}|H()eIR)xI@vNfv zT_Aezk`SF%oAl<9Zglk#5J&NqG70JVJjeM- zyG0w*yXw<~a=kz#emso!NFsLftBTOvA|M?QL$e~lXmI23&_CGc1DrV4p)~{53oG15 z@>CPuWFbcqANjUA!xhv^GwNbq0gng%*WjMoxg8`2(~`gEjKK@bVm%#G>u1V05NX53 z)I)?lQ;k0iFh2y7#A#hY)TjI@i|e*a-MudwchT`7*G6T$%Ug4@ho=f?^Nv(!A*=1 zYV{L!*vM<=*)WzvqPHS*I>SWQ@O~A>x=-jc@;F3-fK!hgY4_5Jni)SkZIpvgaAlL8 z=-S3E5!HAIqcK9P82Bn|6j+(h54AM)>G1)IU}xvn%~hhowqwF*=b{kb9OsZ<5{lt4 zsK-Z#`26~M4Fp|~78h`y;fvZFQhK8*Pj#Y8%3q7y{I78wR)2Ti#EEF64JN1y*hfO9 zHPQbmgsqbUwF%iL2ztNF-ZQF$9h&RLm+U*X4ed|2H&sJiGxr#qQSk!1vZ5Ay31o2N zUWN?SZ=6%|GNS}*5{GroMkw#$`6@eeX6Um4I{qY)q7QNU?H2*-n-Nc{oCk^jIjZ3;pw1pOTQEudmf%C;XRtYH&~Y6Y(P49DBHp7{O`Nxa1!bjESHu2*HY82z8#B$(*mpdautnbg5IdRtfUf-!iYPV$_{EJ?^or&H?r^g^edfpmWl=QzdxE;5# z!*sU6SQ(msaWd%mw40eVs_tm!wllw2`Wi)0J+k^@>-Ma5E!ecK75mqCEpq%bT(_+* za+O4?tA#Vo2%6%dnaAj?Ubo>4)_)2BGBM=4p~p$x2X_XFk0S}K#v7SVB(rwcq=;>CwP2wZnX zT-t}p`v~WEeME!~iQOp9zhN0abf@2}qgg-k4lRK8r_o0Y=_07+jc_9S1tkP2)U@X6|%m-`kBDiOQ9MN z(LIU6mu7!dvQLx70=xv97M}gptZ#M4^)!%RY0p{Ic&Jvgz-yFzHYu^0ah$>YUAI(d zSK(oyty_T5DJq<$H?@Y{DATDSv<^LhYpiCDy?OX4&R#tIf6ToK_=xlArkiZH#mTf> zvt$3{JD0YrS2J@_N!ye1j244@-oOYByZ_8#9eEUuKka`ULijQtb5MaTs~oOgdx3N8 z{+)V{j<6deub-asQC_xWP)-K>GP3PY>34ix(`y{N58e|P52RURIvotO2${c@0@fzPm{}gjZqB?sx~6?NRMy)(KJvDhQhLlRDl*fv-}aY8OOQ z7GjFjj?}j@K~W*9 z5{xi%h4r0myEBTqs6v(N%RP(|+RZ}a^-XVO*uEYA1;0}QkXWcunX4(+@&kPrNEr7M^*}|8K)toq;qnZOFRDr{$>)d;bnq^Rl~TY zCBHv?$6z;z$8zl9?Od*)#D$CYrnOUlJopH8K?dyMApo5IEaTt5+-)ZpQBr1`Z#3!)xbH-h9L{lFuo%GS;I{d_vjw>A#wvu!qr5rhSYC#|@@)Oc9yIk8 zK_u{;k-GFVFwj5&p^5e6z?HYpuz1@q4V{(vRUHUN<$mZs8~w}_LT(@}wL&VyZlx%2 z_MqfAqyeat+DEd(U!HhHdC#|)Fcio*tk0Z>YtO8Bf{6pYf{VJYx6LpLIr9L<7`;k} zjPT?+ByYA|1-pCl9Q$6&&i%;$I-odDv$td5!+oVg+01$Aa;fzZoh~2BTUL5t5J{X{ zBg=vL&#msttnPl8E!4-%vcjT;%@W>h#6e-~YFtp_5F}(Z?pdS?-HEehZ{Kf5SlTXHmMHR?k^%;84mGoeP$3SQ*8HQYmF9pa2OJHM{aEuFpBP$q%K z{2TiLPc2RC#4{0BTcO&Q9cJ`PXINtO*+Q9u(~OcAOCQhG=+LVvc4Mr2_eWU|oVop* z`_XdPbTM69fCu1PsT*O*hCEQ3XRS>>RK}v^j(g_~kakuh8;;)4O6#jZ&l{V&>F(L6 zOZr(6avAd`Br5X)|K34%f}aZOreTV z$pt`3>@Ir~h;;Eh^N5metgW)CY`@noa$MTq`b*2%t4mMW2|!%FyKd{zo+&pqH=hRM z|7ZOe7GA|h-k<)gbgPvN>tCUZtb`IlO8s7+$n>#a40^u%(!5h4zV;Oys5u{V_Es-z zP;Q?j7o4-S5e!6WPeXrb7Fuhvz0&rBw&WUVpL0%TCTfkea$8ph>^FZ%q0UK1k)FJV zitl^tHEBuc*4w0Lhd&0gbDdtRQcjj;0?72YY%lRDbgeAhpXY2jfxkG@mpopa0eAr) z&eh*s_>%5R$J^L*)vBa#LJN01_LJ$wWV#md%|^z#$dd&lxfN4=xJzqOI@!8jnZRkR zC9j>ZUKxdTh>45%imp5QaSq@Q#DJ~CX=5EJD;4`APHwy&A$bjWIg#lR7JyX%LUk)9 zN_EZlha_(!CL1r!P8WIvNUz*J#Wd^CmY|u>5s$Ily%BH;)Y>Un4PyVhx+h|wt?qsj zGQP-06jUP*;`X&iLU3{3Rixa+`)*Q0 ze)VKtD`l{>k|HM2TV6alQU0S4ViX!)JSI{Y=( zWvM>HFBMw(bI-Yk+GRH2XM!55^mBmaJL10E0|4DYrtWS$APwG=lCU36zdQS;()u~ z{nHC--=9BH5i-yB6MFB}$R^bt+4a7~CXqj5E3OA|i4u0`{SB`zV(S9$!HTYXveO zQo9~Ry`d`POjtB3KG$`E_f(am==VYtu=>bo&;|6KxqPnQH}m1D^_t^w0ZEKr!1R4y z?123e#xXD`)kXL4{TPMSQe(gq)7;Ji{so3_P<%}8P3z!mo34MHHux}KU|la{RL5WW zF}5~h9FBWKt`Li$aV=aBQPqZOApIAruvpvp+7Z^}fn4>?I*BS@=5p@sEUa9=z#4aHdC<&WayIqV&hCiv zq<)@|2K+9BKH|zHTuM~EYbc~b)W)m&nWLpHYzL~^e^bSqqj?v$&%19nN5Ity@6!^s zN*ol3$h=9}tx7>ZlNt!Lt_b>#90lsypsH#H#s;gCBYquVTxA%4Vp?nY0%gS`9=jXh z+ftZ#91$HN&KP+opa947yoX~r0=qkaL$>I9LOF*AQ+^L?jTQ5NTeuL7o4(4Bj!~o@)fP;CuH4DXga!I zV;cd%X;PUb5NV-esr%tiv6Hronm#$b#|c_@)}H^I9l+agiuvz1o{^$sImMm5`qCN$ zY(ssc``{ADqi@LNwDJTBD?~MbZ|-jJK`e&K%bLqD`0=1&Z_`N3#&F)`5!15F_^BF1 z5B>R@Dzsc3RgP8qaodl+`x>}2B5un>#sB60r=ZQ)@z1Mw|Hn-bBIx~IupGWi*w_DkLesc_uBhe~fS(L2W10~Q+Jm^>@K{0%Z| z{QOwrs9M)bZOsQ$j+KyUq-K_aw5515`#B9gVhm>7a5F!mygTuTfc&zqKvkg`IIXuG zSVBGzDp1ugEmq|sahyS1A@(8|+`vCZd2IC1nYlceT ze2OCgaZ=FV(7SS836Ot3$o4p7X}^h|N{!@tWMXf!ZjrUq8Xo)D{mbNq?SX5X>@%~% zvqH!=GRe}QY~N|?zI-Iq;|}AY3YlxXRvZ#P;w9{wpT($se`(7yI1MR@HU367_cqWh zP?bGS@ZM{pl{rzBMXvB~?(K_Ht(+q?-uLN|+;0GUu1e2)sai~BVkMQF8Uk3DuMy6u z@j90mA{~p5E}LP>G?~cL9tOvz&(PX+?jglxNGtzUp(V}JN3&38!da_AYXZ>u)w0JR zKKEm+7UA|;yWAZ?AM+}i{p&ME>#xm0n+;XyFkG>Yr+wwgti&N*33QE(FgI3FcX)2L zq%uoU<4zbVTC2^DZwpymsu2pz{?@&mr?@g^zw~!iVsK&Bg+#X8X2X2&ENBnL*2=6% za$B|ivdOTnmwB3eA1=Widw`rB(v}`~XJ)JbR^uLk-zD`q^gLf)Sc@5;iJQ9 z;1tG(1~6w4otytg;+Be6MOST=&+h-<4{y?@%?6T+Rl|g_?fzeg*P(g*7b(!M<4}X# zvV0SZmF(&Mq)(74!fosqP0e^!g2ZOOv8TwUq0R$v`-Wo&Je^ztdYoy$spn|c1o##| z(8~3;j&H6j5L0 z;H%?`%H|-PId;)|+ zW`p+bxPXE^Bvv_T|Nf0yx>%dW)lHoHUjrqz9Y_&pJlL=Jk7_yYeff9qC=}l!!gdPe z53U4$V0+ovgF2#g?N9e4xPZ*^L|eijvaC^HtPM6oHPpy(P6!`f9={AKHFryl1|OY+ zUMPpZZt{m)a#_7TAy5gs#1X_Pio$@UMmpre>JeLyeLbIna5mz zFMQ-$x2)m&Fja<%z>0#+@kp8Ikrh-8bIv-GqhzL;te^=;9 zG=!4=KkWy?iqU_>g=76UL`zm4a0IgpfewO!RjRS2E)|IJg?VS z6Op%Yo*I~xA)dco%=vG%{MGw0!QLucif)z#xHaM$zUz&G4Z18WFU!}LQJQ#X*!G=j z*a-qOQ%2XvV(&_zk*YZJl+Ck>Q?y*j-9F60EAA#!eZ zSsG|&YO86k*5>ACIJ90$gyLE-=uV&I4h!=?c!%dxUJI>3*(1ZEo~ccQH=dCLr>(Nj z-CMJ0h%P5Ac=~iJ)Svy+w`YtTex=7RRhOEztJv@9x@857^#-1G8B&_o!D~2$&X08G zq6?mF-yP?`ob=q?$3N@)N)?~XOraXS13dZ*2afbv80-ojHu87>o`v~4P-*e8th&>O zS7P}?x!hh%eyqz=`FZS!Qx-2z#|Cs(WpTQ$UPIIb{MOW__;k76jgLqBplTvSt00Mmi&)MR-f8qdAX3iUJ@e^Al>C=rw-yW}|v`I^Cnm z)8%dT;C*anASfm6R8k7t_gmCq@JN}Fqz6;jZb4p-c|h^(UxfE}RVTj|JCz@9@yceH z6R==|O$tIUKod8KKmx_MBO^qW_Qfr~bkZ-%*oyJSeA4-7SZDd=(ZjTREB$-v0}(@> z53q2zQ{*U_5^3F+2p_?c;_gEUlu|RVYmQwR4jIL->Sq1N3dH+PxH`C=y=akfFXQCm zSS}L)kK>~5=IQ*i-^}OV&UkIZs0=q$3~xHzb{Fs=SKLy_abbI?NqQ@D@UOpZi1W4z zEs>u;Z4A{t+PwsXyjcaqs)e8HUQE5BKTt-+*$7hQcLC1iE}>{)4`VV|22?n#YcQhV z6RCBmzF4Z?v-oec(_K6>lJMOlqu5~joYeIUSy<>KihsU>Gn$@@JYfFr08kDXtmNj#NrXMdhg?0b% zJuUGe!Ii6IDY=3hVm0kGvF1b{1vwr=`YS9T2Vu>)Bw(?LDpHLsP1fzm8{)MdF1>l! zjb*l2j9`>;#RyRV>5wu#lNHq8G}bmR_8YBqF6h!ZxIMh-4EnRC#Nh_eK0Cxtm{Kw*wWO6L&q{Mru1MZDWhG`Mx zzrlY7{SirfVhrHd$a#fp`!sUbp)8{)U~p(}62yq-eo<7M!QQyi+l{DWAWjRknBI_~ zk*ohv9hHgQ9TJu|;r+U>GJIxpYs`i2x=&}*23xG;ETqtIqV_?qn8!{2$(w24KG@Rr zDaiOCp)J%}>~=1B@<;Bq6#HUVR7TDC$%h$I z`Y^zJ+fWkqzGD=`%;>2PO@rNQMZZ}#=e|B#f;LbpWBrWQKhRMqY-7(27%6|Imv1@i zKzMbc*S1eB7Dt0OmTC^a$ql;ayq0$qqi)i4FF|fgw)gjK()qfL%P^bcZdK_ULE2>T z=nq@I_%F2o_yu&-&HnoUUInWkqsy+zsQV+(NejQaedt`WExxE2h~U$d?r#f6L6&Pd z5p87jZ|Py2c_Xd|^eSTxvh*eN{)+xJF9E+sPJ;zKYx`TEW5>-{(e_9ECx~)x#5pHM z<6^Nc`l`Q?3!8-tmixeSS1QtoR;)$2r&52iv(^ zojgW&&POK$Suy1K9v@(>v0W*6$5Zw3^39Mx#LTdS)%8!wmV!q=J+8N$`fxP*Db7E5 zoUloW_Qy4y5{3#+_~J_T@PkaUtqM2l)gGGr;~*`lYti4@Na2PnUIHerDz}P6*s&RK zYTZU|&H5Vhcedmkgk*@evqML8Mo*IIFHQHZ&dV6Bm|x~Fz4>kMzpj!dtl1|ND6})$c&>uvGTjuX zv!liF=0?7$(&sy_ll}pdYQH7;L47`PS-Ybx*AMzYosSLOug_~wUf2~w|NOBC;MoVf zSQwCs)PkseAPl;yM65h%l`S(`UX1pidhtM=%pdnF_E~=#7DP}n0WbmA7K}UtvLdeF z0oH-y>a!Bab>m;nBp_p7_0qMJaN)AC$8V%M5q$}u>AMbO5Slld44(F8_@)#k_RAI4 zw^TPpeMww~)rit{`9o}F^@>N#R{G3qq$JBR(G zrk?7zBiwTV*io41L7q-a{OGGNTxGYm@KUJj9sK;{_+d^Aj? zccqXreotFBKOO2A%~Y(>2{<=~@w5mS0d_0h{Il(SbXEZMXn& zOGBU(v2;tUXbt@d9~MOa0;JP_ry7X-q$SZplZ9=j9$(|r=}u)3QM#iT(x*I>mZbC= zcSuTD8d}^^N-z~uoNsw)BrIhqYA}0fz4s~azX|?x{4|OY2vs&R4HW5qeWfIv+Y=Z! zrKT?tv8hfJy^QqWJp+j=P1|;a&(1E9g_K`Ox#u~dWmpDC3sW%0yT*m}e(K;&I2-Ab zuH93jPaiFV))wxU+{UvH+~#N3#p298w98~)kc z{a<|qW5rf7iWKa4gSt|k;Q}K{RW@-i_$K??bidC|xSi#Gf~zz?TuJ+r{qkWG?D+8nUmhl1MRKVL_I9pTtB zuWGZ%AA^{b{XkEKk)CD&I}zJw+J~P8J>;ibx8V;u$^DMN57K zhnN7}P;Zj3@e&!ZKPSq|WpV3~RxUM(dR!TK6nMhIA0eUdzZ*Hjj3MTd2vnQs_vjRT0+$#d)#@0?k=Dw5bi5JhvAz49O3+1$Owk3Yi`o)+3R zMeSw!*)uEmnh-cnJ~#~hd!*mZ4K||8*5-wyyytt!Gv3Br&d4}De1LNB??3)j(t6OH zgreNeWQJlGQn1k(x6%RmK5D627xYMxGr^0?fko9 zZGm;PUm(h1ZU&k1zU=2&#ipxd5kzKl*vMQe8n0C)(r$>uri4o_xO^lWO!D zvHdBY8g+;b8qtA&|B#s}UTm$ptEPo~f^$`d9BnKLfGw!ClfKCeGD zI7Doo%lYo(Ru0d&W?CEhjGjJdrt^zJmy3IlvR{jMMj;PjAoK6&>I_Mig;WpQ$aMx# zNK8~3s?>b#A#r$6!oxfx&#W#!Y-sh$ywSxoxOzHuxyHzaC9pgN#DuCv zUvE%A(H|~qk`U3Y>$3F=VO~kFcYwf=o>t6^qz&x%Sgp-*p^_f6I=KS?LzYl5&!TbC zSjo$&=!aenkjAdUQQz7W_YX>iU!)6H0+ExZD9;;!`BZ9LPF%hb*;awzMf;`j-h;PE z*ZJ;~@@=AJe;8}Ei4ztap1YGx`6sIXTqf;k{Q>>S=CxA*6LuJ z)97B-lt!IXoyt|xdJM;i@!%T}X;BR-@dX&Cvi+5ZfNAL*ftc(kGm%oSMbd25iTCiI z7BG017PP0>lcHG4KyE5fOx%99{>rBIQa5wy<2+H#0lVi%Wsj5-T|VJn36wM!KCu&23SBm@MCLOhWlRqZonM)~M=00a@f# zoid2y8V^Hvcm7hzs%+UQ!R))ylNcO7S8tYesjl5SBki~ffRwHq+DT7qtSK|z)$tzO zTVo(zb}(k9yQ^C6kkZABzv;$WkYY{9(+rc6%z}CXIFMf=%bwM-85NJnGT}5~h@TT9 z9&3`WE~Z*d8?_2yo?8yL&7)r86QX&r;QdbFsI_5Khs_1oK_+lPW9=Erm}8c z(l*@QaFWv`T>x}}T;uqYwy}Qt1s(BW_mr^>dMT;Gce=hAxM((9BHU2ridhN4j(3XE zFh8YNatk01@pjuPgcy@iHR_33P(Y}~4~`?QCj8cq9QDI>M<_Fni9&|}%;aHoQAMh5 zO!ah`lb2@hyypD#ma}W(F5mF1*>L{rsj;we{R<%9@^OeLK1Eay{fK@wTeL&5Ze!4Z z*hR%CDlDE7g>K7W%9>%=BBY4yn~lsue;V48Y8I66^K({LSgl ze|d*0!L-5IkYESw&04YZYoIXOG)cNx@;F`B3ZhB6I{2a`utS*4-6xmn3P_ zG4z1d@h-^=PQV}y05PK&O+e!d41~% z!~SHOFD>W#-U|M+A^K2MdbUH77!o^(QR?t)OS8>n%Cqx0_hQ91(#x1lY;H&O+E6g= zKEFxnEn>FYZXBUF0!v=-mTR~8jG!hVKDs5gpA%Me!9{KVq7p416qK`)nIL8PbF1hZ ziA5XD8N!uJDaRT)lRu<(QGW6veglZ#5T()1Zvn8{*!DxwvwWF_@!bI1NIy>Zvun`F z1>0+1oR4n~p8DpSei_fVY-$+*6Jl0pWq8~z`Q!O|r~Q9ZZfTN2nqj18u4}w@j=NmD z&oLsuF&{B|K)(Z1l@!zP@g&|pi zr%5;LW>b_%7|HJAK6TN*3=nh8zGY@mXHa2x@qOzT%oI1p3&I0s2!rYysZ*t~aGIw= zWz#jioMFnL0hm%rS+2PZ9gWofuD$1_`LS2ok}(3KzP8UZ2YkOz++5gj1Fd&Icfy`L zyiL5Zxj1!#a-?Uy`hE-LzA4e_@^_`E-d1C4w`=&K)RUL$fT*eS&4fk2N%~19LZ7_! zo1$0cy~J~{IE8wX`_=!)cFS+B9PR1!=V!|)em9OfPU{N$WRUf-CF>m@b>@?JQT^+U z&-RYp&O%2c^aclhvoZB-|YTS(_DR#l~ z)(z6Mzu9IE^^n^T-{Edl{<|3|D!?O30orAa3Y-kL#A!EXR?$ z(wXyUYH*{qR*Zh}UV&mL2PMuBH&2aOT@Dv()8s_gtl|&vb?q^uy+BIimnEjFU^q zM#p1UE5`bk^wFn?6rD|>sA>35_ndnIW0!HdX`Zv(g9PHjdyAxY2}YxoA&xl$^;rMiS)NoxNSde?(=Sg4^@6gF z`8z-+Gh~^HJL>Ry*6NRb9H=m31oc~R-NdoEUjs(Byw;JnYp$+aQFm`{UQW2?;k99{ zeTb5O7I~s2+k+Y~9To8$y)^SL#+F;6j!3;RGvTzhvIm=gj;nNHGhCeX;3$GFhxOe@ zQn|zRur+x_&1|d=SB5$n>!t}8%%}OYiHqS%I*3tOY2t0nT-X zf7Z0`%GNi=Z*7G0cZT5Z|Aw3P_lD#68?2O-ghUsY#DYBVUDuadWCCN9!+@GV@=?%@CU`BR0q8ROO9f|wp@z@e5Dz{deGjq%Dzq`LId~SEGa$LNDJ7W) z-kPrch+ZlZOm~t=w*4^Zf(@YJ5nV|lnH+QsE{T#r0#z7W5i0!)-Bhn)6~#8BIm1+( zX~NoJXG2ptzZmOHlQ3@C6=2+xQJizO@N%=TKQK<|LNGLC~6t3XQB2ZDd;!iFo1Cm=@M9%5^&i?ZHt#H2MA^ldy<2Bo4% zvD_v=GB0?NUX_%=U*iq zbdyh(>ww`=K|N#KdMj2_p)4z%TI8$>?g#hWKW1Ge&`IAo%(6E1ffB@7-7(6f#F!0c zN(ap4^2IK1fC4aD2HC$*|6lvLj9rW;Nm$8Uj4D|qegCwg8p~C-v4vmzbqlsJJ0`az z&F>B;?q=_xsjjw_hyUB7|K#oJk_c(>$k@Bpn7yW56gOIFw+7??zT#rLd=|9uI zIX+M-;-=Q*3vP_M#CW*_dccJLv#K&^J7zjts?k>iZYM&opBkK)Ge5-H;Qg-AVZJL? z4%&8!!_EnsbKmLP7QaDbve`)gHF}aPd`{?UPhP9piwWKqst47HG9pKsHbuo64*V9F zy$fHn7lA^3tSe(+(PZosc>*#hUv-`P`+p{rq-SVR_ZF~+3Hsi*^lu_WQ)QC(yX*!V zZdB41LcNXA+Ntz?hYi*qsjW1!s4;L-;L9|VT#H?*p4uVE8$`a9h63^+kondLRW;&T z7TlQHnosQPotIPcoOGN?`&JZ7>+lQdOb#_y7AhS}3j6)wJw9o0MTZxWe`yP;!Hd|# z_)IaADJi?WUz23<+>0(>#cI%oo9`S4mDF2p1{``rclCji#Gn)$*=ZOM8soX?j~AfC z-6G6P4-yD(Rtxv?y4Yai+~FDjgMa}bR4}>&L~NsxoknU`;mQiUX+pK1m?MorKPzxWX+8U*WxMwg~@sqSJ9r>t!4uhU!XK^~0KTFe-vf%Zztu)_x7=?IVm?T&tt#?ti$@xsk zH=0Kd!KPjkt-qS|ny|*19u5T)uVX&bJzacopXn1WM|CwRaS`5+`A+}L95$>MIZbFk zu;v85YoqKBvUC^ZAEZPf+FPNxIM;E6pvi9PLX@5b_wUf8iH$#eZ07U{kot5O0cp}= z(|P~zyLU|bx~MTW>F1?M%4VEjKS4%1>oXd1D!nEYJBeh50iuMIfW%LUA#=4Waa3E4m~F@`=}LrI+hSG?nE`}ESePwl0yspPG}_S?;~PSr z0{);b3tm0jT5qOC>;)QiBzpNi{98YK!4z~iZrtEnQe?HmOp=rL_-fjO%i@c@~IUxyB^#2Wag ztjBL`=DFAw{IeOk!0l*lP#PtrKF)rT^coxK63tU0ZtJt=hQ-kCT=UBAufKh(;+M+a zVp1P2FZ*Gg&{~7~Xi{DAhhCWIGjCiFP0oeW8r5-6M=;FU@=FYRuhSBB(DuKGzMz_7 zdina39J>D#`!k-_=CeAL?4yZKTL%=7i4O9-{XiiZ0GA zlJ>pa;OcrBbHI7Q=q}Dva3YJ&K>1{}HnBbf9HXgf^rP&rc4j3y0TRxftq?$f2M@%)U1D?uL>uVphRW&V% zGf&{?=0Hq?E&=3Wy<0@%>cctl*{I;AgfI2qd5a_6BcM(N(9b0CMTD7TW+Rxc74 z;OhOhqI=iz=RGCe--WK#rA3s)Mva!JV`F2AGiAN%*=CcHngh$|01ZU^EQ^J#+oePI^4)I3^FQjk%ksGJ@kk6eR;xwM7{xd3I}e;mJEilp2f`|;Gqfe)_7h?o0gtk?P&r05hL%TGbss^S2{Jf$KY{W%2` zred-t8Ss>hY<3_ei%ZV7#T<=fAalpQRw~1K@-pWhC(qW)tPR}f{@J*4f=^C$r5O>bQOT zp}xKu5LKvFTuJsJ-(&YNo$u6Omn42A*F-uJ3%nOM)|P6(OcYf%dvIm&-fU6wqzj0S zsl87ocIhA6)ij?XPV_5|j#MNH+7v%P&C6`$e7pdH?&1TR`c{;jR7Xa^#17;C$|Xk* z>Qp6B0E#EhoWwNsqh-Y_Oktkz`lL-ySzreUcjX8ctJ6OL1WH7ntE$`5v}r#ob-M~7 zDT~BeZu3o9T*O%X`!XdVa*xZlQf5(mRP0DBok4tvSJcanhBTin>vnj8jQN=2CA$}W zeS09B?Z+dsW&_N);e6w&+%{ijCg&LCJQJ&B!_k6WO4WXv!LAH#$pdZFO8wBET=Wj% zW~x2l1G+WVc}nD%VzcU8&2|s}>WN6fXe36J*$AZexAByl2{!vuXOV+{JbVYLW)a9w9nuQw1JeD!0A50|0p?hC+p{-2Rzil`n9XZ=ErZ> zrzLyM5fm(TO&jhB<#g6#YE?Qki-Z_~Wb)$*!Q~6DOx_qX0>4pfC$)$m zvgF3T`=PrtI>7hf(f@2ZKtJRanZ;Ny8;n*=bd-!|y%QD=(b2~nTO0w(kAvr`k8dIs}TQsI;)kI|E%XZ znHAA5B-Yq1xvwK{FS~$Pm9554pZuo<9G~Rd%Gue!^5+1tHBoQG5(`F znRQp!FVvq{4vL8H;*4&##5Etx`VSeeTcWdC9oAHP0rT76MQ}QDvb4~=gzAi?90Ufj zs?i!yZCI>JREi^bDn9+{*m0wMiFj51fTe@?ovFMbgG51)^BVhYewazpHGj1QLg%r`2Ai0fjvr zBvbTGlcb8$DfjsoHbhpD0? zWq`=9B6gRqo|)ZT8BNjCCPhC+C4^tw>;s64n{n3wv^l+@gEKoJvq^sc?zi?-_`7Yh zz0(a^0{rF^#V491?_*Mk>Q7FtFIImdGCi;_Cek|Pvqzi_7taz@Apm?8uGbl9pizjyG$I|EZ$!BWLe(wGi*+tqPg6&ZA6 z)X6MK&6DPh89$>JP!alh^oVZDp`gc$v)ijtWAn6QbL>|mYA3uUGB~5WhPWMkS;*y0 zQ#M2F4rdpU{-+OIrc{Q_2?&wsxm10^EaY}|^hw&VGwXrL)pT%Te6T@x zC1H~|b`%*#|GZfB+*6$t#LfC^=87Hd5INs60120O3he!67N-DAG*<*|+FgYi?!?O+ zXP#TDD9crHeLQQI+r_~L_50rmJvBFq_6;&i4%WqWL=Qpi3R|KmwY9cKyjCLodPciO zMXtW5n=KgEx!v%Z8{)hyN4n^r&8G7QAr~()J$S(imb{XkME{SP7&qcQ=^FD!?HczI#2g${DLKL$`rbmIk!dd#zn z=f%xpiU*#ou*yg)#7jNs0nD_~w8H8u9xFQTa?-B_y!O7=)gP{-R)wBnWx9St>f-bV zwIyXD5x?~pN`OiVB(w(=qz4*R)=Q~o367(R}C`!=vTH0l2#A!6`>(Ym!Spy_BZ7+4;W4Bhu z^=;-?FAT_qubOGQk_?++hZazu-`eV1E|^&(0Tr~XzTnmMGN3xif?NyOe>;U)jL6>! z1qJ3rc1C)g2*Kz-b#=~p($cnjotvNfZ z&^I!SNK5qw%2%=;3YU^vxr2iTK#IJacwVwahb54)K{3=4slyibtq5iGzBeP}hF(R3 zmz!f#2FHP-)qR(@-s<{hIZLnfj`Q+%OZdwP=1zdz5pSETYsWr?FILz$WuKrt=&qJ{ z{sLkB6{7eO1)ln-vaef?KAK3L^Wnm%FQT=Hen+iWByrhshHH{1b)D*Oyv5Xuha!#g zi3Zo!1iL~t13F4`8NbDmcJ+-A()7;{^z$?P;i`{CwQ`;l^$pk{7oHGNx@H|{!&H1- z`T6ViuRYKmu;wSYyqv6)o@ZARc$=umcdyNL;t@6I{INUB3hcT2am`kPDGwbvyA}qw z_yDlayiJeGEl)!Ta&8vnoXnQaG4T)>%LYB`?X^e$jh@2R{TJ1un!x%{EvsH(HQY{e1BL!!11kLrnt4pwBuaJ9{#m%+x z$YfmAB-wl5>g1=VEE@S{;&h4r85e6}#fj$lXh#4DzuKy|pYv*W+o9|^{*QhEkpH%S z3%$2?uC>)SP{FPe&i4e(<-0nuH2`I(9cA6-9lob6l8#u7BvjY8icx{5eyAvZywYOX}bN8fXuRAri> zKcWd&5P%*gQB80%Zu;;LZyT%`>GINqsH1ndG}QQ2bd)m3)X-=|1)x-Frz0N7RlXrn za5I?zU}|v-DaR167!rJ-%P2IOal}|PgN%&vrh9+&#v3mVa+tg_Ka+>dhaY}q<3Rii z4I1qgkrF5j|9CGWxh4SfAg`r z@Fj_X2j7XGA^fSS-YhwAi}kzN%V6`{#vSW4IrBPK%}{RiDWcb4^g*;WVTpY6BhB&k zEYv1ZEXj-K5uo{p{lFWCPQkmpD>@2&&ZvZ6%h}2t4Pp@1o2mU7{qYJb%wpFnaqUHh z{daUa{o0oylhkdi%;Z>#WvXXhJfJmmn2spx4$pxl{_Vp5$!5(&e$|wkN!8#jV3|Oe z_aWr4{b9P(Td4FEFXjh#5c5<%x}<*XGRu9n_jTSMjieZ@M`M)BPA^jSNS$x?I=QF*-NBgC|H)k4 zx##50GorGAyZZO%J$p8N{Eylt5mEPB?V=V^qUUzLX)N7iWDxr)WD{4tJwXi%8}0d< zVSCRp*9i90d3b7gYCB3)gykR8`}m9_wp&XAb=qLAUgXg%Wu(12?q3s)oN&ds3O(4_ zARtet2pjN$nj&Y@Q7)B#eLL*H-jY0LTJ4 zy;t_;;D-o=4!qTK-6?r%wDI;Vnd@rWVWmhbnq{9W^S;9sP3O}^4xUru6j3ED*W;Jk90D19_Vi_Y_Z6;6mnSh{j4ua}AfQu2iS?ldsPTA$s_=Xnlhrstu_@biGt`=H!v9%gH)Zc(;r*MjJMzICqn2C?TBKEBo z3OzshIIDv)S9rZo^nTa&@Mz5^@3mtHpW!Zh4 ztQPmb4=Iqk^${_d9u)?_*oK3Fp3yf{de(iNrHb&;9doHU6X^Pp_Dvj|?P91IKWM|H^d5`6? zHJRUXS8*O$1~Em(b+DoOe$o4Em(ziD`~2eflJ ztzWVw_c+tBjG4MC{sUh+o?vUXP{&f z(}#mRj)uG1qfTk4OBWV6C}3f~+O4HiN10J)SWQ{Sa#K>>MJ~*QB3hZWv!7W<9GNv; z>+9Dxpshb$%sBZdOiF@*wwOb5KK#a9Ky2)6)Zq#BA%2zyEU=WGQDxBPq&4?0jZl`q z?1cR{`@G6P#M$I<_bA9^!sL7>IY+O~ulGDSC*1*MQ8s8trRE+bkun3Q2k1eUNumKc zO7Z#Lnj6k&NZ__x+wct&yN!*{Y$TKw#P{<^j`5#P8kAVLb7Lz1J^JpPaQr)XRQs5y zgWj__BaZdK<875-}Ol5at%Lt>lAn-AH*U!eL9} zIdgRgg1%Ku&3dUI+@<`62cd$0HitkRtM2TR3du2WS)Cjj3bs2VFvu|c*k zpmT|pwObL1CE4MLsc^BXt)`=+IG9}E!?o;@q@&=N%$`T0P>ozP&k9J_s zPR-Q5>@vk~X0XRM4InAp%jJ`)pHUie{L1qx9mw@%Y{M_o>R|##&G{uPHtXto=4)_O z9#Abh5nlZ`?l%$u-ciKtdUd-vYYz$0vy(=}b{ZsaU=mU^K3D9nPC9FhyN%1;Pv#B3 z#%c#hv-K{bf1)&CVre#jCNWJzgTontW{paaYIN;+hbJ)IVL#K#bfVcYro}9#u}jxo zK`F#$ABZoUFveIH1tVSGXXUnC?3^9xC=L1cFUG?cmo2ju?EIz(q0(&f0%n>-m0b8& zw5gb_4zo|A(WQQwkZ^sQoXj^7GnSfE1x$4LWQ&$t-Eu?ITK=}}uH5e1##|*Oy~z)r z&M!#Hfqp&uy%iaBO=Hrxq@iF)g~2oz$lqdbR6OQu2z0Vie_fYZs{?%PKJ=)v?Mk+L z`1JWZF2Qox?5XPbQ8sQc5cok~F*a^8m z4z<*e4Y7^C!xy^@w`*Zj0o1GyM>3YE6Mk9t8xN-08=pzuLJH5H!nx4>C{uhUa^;;K zGHY`VH{kyMnz4g0bS~?+bU0?BUFwIkAQ;MEduoTf>{RZ0yw%$tE~vn!{3Q)pCK}w@ zMjE-GZ#HPH;9yO+fV~a*b9L-XEmBb~aP;4G79#vjw{N3y;l}bUb6rA(Cxm^j6mP|_!QIYfqyJ6VkKCTfM@T)yy)`NF*22s)L>oe(jF$JD&T4jlo?o6b_ zj*;m_w;XOj$9M-S+Ei)ZR@%<|TFv*inN4_%BG}f>iiHglAl9p182Wth-ckv$1m;r+U*pVR5=edcSZHK_|*<_EdLn)O2kx(4sJTU@~s`6f(EKbCQ++T zCk59;e3m#Eq~S;jbv%FkC3D$7GdP-bgI7w}xOZ%70<2uU!)eCmaCCodzh@AMVmJ46 zlJFi2d*TW;@M0BJwLIg!>-=1ld+W4!*V zC-J$}cS`^}7B+-mncWN`Acgj3$@VC_8?VWKbzzx+1X!OVN%XdKE^UrymuyEpkem^J zXwxv2T1!!&U%9v;A6f!bGWaAf_*&!J&QvGT0_<=xn=;6JF!KOL)bjC+E50G|TWc@_pRksQ^r=B7- z9C>%xC7Tg$-%&zAX4gG|P`jy+(t(5AG8=|!DSRFR7oOZIWU}B-CJEQazHDIq42T(D zoK%xz^S1}(ajtaw<%Zy_5XBm0-_z3*F9&rs9KZBggO~KCpsaF_KtcZ)_*LxDWcKj3 ztTm3hkP$`n$eLpml%W5nxCnyzDjZZlFVxj;JtWI*TV29TU9cl+ujye<$V!;mrcu@C zAAYUPs`*!UTbv?53kv{|zraJfVg4wktV#EH9Db~-%3>k}55gt^_3OB9F`E}x>l^AM z4(Vw{VM5!|IUqryLeRE4EmbEAZ{e6#vNyP0lou{RGF^;#@@I;3Lq^bF5)Pr!7U1a0 zgh=0`w95O;>YWnbPz;zRm=_YbnxSJB_;@ixKDq>qlYIOy%?d)g_u@)h1dS5OK|0~PV4?a zc7@9RyaTS`Tv+<65G8{7=QlLGDc<E8exK&F~oBfpwZr$^u%A-fdKYa2N!^W?L^?gs)c#!=Lkn6&>#+)0v z0X-VJ0eBpVJH32O-2r7${+>*!@=^b%T^%4$;2cxOo}znn|E z5g0a)a^`tjUDNXClw*!U ztV&Q|#QN6Y?A`ZFlOz5P*rCffOYEzTy^a>gBWkjb5uF`t_w4|$&h4FJA|X(+(I%*w z4bRq3spPDmS$$<5Pvt+wm+gMEWHp&GQ(N9H&`9K1y@wvCM+wciE?)Cbbx{O#5Gv8% zNvJLJ1?5UvY3|{6BUh=T?igFYs8f}n+s@IWN$DIXQfL;8A>6 z_D4o}*G*S1$5;1fxGzx0qUA(L-*VeYJv(jro_?20Hs{cL%!cXvKA~nviN1fX@N%xT zCXp}p{-yW-Z(QqDxiaxM(6~3~^XTBa7cP~QUpKa8_tbWAuAd^6UY+#CAan=7$D)OZ zgB6ycFH%&5**YIDhdecy2S#1gG1}W?!)28Mvip4%BuOpQQcaC=Je?LqDu=X&Sp6ZTAa&guiX z2F0thi*=|^?!uOkZw@#GAAyBnExUZd90CiCqQ3O4PpEI7Rn|Q7K20bOZs(0YAR51> zcH32r1%GZ+N247oFrYS^^o{Kx-+G<)vOz-YZz+_T(RkO{5qTSh+>D0uwiM~-E>#l& zP9eDE3u6?qZ^O}fnkyohngYw!vn>(0+ZWSP*w9CAYQjG5IKo<(JZ-=^$1%0|-$M&3 zciLWHmfHw;<8En#Y&!VnbQYeSc>4Um7^4x#PIODcZ;jixCaW6izV73kYYF2;wA?Vx z`H%4v^8IM;Qv7^n#lfgnZx?lv)J2{8Wo`*DO(Kol(e1-cdw?g*x|`n{z-P@|sqxrK z11_RjVi?NaX)B-t9@%n^_&EFr>Y%+1xPt#^t|+GToUFW_i7sPotrd|)~j8-ZQ0IgcFA#xHKpn}{{F;XF;df(S#C%1r6DZHp$G}yqy z39BH#awIMpmrkhr9(q9|krHlRb!tEDVvE&&qO(p;K+|u-v6kEBTPt6m`)3Z`XwfsX z*Xs%gO?ygoxgKzyi0lh}m^iU>;*g);#QRlC)gVkt+mZh^BTA+mZ|RdWYn-T*gweG3 z+08uq_KHXk*g250D{2#2CVu5;k;lNR$jU{lNyL#$`&YP)xq}-yO5D1>6Mfdg>VUs~ z<>=fUgGRV#MSls8zk9fUUALA4Pdc({A$JgFxKO5{ZN%DEm6Gl5_lvr*NW;Ec3)O2DUH@P{`ToJH0b*(l*#fq z8*gsM4-?-WUuWlYG|B1@$|Zkl-dJSU#a(>y_Y}yNN?*bO+R){{Z2=PH@w3ev470$H^6H5JsuB{i{-Cp@|^`|(q8vpif?-6 z^#S|F{iiy`{l%Gah0E-kz0RR=`u+rt`f&5K2nFh=aplK7pKsTHd{%J*{>G%&tK1{Z zj&Z;_hPlf9mhpX6aIp=`^U#p`rTW3~{@e=U3$JU+*R=D3j&sGXW&Yc;gAaO@`i&Kw z-VYvRb|5RFEZD~ORr2?X6^H!+Zk`@Qfqqe(ANSn9OCZ+km}|dHM;$SWqfBm|R-!=6 z1#cQCj>L7zD)?eCasIpqwgwv$tO$YvuFjOszN= zaURHNhvUxNp&!$zs}lbHbBUu~Gw;BCjoDiPSOCV?>#$eTukV*$izRX%JR>33fB&9x zpSyjaSZHz~s^%B`J(QXq#8Z;W^}n}>LpA+~jmh{6)YWh`x$xg_P=S9#aFL7U34%K% zVeb+fZ`6MU>^-~5&*0li=3Te9CKob)gn^l(-|GoJ^`C$L3pu4p4q=+eD{f8 zKD|@(`N+>(pe^pc33KH;8vG2S#@Ko+iml`AQUIFlMP1*|=(mS5$L}tga#xr21KIQOFa_6gcGyCn$GS1z9D)(=h{`*)aE_L2gxgSAAPJiqv<0xf^zaIwL*6}eW zGY@WUX;^PNp4;Gv&UP*}tn~@_jqg4#2YFU_vJD!9>5+<`)FZ zOSX;#+S1S4B;v~ZjdAr1;_CUt{D=2)vg|-O*W#JHXv((b#_|B-^pMQ{_1}+0tJZiq zAAmTHTrS1M1ovg(Hq6v-LBifBljV~#<8N-Je&o!tcVs4R|4iIwnYi^c{Q@^<*|DeK zHcZ6x-^|bK|JS~Q>&a~f3N#&(-jnE$+BiZh|Lz^d*7!@UbJgM=J6l1qoPOIbp`RL( zx;{`GmCup8Le59@qjGWoBboOp@65DgY^HvrGyS(lrra@!`BZJb4HY^5!|5{1&+pFk z^Bxcy<-Q{k_fHxh`|Gcm#|KvJ^_#}CuP4Hs)_7hsVFz@fKD~r_{EkfjP06%(SZ2T7 zn$XWx^ZYZJ@shg^a%v{uhMD$0kZH$havklF5eLeL=dQJQrk?Dp8Xxu_PUuH$Ob=)J??ec|zXEMrNI@2j9)Ykxc_ z^TBHt_qBMzJcReHOFJm{E2m=3y=h$;jsC-MDz~N|`0kSaec9xqT`fHy>|F@Cr#8t& z3;$hC6ia(wNbv0r9oZkW<3jKqZG1I*vGaG*zt1J+gS8;g`K|-sj3z!{o=u$3)i0OX zdlYWRGfn-0gIhqT%l#L~oosSze8Ay0CF^|osNkM#k_&ywCm?rRlU(%gTO_WC zhdM)2>Uk7I(Y{_n;Zkh)SD>Obo^?I!u|ypB@0C)oS7FDPCOaT(HntqO?*ma24t0Gy z;Fk#!_mmIFkaPtaM!q2ct5|F}^85kw!KP-1;&bow{P{21*Ziz;^|JKuvV@;E2;+B+ z)9^RToAiSX_elIbp5XKKUD`Vwd~a&vgYy2nWp2l#3BH#Bpr6UNJ@{VyNUuLoSL-)@ zuatN|h9rCoQ|mX!)%*v{p!o0Mgnlc6|Mq}>PbBpFc_OZAa-r>apb+gvvFzUm5^^sI za%Vv9{U*0&Fo-^dipb~oqOR{hlyle7N1)jbv$*Hag8sb_-(}5sTiJ&J(JT7z>|n3#-ys02 zz~i#=ynyTS;x6#*Y+f~PfJ+z#q@HTWhs@%3!Y@{8+7zORGtl>a9mhEZuR`Az`e z`~;uly6iClY+Q@1Npwvf{f5d>>En>HQ$}XiCxE z#o*gL!N;};!2(%py!bi|{kH^syCwK^U4Z;eDaPN;;QLsDFV}z2tMcD1;G3A>%Y9$j z=ReweEBJOz@a6VL)Nd*HCM5WDU9`z}tc!fNfp2_*&*K11TKm_>5$$c`%k5v6OTIgx z-?)T+xIHSzd6#}3f9HU2RQR1c`l6Rs@-fN4Fq=>LJIuqEHog1Od#}|0Uhg`<&G_+9 z+*`oLIej=dIX)1tMNdxi|D6B+M*si-0RR7Z0eq87NK{c6fPWhwjc>>2Xb%fR$uJj* zt-^cHJu`FbzPNKPVT4DbMT(1(7FN=#phXcxRLg=EWg$k3u-8T+7j0Ta(4y#Nw8*lI zq(%SvojWxSdElRO=ltLK-{(^i;EJL&qA)|3EMg&9s+ugsvDaBZ?U zd0?TZ4mz3uLaZeTP?f=aE*&l`Gc7u=4aN$|b9Kg?+A1;}Leg;!KJev3={nMP(=ULs z7CKdwE4XjZod11#Jpt&K*2HiwW-6>WHgs?Ush4d6MCJj?@Ps8b>FT>V6Dt0V#Dwuj z(OmFg?LIl-UP;z3*Vs>B&r|s^U zD&nS)%XgSa6iH545s_2>!%W2V zlBt;zc!bDN6cuAOS4$HtMepU}rJ)Bcuo;zYDB(MyHqvN6e}AZ|79uvlwv~FC>0{A$ zHwLZG06I{=9Yr}|u9FtNW;)!EKBlkUJEvB9fuQk`w&r+P#wPY1f?GEJDSGy3|-uSO79X>~7r3BG2_v}H0*rRnQf9B!u2 L`jGhp00960CUla_ diff --git a/FPGA_by_Fredi/incremental_db/compiled_partitions/firebee1.root_partition.cmp.dfp b/FPGA_by_Fredi/incremental_db/compiled_partitions/firebee1.root_partition.cmp.dfp deleted file mode 100644 index b1c67d625638bb473b681fa4acb00be38889ece3..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 33 mcmZ3(&&U7*6?3%pJax1>&--+q_tkmeb=JGlkg@C*Cl3Idx(b*8 diff --git a/FPGA_by_Fredi/incremental_db/compiled_partitions/firebee1.root_partition.cmp.hdb b/FPGA_by_Fredi/incremental_db/compiled_partitions/firebee1.root_partition.cmp.hdb deleted file mode 100644 index 541ae5c20da7f62151053d981e4ee68d40bbd123..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 311894 zcmeFZcU)6hzwa-@42*(c87b0K1O(~5Gs+;+1q?j|h?D@K2Lvgi1E@3s=}l^+_W%Jx z6Ob+h5=em1LP_YI&~BW0p7T8Cch2wLbN|1u_1alGdwtj1Yp=HV`n=cP%$F`*Dr2Cz zvu+Z_c_i93&B5Z4!Xq-4bJh)an{N{dN~ONieww)gUdfbH)*vjw}{ zk-TH9eaF<^?vBO_hz~WIGw%ax8ka6?0W#*0T%I& zbj;OU>QVHSsmfpI^>iD(>hrN?Mv*2ul1xY6r|T;y#hS2sSN2LG&A_!gva+4DHwJ#Y z^YhDVPk*{>(-|51v+fMT#~vqITMrL$DLOo<{=AstR|bS1_zcY~Z+LGi68tubE$T=W zfRM7{mXaaVvK1=uc!zf2iJlCh2-HTNH!<#EU9l9)@*|y)P zau|JCDL;YQodq=UCouO~)z^F4Nc?b>Eq1e!i}Pp9)u@Y>=DX=L&~>AX!T>#@;7su{ zEQG)Z_NZ&#Y#|~!+?pK@+m+yc1Q>db7k#G5L^ycFesiiRTQ$TXV68neS=3eEUCF1X z>{&?EmstJHCXc=GS2576%&ZytC+if-QsZjX;Qk;3y5%rC{2-6^>$bO}n6+ZS!KlnL zRsILV%175~;xo9Fe1Do0pgrF!SnV>+RtfeB2;Mz?zZ!-nKk)zXsg#E>F(ogjQgi(M z{r+l+ELJSD`CYESXD4u{IiVq#_c;XJ1o0bD&rq%FDazspxGMx$C@a5o3c!Y*X^NE^ zFmpHfBQIE=^cR0Ia4@Nc^3T1>}^$~fSjBtP~MZrXT{);Ek>ST_9~UQ zo?(@j2cz#9&?mC7d6!xgkSNubquHv}B955HVJhR>HOZp7!`Yrboj;3ksjcJQT;^aF z(u8SuShE%O1!<(i#TxG_AI|c9k>suN?~DG^duy*?7j9s{BM%#aO>1af)@Xb$Th z0{v*NjjRuz#DxTUSazRe(blWeI=*NCul!yVu{(8HE`#lz-bbIg_TA({f!HjdT2QXj zPr4cYTeZ8C5xbQLwx?NV(^yceX2+|Gxw9Kx_1r$Rzaqs&vDUnNl9jdkcAK9zC7uPX z-8w%OM=mXDu|5gD7Zx7FiCT?mIv@mYWu-HBa^BRk=^YFM%PIC<@p4nP{#X+{f7G~t z6Rn~t_rdqEncA%XowqaBo)jdxBZC5Fmw4qi)x5sdS!K=8Z_0b^&UN|`{1-*XI;Foh zH*F*BW~N{1thCLgyQ{oRW>ZohXp(+IYkMc|u9QkZJ5AB78Nsi6|F`xWllbJo^SG6l zY&61(=%$z{pG7yUL;GNzV?_kCD5s@~OG%?GTglrR=iB-5Sonq~7;yl6*`Ue4 zqLQIP;<2V5;kAXqZ=YVdH~MZ~#p>!T3N0T!8^E>MnrHhRV#v?=$0|E&CtdEhlrZMG_0%jhf-xw8|s{BEQ z!_nWv$zjg@BF>PCjOz>5g;Hi+y`!N`8-MH=#y2~9pM7ooCTkj_?#HPR_zifg>RO`d z`pIGAwQ>EX@N>^@2s;VQ8sk1C*HXJlQ~OhFY_>v(ZNO@&rTIdeLiO{A@-TngUB^Ga zw;U#^G#yT}nO2*N8TgCYMoDxPSYVFr%-Zc57;|z~B6y9CJ%K~aj*DlrH&#r?@)hKq z%yiCds`;|LQD4JrxO}x^W(9dfHf~4))iw)P8|hE&RT^~~eL$X*i;4S-{(kmXS<7G2 zc)8sVW({3X(X$&i?D!VJN^hOT+nYLac(s+3#Ii|CPp##}LVTg$%+fuP?e=Jy;#WK$ zUi;Pm;y9FjKh;cP=%vHyhwHp=<-}IJtw!8ic4zOJ988o8>iTA9x>*MnxQLnqW1*Ds zaS?`duLi$P5L07E*sGpb%v`E%{Idk}bx>4Fb%XDhR09Za#3^;Fqw&mKDKo)`{e>s) zO(#zqMn4Cb)pYXR9J$@dtX;}AS3D2yk$H2{qVsC%!?%^4z>cdQV}z`Y2Npcu26lEA zGK^Ly8Xvd&EozhVn_X9)yh=*A8WNJ$DABy)KEhBxoak=ck|0_tesUHcZC$yr5nxyu z8ME2ay?&=JxN*D7qjeGSR&(+EMbfYJzb|ey#x}g#xc_Fe=^~s_Ph)I0%PU}Sg-?2+ zM`WPjl{<2IX%Z2sbgM1}8A>CCBP{E?pGjd$n3)cLmza zZ7U`MpL4mi6?*Gn^w}H#2L-GHc3)qJMY}ru_>KS2BK^Uq{)O080B+9>SJdJ&)}wM`#X;?Tj=pS$0{S9l#syqYuD z!DnfC1VZ*2DZTjFYMhB(yny4EN!xo&4`n;6QYM*RU zSwrPjyxGc1VxAr}nh4&DxJjz)3InfrPt5=HXxMIYK8a(8;T^^^l7TDZz;@@m%(vYc zbrzP%`Nw(f_L2SCQ}g$R0nebG5SGg6dmVA{B5qfNEzO_($}sk^Ojvj&iqOaZaY$jv zy%;3k3#(*!m;23F*d6+^^#&w6* z8I!!X-;0)f89rKaowZf{W3XbB=X3QKNm>`a7TDxz{HO2qXhnU)XSqiIk0PSQO@IfS z-2E)9#UB3eS(%nCMw9P(G#_dVP#Tw=>=sW%L_eZeydBnJT|Fmxx+bmloeBEa$ELb- zuUvn@5$Os!Gf978Wn)8%v-;LJbMp3{tA(MGxmXn>3|D6BiPqNfF@;*>6v|aYG%#X( zM7_Ge()kVCd^c0Nv)S;F%4F?5ue=3~r(Wm}0bRlgdJlKl%I5p-rejvLqJCQ=UK3&4 ztXyTpFEGViwo^$<>bS0hPElpAoA$#F|fB z#W~w*l=QQWj+uI`U#6b7H(r(dls^E(XdhKGXRT*3q)wf!%q3@LK{hPDrw#n%8aUEk z4R)&>k#Y-kv_x^&U5naM;bcP+f7W?aWn~u<)%38O?Z)LDe`dE_2A|0*3s1y5E7x9* zJe`;DZRWFk(Ni+bkWTwWJVOfaBFbXhpKeXI?~g3bAXgJLFcn?f>i44TyTOxm;HQR@ zolRR0MJ!3YIo`H^o>t3ru^f{Nd`Cg9ag%B@v;8co#qzdXCtCKdaki;nsx-oJn)+t) zABJy;E2r>y_URH6Zo8pQUp5(7pq||MP$spd?RRWzP4x4whVkD~;Y56v-*yBX!R`Zo&h@FV)Y*tv3LGmBxQZ^Pg0glYaPOn$jRFyve z=l1zvQ|jfG56 zX+{dJrGkk{f=S3Ytfr-~2X6&Kx$S#izTl5qGE0SfS@nHk{<#F8Z7ck7Ycj>7W=-wp zupq+=sCip=Q!u8hZh&z4H`EgV4GZ9x=g)5qX^g9O(5HDk2;+#3y6p&Hmq8e?%ftv5 zb2a?&*tRTE8Q5LOawmTXlBB_NfAhjf;aTrMqhi7Ll^VRJpOsdc}4E8 z^;Wku8bIX_I-(&O*Ei~gK6uQV8~%Ayg~b-s2Azx2_jQy~oD>~eS)69RwC4(el1MzUe;)C zfzbk*6m^>?%P5+`;?f8+S2de0>o8!;@TyMbtjDbmLw6Igh(7Zc*R7(SpWkcFjv@8` z1bZBRxKSt?RM z$8HIImE{eK>+_EBc+!q&vc>HP@33a%uYXpehGc6_2GIwhV{*1&Z4>Xb42k9KbN zmhSy};PkcK2(G>L)lK6%_cxpC>6bP4pYy(^=^h^X;>b&Sk*)Dd{KbQ}q@M%>J0waD zd7eItZKAyMUsT~Z1PgN z&8nLVzuX3BsO1)~JbHc$r3sjWJ-_wn7C__o5%ZAOI*yYv!E}G9xY^8BD<$N{UpwDT zE=8j|DXS z#^xr}ohD6RMsMHxC5t`hDqsiVS{xsHW|XLm{8+q5NiSZk346}RQ(7Q&{0ASPSMy4d z_RxM&NArI6JL9)}dMd=<_r>m9x0Va{u1PH;@4o!Wl;VDQtb;m507vuLl0U=?L~8hw z)5I7pv4OS|$R&nOj0}hkQGVP}2^(Y$FMD|95vUrbH*QP5vTtmYIgK>=6kg_{0h##E zI}f!b!oDuQy!qf*9))bv^MCG{|5Zikq0Q=@8>;=K->q<=F1bq>8AESs z4ic_l`z=jB)lDKhm{>2ZqHxG!x_!(o61DS0mOcEiV~N$}a|23gOd%aUG{6Rb^CYJU z&SPYZzm~ZazOyv_&GwJ+s*_e<$7AC3T8mwH$p`#g__S~_3$ij@WVOQ$@x5r;Rg2ZP zh7UL~nB-$CXE_@7_W}D%!hakP_DOjXtS)%D{@LpX6@q%a6+(sAS*}*3dC4)z7c9BS z8hXny?2li+KRuW$-1}zqI`#I1yHb6Lw*&5dFoaRupq4 z`LyaFdAwj>?M=fg%q0Ib7r7J%P0AT^PcnSd5V^IX0Q8j=oOdvn%cCX&4!)%8o&@9V zb!hzaH@SYk`*r4`({9n3esoNHHyHxOtlzP5wzdh(jc(}=wu)5`p zu=-oTPp*AZA!~ZiZ=Jm>&ZRd59B~7}u!ex20ncyIbln}t+bJiv>3`Le=Ds`ET{R)> zf^IO!2+Ko9ICMdKspnvd{68Y zp9W-9v#}LiO}Cf-<3pn$Kixt!<=&OiH@QuaM_Rw3h{TBbWJxi49|88^FfLbtlgsz(F zE|TV1bQ&9=!dV3ew6TVXigM`t{rf=fEKhY{?ZGFb)Zmvt74R)C&b?2?6+{R;`|w)i z^h&miI%r2TXh#igx3l%f*C=MKN*-=0(zXvz|FsVV5oKnt`={4BZ;o_LQe%Wqnp4xT z2uoZyI-h$#fuROG*!^wi(XSV!-*hwcTLhFY%rsS$uTL+6nF3$_#1~v@ZWR3Tv0SRE za;W66IJr2dP)v&1U;tr8O@^o{`@T=??d&X&3%QyTROGB+I;o~iW%qoWmr z`eV;atmeQw_hNV_oU4$za01kOax4B^Hg0Dyz@?%05C4s`&nuoK!TO6>>;#eY_%Il- zED|5mwAlJ=(T`q*J3Hw2oh`B{QADn3i1{d>b+PqPzVJO4j`(xkIL*aq_=?SidVFyG zx9qq>o%_*>H^AknQYnp)8G1{9g0LdzKzoH++-Z%*dDu5K=2gOP$BsKmbpyw=%IqmW z(Wkh7@>&u5X1Nseu(QS)BMO><_&+N`{zLmaN^mQkLS>W-YqO^KnnRZ@Iw{H8e+2o?+SFFF5=!lA7b_3fCeyWfvKU(Y`sF zoHPqkf1Eczoqa<)mcV=?bC~C2t?r79f@^o~Eai+rQZv7-SEZ?-dvQa_I-n=#)#kOf z_ws@lYpZSw_YaffZ`yh>yqw_M-I476brTds8{XdfqTD)3qV7M$%}(&|8(7hWv(`>a z5QiD!%g_87$Vc1kwqc6n7MTB+b9MP|vR4(Lq}#pX%Soja3vX)`ENt;$7$` zpq}3QIqBF|A!X8Xm)?mwkKlW}#;8ETZW#@*VI~Fv(Ur_TkYNSk==PD#hV@r!7-A3w zY6@0mXqXpd{uv3GG!%;$+U@WRw;~4b5u294CDbewtecU}MQ}@OB=UKwb^v3u$h`W- z?P_gBE&-TwZCy#{cl+D!C8M}(tJ}ek3@*H6fj(a$yd@squoBmt2$gwHZu2m_fC+%3 zkYIhttLWepFf&mbusaA@7<`HEJB~ms1M!BYt;=0i0lTrGo{m$|d~^*g!X#$TJ56@0 z$VQ)AGs;ka?O=%<4>IDE;ipv%?y#~If{T%`hxTQNqQTlDVm&HfzRMYQV_=o7FN#~SM2e3-|1yo zp0;y_P&#TKIcN_jQ*wifYRDFao+2$Zn3`dsMJ9ADfqOQo#MRWUqu6Jqy%YASsr(pwh?I z$fl@DS=qg(DvCS52eq6&Ae&`di6}0W61oI1<}!_?s=1kfg+hanrY&Vzy#GrllKL$N z;}%gO0O}fsiX`YEEaxunLEY-l$2>>Iu=dH=V+&J+s~Y-%6bPPFhMD;RUf_Dl{r75I zGRIKvW_#tu)+|^ws6GJzh9Lh$~S0d*Vtp%eqiilBki2y# zwTiVj&@~)|+EDPa#!5$RRo@{bT%TxAD0HJNs6X+r480yLG3^(!+{M8ww`6Q( z5Y4cVD@d2Nq>4S}S9&-MYWk*=tt=Z|l!$KzC9=()ikr6vy9xw1u-tnRhw+~tR_!A% z(cp?7YEDW*(*jp5tcgl{i_KalwoV}AET9g)?X{YNb3(Ufr~!R~5LLI#oN5M}-Y3YS z>LG9ueajFpCk|?#WX0K!Ur(|Un7%_PRlft>YqQrY}Z)gDjBeQ3NE)h zS<*vS{O|$0xK#vhPpppTo|*w@MaiD)2}inB(2vtSqfgf$d@YWLo_;B|gbRq;XRa&T ze=W$M&nsv06vR(pCI^hW5!9rUny=)x$5QkTz7~|$xPy&NTZ>(ttU8CK46GmQ zn(Vr~*D;S6>nwIo%aIP zFYd_$U{_l|uvZZDPZ_x`fZOC$chkOYh~xB3vDg&K!)W-VW1XSrREOP6n1F5A3rjdC z{BeAs^;2lqPEfQCNFL%&`EO0a_n1|gw)KOu1X{104x*{I%%J@yv5YYS%HyO%;=+iI zrTL3%I>$qyu7)EYE*5Jo*Kqj1uuixs6q>lK>S z4J5w=>T9z*Ms*j6Wz@?37Zcj4s#fFd_v!g-l_fvR7}8q!Vnz8h!hQ*4 zS-9id4f@|i!W_BuKc8Vboy5ymvi)#d60Z|)wARzBBDEL3FU7@#o&vD7-LEBV7};q5 zCtjNu`>dmx>n;DnyR3sQ!a5xPn^>;QbT13@?Ws52Zl59kadXw-OY*upUdXY+;nQk- zdXHasTGH;U85g*XZKb6AGU~p-?6{Y?i_o%MSoXKpSr5PNa#T^X1bpzU@(badef9e>@N>6INb~LF z?t-q@|JSa~8HBN1GX9G2|tF9RzCLng7Mq{6YPDWld0f=kE zxnAt&Vk9tOKY7H_1)LP>6Vv%du`feZL}!79SmcI)5lozuOPT=4vHJC_;q7KFO4Ni4 zK6btD5&pb$NM4)?;lk--&JaZ#!bON7Kf=ntK4Sv`G5dF2>yr}vklwFRL6;2L@?9g< zer^Sd<0+HlF{+=r(F&8d>dRE{&d%AsmLln=uK3NIHRO)epF9B7k4lKkO;v@frb5fx zsezYD1P8sQ^+~k54Zc!WQ!#qGINzDQYbm=F$)x~JwH+A;kZEtd^wP;zCipquGBoc> zMP%>{qQ}ZTPGZ0lTUVhFl~Mk1BV~W&hSevO9pzqOQE)$+cDejLe?}OVb zaE~HuA;!rFb)A?zZp-OH(QJXMr9!ULL(QwAs#RBk^op6F0_hX57n$w%<`qn;Bj;+h zv|f5y($>TMP}JiJ^Z?o#I}S-&elet9pM!3s6vZ5rJn)Zxdh%zWaflhcuVUHm*z$`H z2IhsiBBNtHlQG+=60BQhLkku-z9~cJ!w+f7hoaooxgw zg!k)X%}8(W2a}7F&(?2XaTSiQm9O%VE$fY_y-J)eDzr-K^C7=)tIENM;s#jw>@yF4&p;b!QlTv+q9+h9!5FoA?lD5f#<172aB-m<5FYiId?8UY$cWec z+I`5YubI*~j43tUZxYX7>np~?z9(M?Y;!U*RL4i$3|pmR+};M1k>d+N{Pjw-1efJY z_@H-XA=FVtJb-R5*kf-9BR@e@1xF!PaBOF!dE>z?vypFXvkfr=WnAk+CfU=H{9ayg zrYMxE+y2qom_%i8?Ay>O)=GZQVa{MmLEGi*-%ZMnN2Jk$GXgSgO& znK<)c88#=Rtk0^(Z6!s*F9LPr^1xBSA>W}xi5S4tP`~9Z>%`z0-z4Kr^#W=e$FG4; zDF?k4Ii)ZQC^Qm`d!gRa<;7{{V}$QthfdJ$PaB?xjOULA3#0#8#8RMN(LzSM%Cw zHKnm{-K;bwWUqMXs&a);x~5t%KVqoUe@V-Yk+RXQ9K65YX}0ARC}vzQqugx&eUskI zH(NL>d9b0*@y8(7_LALfNhUA~8twODKOA&E8xsF&kf%cy7hhw-tY9VIDM*D1e^1~Am@)Ota{7jTC99X~;;egc?` z(Hlm&w6ZK)#S~g@8y+6$($I}ZPS!FXMJ31>f?oi?7;zl7mwuYI-xpfO)#uV zYrA9zDp5jm*KAq(`AlaQUp0&o_~&)n1TqGac>&9p7ItAU6^kWnQ-U#ZRK4okS+Fq( zqN$cLJdU>4&9fFQgMF1%;G}Jwuy_t{DeD~d1#5RYt{VV(Oj>jgrIM}p&-dp-Vv;pr zTMTSPy0D-lHR&goqCTKH11NcU?Op0ampmOZ+~3A2Q@_4_6U~p6^`VsE(E){qLq0+< z;6EQ4N^j5-Ze|4OHiy(*+%zkokp@No-I}RA`>!tX4=;3xakl0;2!gd~mHU`=*Ke@K z7)U0ry|?!|k!V}~$?Hao-hNtWEu%ls4f3BN(ttmU&^8AB>H{UGPanMaEF_9BQcFEG z_G79{R5imBm-o|-CPzO^ZsAow<7~cL$Ra9xZC8-D#q?a1GWq$}y^Zk8qhG(<7wIp<6w8cF3!&bSv95=vnBwZkM0w%uIhq1_4~Yp$Kps@y!l{$rSu`q&h^5H$0hlZbOj zZvLsUkadkUkIYR45bpEM4^AsF}zgzELo*hreMAk$d0i1_`~h(*g}LrtYm5TO1h83a^j{k$&Y z%M|&tG!L4Bxv^TD-2M3~OkJxMO_zTDymwFW&p>CLblLTNNCG8p$s1ZAYnciU*`k3^ z;?XW#>*4X7!~XZrq}j0&3%M$+>R)S@c#Z~`M=+8S-e;d{@B-5;iVlz1R99DP|0 zID-`*l#pH%O8k3Fw;fV@R4l0mm86U2PZWm#(WnnU0Qk=^uefL=ifly$n$|TX?C(ZF z`dE8}$FW3q*2JSYJ#Nz@hHu|Z%nk#)>;P>I#%(UadMp=**C?_((k%bx4%&6<;-Ao zMV0muBVj4-3%DYH?i)1mR=*%5LYXi&`0#xKLPE?Mw7v8Lj=AL(%Aa$XfbEWLar32rhs?62aX(t|Q|@sQ}mLNo=!eek_tAGg$mDNy0VJ7&cPXqRD8kFrtJ#6=vlh+p4k zDdL`KsuYM;XnO}f%PLHT=U)vn2bgzt>5r2=f2LOq_F+dWWcg%T9iJj&i-Nd6Gq~OE z`J%&h;!xeZ5gQ#Vx}ai$ukuuM2$2upii2pARziv$gU#d5g-cp8Ct|qCcaJgaA04N& z^lLJzp+LK5)vEd3HWqk8>BUEaKj=nfHY?>9hLnlTHUzR$z6wR>pDd>L!1VQo)PK*HpbtU~b^O!H*0kGWt zPU+f7E?OLvUyBIBSR=fV0oU%J7s!42(jfGz`y+jH(ifg|-Lt97$Hfvh1oNS0E%5JB z-)pBle7zpjxDHnyQ zA5AW@;)k>%^Ee+G%6)yQvFB9`;fxR!dv(*>RnB>SlC#f?Vo#<-fe-4nNU9{C@+{HK zhlM^$w?XhaJ!1}b(?fIGPlYnDe7_YojGzF;mKZQSl^t68)Ve~qt08&9VEg%P?q0em#_hDR_`gnu>cb(Lhn~&03@_64~J(i3({!MfF{Ufg3 zPh-Z9)xxFEboheazEG^I3RIy5&*~EhJE(?eef;O-QcJ>utxhkzcarReS5Rcxu**MP zN>XJOm*%O;jw}d2@J>B?VV4R;Kp2ACV~pUd-W=xRN!?{N5U?qrwIu88kxJ&q2XuGV z(I?jgSdODb|5%o9ku|nR2ac7UAL~_Ae%KF+?e5c=mS;AUHR0}F#9nLWD3qwY!WaeN zP7^DEiIBaUyA@3-D`1`=j!2%MJ^Mg5fn`Pd6|nB>5mp}XF1#XGW^P3#QU9wLVWmM>dX;d+ zXhp@=r^w^Jrz##wql{u{ z|GE3#ewkbAE{zMe*h3qH-cRxunG_)LCxk2v(WLNbS5G61hUqiQO9+^9CSKE|&UMRV zm->?OK7o~W86H|-k4@s50@gS?#{i~S(O)8NQ?c%ICJUK2A}Nkt2Q3$&4e5 zV)-wyK(H&Rujg4fdc^)%fHxEAfS`|$kV(&-7F4>oGGTQ<5Q58dCo$VNsNZ9ATtGY+uQ1`LjJItPhf#b=%Ab0IZmb|E{(7rg3zXQuVlqd)p|57fgh5W z@bgYeHd|%;x^QT|KklmFqyx#Z*inHmFn93d`Cz1;nzxs=Zb|)Z@?vq!P4KOA@}Bb9 zqoDapRoFl*@L=8DRKIBgd#s(Im|hjzC3&iIoGOrwF%Kt{jD~yG+{TK<+`NyrWCw${ zLj&`@9yYS)u1jpG{LyN1y+#mYHiUw)D`5)m(-mO++U?H(i9l)*)WrhN9E9^de^=QW zt**U_EA$Zl?J_q5R$WSUnP{ewYkJV{>r4s(7?fa>2Tbl1@eU%r6U{I5cCt6V@b$6iP++ z>!3r9K-F*`96{pfg=*sM%-C~t~9s=0EgP;w)C30_vfLW`H9 zb1j=ffn9bn-Lla%Go}Rr{g$O>j6a;K9%B@dv#mSCrJL|lZbpPo_#e*XA>A4yv})VA zA7t57mZApUhn5CIyV%UrBL|Ha++UuraoVuOESuBgsZt|Q(S3DO`38(M1F;0|?iIPH zKne8W-C*3{-~i7jI4Ohr*o7LB6;W3mzYZ@e?f5c!vtFmER*$brHztxMrlr@mT&<*! zESW1!bXbw~vv>swi5L=lbsD}gEVRHZ!7`h7xjtHt>FxdlZ`sI|cYooJjqeTW3(J(+ zcQNNiqHhvQ@N_>+nzS^n`uI;`h_SkhuYTHgeoN@?&V!xkSoI-se**{_zi|Q}s05v0 zK!q3neeZM>n4jPkozz?Lm~^au{di$W(b=_Qf68NmAXywYu}G@nwjT;toDin)nadUh6f0$4a zN_D!a0W~n=Ux9KJRP3@l|9qTRbn)6|@8a^5!t3tx9sBDo8$JIHM^?Z3_=3I<6Z9VDGI$Nirkc^zublu=1 z$t-%drtBrqZJOzwZjc=J3_bl9@9>ihSvg4|teOob5PBDsm_ZP( z|Hd+8euxHHCF=rJ9`YL10H4BaT`+$mgF|7CX&Ln$>~#9%?InV+08qLc#ENi$Mz@;l zBb}mJMO_DRw?k?&d`8$pfD>tTGUpBotGP5G>Mc!2hW0KCmR-6^XQW-f{XYrJ-^+XK zOHdR7tNvuud6u{Mg^@$kKS;`7D5H5B8(lFLAg~*OiapMZ8CO2eR7UM?C1NE0jk)}r zCGJRveKm;?aDHApzI(}X(@~|OWcCM<0S@anjRIyXfCfnO{qapIsoMM>kgC^82Y#eo z<>R}@={?!CF~|R+L;nt4@Y$_!j4JbY(~FZ1cIQB9?M=EJ17?5Vta9*&<`rM>gIX~A zZmDKaVtx?d_*wwP!7HUf(mLAouk|9K#GbZmSe;JkzZA#}Mym@qa z!8SIh&P#_(wHjHFZyLL|`$zvXSt%K413PCkm=Uv5H~$qPu12eDIV!0^m0*@#jWUV5 zjITRu@56PVlmW4(7XLuUQiuX`%(w(q({*Ev3JUsmoQ#H%_kHWm&6MaT%GH||gC{vH zCFiufyF(tov-kUr3OSUV8%)bD!Nd27h|6nxnK-i< zwv>p_y9>VDA)|^5JDYJP1lE*;b}B|mVQ0f~a!46>9yy#ccb4Ro(@~QwktdyxIlzl&fS$>ZsV zYn*q6qr0NE?f!Zr@$dH%sc$BxNr(>_oDV+yOONSpZ)*)%B=m3oqHLXEu68g#7};Zx zCGYJ6y+`0$LCMS-EDCbp*SpQkLNCkg%2f$#!_ZjL|Gg9HOTM)9p(UD@T63X*$k1K@ zVJ+*1nM~^-DBb1X!E{jfrGu7(Vuwpsc|O9`IP|#4q_dl8vcm2ZRr^3nZS^0lhfZtN z-2PX6{W~#hTVb0`wQB(r4z35fylzpZO_M6@5rPgN#AtzFCf$4(LQDzsLkM;1zl04# z95~cl(YXdD_1D)qFba3P9>i)))F2mL%$jeU&~z`Q57t#hzdHsq)`DMq%O!r%11jCG zp*F10GKaRMHIvxab?*N}NsL`>Jd+o{Flv;|-Ts@zjY)pokV=J@mNn~L@iPJ?tGrm0 zP3%AD#7R+golD^0$#u~Zm4o5M22>3g9@SGlA9&=;6uaa9dhP@&^8dpCm108;j<*hb zcP}07|9&jfp2xbWbdvJHo#Exm6@ww3{^Ty)-+XamL!xq5l)is;+czeiDDG6oMtdf; zwE;}%y?N;&h;3hUPLqb>-gS+t^L>6#GtgS-$HS%vtrg)(5Q7G}fg^(Js$8le^VG@F zC@)7V?6rPajsSB8@XgZ{3o+2uN1e0?eU1?AWj6zP>OAn>>6<}%P&|L4D_ z-Rj9Gj4jIOS+2eK`far+PY;J0T6X=y8-pMeO9wflRnN*3pdLt^&-V2Rg$pENvKti% zQpo<|f;KTt7e&#eAM6!r`|jsOssybVIRac^gm3HBfmrA=Zj4yuu2_Lq;9M~h##NPz zVh@DjLHeT(C46U?;P0RnpEQ3Q38^@^lQA&4D(j7uqH}IJ5j9` z`p}rF$5&#ZF0Y~s&^T*ys=mai@_P6uJ=NTGMDR$=xg>RAYO+Kbev~RcuBq~!>DUj^ zQzV~g>HDa81y_SCBnOdSM+5A}6RT9A#zkbN#6o5Tf^i6LF=EHtdP*_dI|#GBNFwdz zj5?W~6ry3O1@?gR>#fDjMJm%Vi1C-TBg8RiL4GViwK9-9)ozKRKlPVZn-5FhaZRX> z4suSQym3@$4s^C z9+Ltrh9$M_3S>*-;TNzoU?S?f!9iHi+bjrh3D!D?;;}I?s5RHJ1U}B}Js+Z#ZcTFQ zxinvqX1Q|AYugLe0P$?X znpqHS+_T3O>s|q6yhG38p=HSX6)Qc@tV9@+!8!Q67$fSEY6!suW1CZY1@6+4v-ZB^ z>`(n=@@UW)J*wLlsqGwM(@7aK9Va`dcWUA7R2}j8mieMp_`OubCnOL9ACtu~1>l^_ z3$U`g`NnFmA7%DR(gGXi%sx@yrF$2bF#Qo{2@e`O&fpo#38O9&gR}lnNJsVi$C6+V zCYcH_HEu#O!RgXf?>l9GNTiEfUi-CbrRoHhIEbaIz&IRAQihtFp5(2Q_P&0bG}h#p zcWS{D1T5Jm*gaouK9^GrQhVJ!R8Zu?JIN!0A1kS`1sT6rL~oLF* zKoKe}KLE&EmI*ip^-{52(SOo3rLwg#1zG;uc23mTNrH9RidT77SozNEvJO5WnV{+S ztJ2n%1hZMw_aBTP^oJK1$a`Vx%^9W6dD;~cHl1>Nx?+~q&~*;q(D1%`*QC7+A%83hJJiv4)k{(RwiFlC!0Spx9{}Q#@($Te8m`HM<``Ft-(td zKh?(Sy1cJ#Y4Uz8Av=TH?N(@?0B0#1k-Rc72kP+p;^~|d8U8>I-7LX~ErWXX!2f{^ z8G$IuM2tglR0tDw@CnDF*zBZ372S1pOwG@1Q;iz$?*rlwgnvk>Pf12v93-^zUL!?; zOJXTe-3GDGhuxHgEte(RVfQnk(pokqbJFAE#J#dBk?SjpxTMu$ulbvr{nDAZ!W7rY zeIIKq*FE64Ng}F@`3oq_uwd90JGZ!2=V z)`=qP6AsQ1UP&Xei2$M?%kB?Rnnzo4O4j9PZrHs1B1>}rZNRtMt4YK?i)4(w ziZ;$!sn8I!mn*XElLaeMr}o}5e4jLKsAU#@AVU=!lxYS128?rA(4Q2z`tP8<7Pm)b z!B5cw+UrU_P!J&K5q04lX_9VNU58rm%p;=Pf+KXJt~&6dysQ0pw)<9LnaEnrl&C(u{H;!Hq%J3sqT@i31}7H z97M9H=nGalGQ9P647(pRb8c!YYCL>C-YXPh1}_dw+=GF?1sj>{m;6vk&Gz=PtNSVn zcZvhRBj}5a(w#U2fC&EH*(0R35~4qZX{^{&zC<3tMQftR4po)cBO??+O-GHHXRB}X z)&~rd<%_DhIMe{aj|rN}V{H~A%0XXJ)!Yr`%X_AgT)#cR&!qc)ITROC zXeRCNny)bKym$=6rAHRRtTioJ;MOYCq8m~w@=t*I@A#CuOyW8nQ_xGQSi{YGjof1$ zc(9Us(;%f;N0Ntc^OgH#(AoYgrWpklua%*a`xnLDtolKl#D3<^qrGD(bab>!5lbW8 zekg4O{3MpMkdoX*xty!|Z{NbGUI}?RqDlYxpWo0RShLKq&kiXcefL>F-h6(+Yp7;O zntIh=_sYG&A>e4ql6eNUxYX%ab(;M1u9lNq03j@|LN$1!y(U@TDkZDsLjEe#uWfl< zv-8{0gVEk&!QKem*-1IP-SKIi0PW%b=kp*%#^l-~jnJ2+|BH+F4r}W9!UsiE1e7Y$ zdj}zaA{|sjkSaw1>0RkvS`vCMB27AqfJl=jolvBgNbiImAhZA>B!Oh}`TlnId3JOE z$xP177+d{}mi+D^E-rpBWnw;=dr zk|Q(!^P-Sl_xWnU)%3~R#$=@c%|P@XIu-YYuYyhI0S7zmD z$Jw8y4?dQ^1REZp2YJmEeOSqNPV6Uy)2ohQdjw{8`)sqf4xP;=Ox0q(8_ z`$O|og1#~BNec(%7`1Qj?rp-yITW9CAiD6yjI(8A)XR!1U`i58k(0ajdd!V5E;;WeY*-(?NXDe4$sTqy{ff`%)6Jk_$Q-QVv5Tx-hc|@2azQ zB*}|1GbzNCAMJpi4eN_gs!4JYbX~uY2ybj^F_}`!%1q$&V`M$UnaIQN%>}7?usCl z{9Y_F;JJm0*VQsMZm*!YkD^w~d3Q~YIg7-m%*dC!e+Kd6?v|VF$Ig>AjMh||I<&e_ z*(>aRwN}LLombP;7w4<0G1R{URQDf?2QCP0oKoMkVM5k>{Z)CspZ+j1*S(OV88bLW zbOU*9bMv{-gz^Z#)A=2&<2wDjTPrh$wG{v=i=?B6o7me15A3FNPHpeO*m9=4%zw8bHuAvgFi9qUuc)dSr2@+3|6hxRIZ#Z z+t46Ha)ssD?iIGE1(cbL>aHftJv2UOqVSG}C6pz&TzgQw+||C95nf@HH3>c-eJyZ! z9zL2|&zg%qEYLjaK_=l!vo4gLjT5rwd8#+k#jHK~bsW!DeVh_5ySKFBQ{0Zk;$i$< zCeF*Q$`I30$K|~TSgl|Rd5Fo{nnvQrP0bLU`1m~mM9--Cqy1~^5BsAL-c!C;yZl6J zE1@GZ5-%|#xJZJ31$d^I!>M#URD7+2a4Ue^Bgo+q@4i1B+Gd+_s9RynefMPL6GuzJ zGs26|jeV07jNCU?&_o^wzOW3ubyu7Z3Ax>W#x=DPlq%;usQiBV#2=@tX3E| zKjo!%Dew^`Z1DK}WOPSWaJH;w(H!D?%Jcl_0gZUu!1XW}t(p0mvLTK6THgN{SzIL< z`6d&jshXyzP@A{6k7+f`=h`9E>;ZC1tumo4|72NIRr{?h=HnTt(YdcHt>oG5u2nR= zg4BeLsH+(U8-AM<(Lz$_$c`7xRrFo&fb`aWQ`xfn@czuKCGa?W#dt01p;y5#x5 zjYud`{j_d=w_mNY>niGBy@Y$;Jq+|aymi<1;iuXELk4tYYmpB9-8=k11{I`86(bPV zQL8^ndq6txrF|ls;6;AZO1%sLUzt`xKU-mf6GkowTxmi4}6 zkBEi2;t*>)2_WpoFEHOvuQbmC6R)=sF($5?M*VIhhh!sks)e4+~mXhIo zBFA!`3JV0iZ|X0;C~LLS90O)7xaa)dWq$D2j>6OiA~X6L3o5vgqIPi0w79@vEv3xwZaoI2 zyu|yuR;QRgqdps&WpX9(+H~J{I9N!nIgt{IqmUtq(MawsH7qYASWwvsEmCl+nehu_AP<93; z8#yn&)~p&;s*_J%5xkSVRGv9d+en(YVpc~}(Q8U9s=rL@HT{k?>)(=(P@)E)+sme1 zei`Wk;?x>8o>JNUEh*~dc0;8TUVfyh=k5^?U&a$#FFJ#i^mxu7d{E41!HVv-!eG>fUw?toEldnnRwzz)b`Q}Eb z@Y>SOIbP*f$&=es15>iLgBBMXEqIyOsLt7k?5mveiA*aw#jEyf@oFDZ0^W6ge~>kz zm&Rjs_M=I;|1C+ua<8?Od|Obt>asBfxc*K8`v#VlvT5$Ipk8y+4DY+Y8$!Cy)updw$FySZ`+Mogvp(bhEY;c zus z+JHv>+_F^Tyt!%Kl`pV%2@g@++4HyF+u?IQfvQ?3&xtTrR$kvQYC` zzT#MfD)0I2ERmKXM(!)pN%uWn3AwJ+xWlz8N`Qis_8ZF~-6{KWa8Z)Lqq zOUYa(;jyk?YH*X0DziuO`MTIq(wd_Qb+Bx^A8Bsh82&LbIYJ`%soC@!!5Y~oA3@)D z{5F)-3mDUF%L#%v*WFb_piW9QUi{Xcgs85kA)`o9+wgC93&;01SK5A97*8Vu7;%X- zYRE2p%?kxZMFYVm+0&WewC|e<`O6RK4f97p3BKk3Jce3a9U0!1)ezzz^%avP^SftD zvT%1zO&PnQfV86R0PDVB=!MhIcJ3jd;yL}sT@UheJ1{ov7c}#a6OmX!?m(XGCjBG1 z7*rCRvrgMR`26)jjb`q3{$JauzrxY-ANp*VJ{TCu+H>>}mr;7JR|Y(oC52cpIboz+Jwo)sWg(Gj>5I8xDG*qprChd98kZq-!$ z&Ht3YuK0`G5fD595KfL~)p@k^_%nKf-Du#4_dnnN!*M{9<$B#?jz4QbtaGI)`0c(zk! zR^7__Y=mdxX#gm-y>Bk=oKo^nGzK|9?6KuQO;B$Y0#47OM(T+*>@jMfws{)L+LR~2 zm-%`RS6P@8wZPeoD&`j51bwC_MUC&aMD1|NkWRLW$}GX*YAW>mpg^hxl7+f zBcCZ%(xYRO1N02{YVJQ>+Y0#C3oA@-N%EKASliNj!5naD5lD&%{3Dp`}89Y@evyA@v38zC<WA)Y;!EF3ZSKrvq8CM-- zVO-`1u9!4&iLj!#WK5;@0fs-ZEqt0o-*WK~*v;}hms%*;g3%}EDOCUB?V+v3_l}(( zNgWqDn_Y!gpQ9L?$?VzNkTL+PSJ*OpvC%#7`#r1g2Vm{c7Lw81mbFZh7lW?jLY=6zXS&+fbKuAZwn8O2s1ZTMvO_n~)`PZ7ia6p?84O8QzJ>u!OM= z>Uc;Vsm_O3-o}>^Kk+^{wPI}kq`sh@o@7ZVsr+e{F^hPXku-a(-+8|~ZikR&WM(nI zh@(RWhku%DB{N!)5vHORxdt0yvwk*Mie{RA`V}%Y_qWCnYb>IIt~l4qxQ|cGYgf`L zNfFJJ5xHg*QbMo&B)B>hBO%ZC3X3P3gxP@5Gw+h)#Tw&BZ_qQpj^>0^N<40>)EGP! zOveX`Tzd`gSZETM8L+&XupN05zodZDo{v*UO2c?~Mxl)Vw97cee0|sJimHUTd*DCL zTCgc+DrrH0;RUS3({q-0^GSEG#lkLbNL`rqFgN*7u%cDv+W|_cDmeyRau9gId?j=> zaS;$Jm#!C}o4Sa=F$1Y=UeS*izilHF9W)Xao$~Q* zTLtlME5AG~i%uG$K~?|I6$!h5U;ZjZi!(S%rIgP13ySI`$M0lS7c_RAEUiz#!|yO& z1RX~*smFkY3d>o|^#}B?lj#6cc**bN&_-vi((NC4eLs;&lU{6eWS{4_g#n?Fg2fif z7}C#g4xU9yI;|^V_Sb$a(@Z$O-=r<@qqJVs?mXM`KGAe+2p)3nf}o?!Ux(fm4wot9 zvbgE^^9s?OTNWok$anv(W2MKaw#uTjX0kYQ!k+nX`KRJr--TnS*PkKI%QWd%q#j?C zWrJa%+B$Qg+FAq$;p#^iUY`ZVn>TXb8Xu8#u~{0Av=Q%}T^oK8)y5ae$eDE!oSE?u zGQzW9eSSs0{G!&%!H|91SX^ zsI%d!>1Ax)?b(sx0{YIaKqP0JgGr%ipry%8I4q+@;9UK+{_}W6?gG;5-M@GIJRa!H zEc2Lu-0e~E+2PVsWjqK?9hL9NynG9LpBW*JtZ)rOUC7gI7{cUC^j+-;`K2J{pSd|mSY-? zYPw3I54~EEN;?+TSvjR2__qD!!~(EHa#1$?`^`E(qZRfIZLyw^Y0>K8c6Vwp71|)b zI!vBrGJc|DxUUfWB7uLs*k|@32iT?M>?mBsnNxQgz$0oCod|Dm zC7Yk~KEL+X;JF&5-eIW3eolBAssONjH-7cuJ?FWDLKny^+wA9wh~My-BW8os$_S5` z)7XgiaKAyJ9P3%yiMw^-)djxM2NB6YhKvt{{dsXskf9!uJ%OGM95;-RJf<=rZqOSd z!A=n&gNsaOxPjdo_AZ%}u3M672cz{YTP*J3bid3uM;`8vFfdAUT%XExLdy0|*L;@K z!!9WZKDb|E#e3cXR4lee5P^Cuu!Bf_sFtl9m>C*wH3W3*IHyO58Go}K-j-*+1i5o4 z!qK!XX~isr303oNrc~pDq0;~_`J}0>4_N`*amyr0CJt1HpmnNpMhtaoArYgW8 zh}&v^@qb;b?~iUjI~jh$O`u%(Uk6o2Fv*8@DV;&a_r9(#oTn3`+7@>KN7bsIMmnF~ zkx>5Zzlv=hFuh~>_)i{9r|gxVF?&+LeXe8S$M|uY9CwjEf*7%0RHys{#lK^5Tp(Y- zXA{ScX})#XACgbO3+i&-{#wpAn-m%h=*XAdyU5*wJW@7!+Uc5=EY0w_U56xjqof@! z;S@Eu+-v4C2?CzM`ZA`XcLkxP)?P@MiRmk(oc2J^jYhm#APq?PcWGE%`bVw-;|<#C z?tqU)k4#y9S`WWPtyA7;r|I8l2{~&X5deD*?rTj5lW#~nt#Ma>`Us{&B-K5SN}GRk zk0uat<8r7=+f{f(>y}q#EIMeOV0(vePLK)hzGV$Fx=5@)h%?yY6$dqesA$uK8%YnG z(t-AQBU6))cd(*3#Oy~o|B9*v$J)%eHH%wD0a4j(oiWphVK8Z6_f1q}m$cI+Y5d>x z`}OtG_e{IIjYAnTyH{!YRm>;Dw;!k|g_iozvfSa5X7D*IfwVp}HhMpj74UXlK>XGS z;F0=mL2KJY*o&V%I#(rojtf?p*ggvidg~D3U@hw$&B%{D&Fh+>NZ_DY*heg6#310=!RXKO7ij8=bCJ5OGCU_08u2B^-S7n zU3dpxcsnr!sl(g_r(hB$8}%8MIG$LCcb+)ccN(5f0YU?HvU9U_htQ5QLBvs*HmOn0 z($&GH^0^)jIqS}j^Yr?)iKU74*-KBHJO4zS;jt*Y8HOT&R`)1rtKnl7h*q7)HXhbEC8M-jzL>LbkQ% z3)s2GeB|U8n#eriE@;NyXKFq`v55HS_VIq(izjcN6xTSoJlo6`q-`JBy#Jdu?F5l< z>2C0KhljrH-8FmZZ>?nX7HF(P^Ydv1po%NPVq+UeO2V&!5gvT^xtoewR}K;qJH*iM z6~DA}IpQ_Wh1s+%xpb3zf&mP(ZOAdS=hvfs86Z5l0Rg7f&)6njFDe0HObIR2t9 z3KW6SRbQiNMS>NcK@>CF_-UR2A6!VA{yuPf&OB;C?GUufD~Ww`6rM*p+Bt0PZgpD% zwbxbW?Tg@aDXWH=l| zeJ?8PtAE*CR=yFI?1$#_dx|IIP|!Qx$Pjfns!I>uK=_!2_S`G7vUHS6>O4`ElY1t` ztbHSzVC7W`N|ei|8*%ae&^-5|5Hq3}nugA)M|o1mgxSPI2!j|WO!>is-=#=*6NZaC z?lv;X4_tdB@|lR6`S#}CcofK&u%2u3C5G<9giXrMY(`9^iuAfY_2IkryKr!PaU{JI z?f6nxo4w>^IK|XKfCM(d!{Sbn;;(N5Xx4D>$E5{)X=rsX@geA9m+0+L@e<)8Gwlfn zvUSy0bw?RDy}R%BS^fxhf1Xi+&Jn!`^%jI%3S0ZX)*)H>E&`lR5b?JQ=)3fRpeO~r z&Au>EL@jWWysV7jCn$b7)qGSR!4|v@wq~jtj&F#@k{oIEFrD2zYN93@axX^!0?CBU+G;Jr_WgjMf((8xWFr`Eh07C&F< z)jel~4A4+|`TZr#kboSS+j$*r}UC-QB3( zBfop+Ud=qac&sHS{uRw9u`JxOwDE=$({mf^c9>9hLri;C|G^NkN32aM1uO@Gsxc{Kv+B2?2B zPKu=MgX zlvvoSwq6d=vkuT9z9+A-UQX1r?vl5p5K!1=NX$Jm0h+5p$I{GOZ>8m*Q}mBv?f1IA zgk?!7oOsuW5VrHYtT>XD+kYQG0fO{B;GqEKUbrlG`|TpoGagf&yU)ERigVIA{hUMT zD4$*H1{!>(tA2SEe#XXR%(Hkym!o=G#xjqxTo}b~vKmDL)p@XxS}7;05)Wf+&AI95 zq($-7OnW(;>;8|>g}}6%I|9_lX$D;f_cTcoMoRakc<$FckWk1n=-Qy$$L`6cW48aZ zr*|cx?#?#Rzb-eUly2KgL|wFNkgV{f4bFU`h~;aS*v9xM>84(hNIz0%j|-P}+E55u zEMXW$7H2S0ko}jZj>hCVJhjApJguJ2KKmtlt82zVB_J}iQPs8dG{WXfP9SEr)i(4> zCr|__kjr(-n)LYYcdpn6q_+t3Yom(1^QjwZG?=(sMA*Sk?Aq(67S{%@|>;&u2cF(OMSeS-VY`&s8|G-GmRE+x zI)RQT6z&n`b7xzQI5o1#+pm!Ke+!1}QYhYq(>@=cj?j>NX0I(B8=~RE{Pk8UOycEJ z-~>H!G5fi^*DVUM&IHx=Y6(n)K!`9`XXEG~SvY5NP+;+YCkE<#$QW52ZvIARbQj%J zXfBzT4XXXx*SulDeXKsD5aQo3%NKUmzhZ125d;Bzv~E-I7K9=2{a501)#JgWR+K?1 z&gCKIQOb=8vcgCI0*UuEsYXV;udaXXL!I|&O9I4HbY+h^uLT1qiaGz5o!=k5NZSs? zU}w(~Q5B1(ZkPv?0U^@Sv`v`HErOk|R+20GPRX zKG6qEoU?O!oZY_8j{iiNdSP5-mg)=mle?o`C>0)J&tDq-4+piJwZAGnTKRg#D+rA- zcg=siqP0`0NV9!`((q6R*^e#u(E`xN%&+r|VDJFd?-K6&+fe+$w(;5B9UrzX>Y%Uc z;#NaBvRZqxEmwzxXS$&c@39E+n+WKrw?Mp0)6+OE3_5(I%irjrOX9@yU%};j_Q-L!iIP^QS$quYrcd(%19DO*|R{ zx*-687sc0HnxB9&L4K@F-jQ7B^fe1(Hi8?=)x$IsV#z5r8rg6HQ^k3}T?Ki9Z=5PN za9AD3XL(S=f_)tB@()_1HLhu#$MA0O`&8(QtJ5>UmQJ4x^guf|PhFUV@#xoc`N~p% zT@O68GpbtmHqlh;xj^LUsb!&leQY9iH<%UFY>0xj>k^orcUx?G$Z)i7jP}4m~2mOSjaxr+mx%{dx4xme5 z>&)bmPe;{87($-^a56|Ia26qAxZHrxSjUBTfKP$F9+%>lj~33x>~SOOHD!aMQp zj&oZ`POp5?z^@*#rAGAJffDIb9N9J7XIFwfVc^m^8Fu)W6iesyLe2^6`vY-Vd--~y z?(#Kr?IkjC8c9UWEeG{08RyRBnna0V=9kgD2L|nT#_N3jBzSpuhcw2D+{km+5ZE z=KAM^2$1I~%KS)9Se6c~&sUIBW}=qwdGoJHSrNuN*e;2LpVW9;rkg#2e;4$_UO>k# z@9;Wnf3vq_Blucvxm|RQsz6cOYCdW`au0}sCH%j@qAy^20= zS~13cjn$_yToU9MRuYD{qR^3L6(&|8hZboTlz76O4yN~~A}_N47?{7-ucuhAZbuku*SIqf9YJ?_;t9+-D*kZTqVZ&hgrP%$4+Yt}!Sm_Wf+3_9fvro-hJdOou`f)RQkI zZ|@R+)hdsDctSqd$`^B*q4@d&dIn0Ga^b6qt@>-U;B3+XW?>|Jy6WrhJ!Wii@fLW( zY6e$4|I*@>KGN~35B)%~7exUx?4l1MjNL}ZtnSmsh+GH~p@8}-=GNz|fpDu@KdBCe zcH^^s(~u(t03?U-DV*RmFOAvs1pUlr3s>o-4*SkC8z3MkFTbHJ1xn;(XMRZi-<3#W zCa6T;AAu|~zMT-$8gNo3en~i!iH^GEJ-0RPx%%=#2+5od4jeLn1RK7lQmntYzI5#a zO?C~jAQ$yaQA;H#7p(@71{G{5ovXFmmxiQe$<@n2%*?%oKKw4S4cMk1{hci-AD zY}oj7(sv{aC%TOGrSAd%vQqa)6mb7P8n;;n2qcniKChwpug8p&8pf9S5s!@v8aKG< zJGVuJsk+5lww**zaLJggq4UUs0k*-@xK#Nr8-0bxKR15S8TRf26^LfZ^2efR0Do%u z=+EjqEVOr395OOYC~IF_2gTqj%uXma`ZHrDa2_#T_`JeprG9DO6c1heE4`w4BKZ0L zs!}g`(sk^%<|CLk?|Tsc9}3~rcnqT8vGx5sJv%T|J;WID6$aPYv)DP7jUgn2Tx>amQvT_<8$pII zkjXz~b0iy4o9{1*@*b%A!|+kgcSkTXdOzJyLx0V zFxLjTtY6$5CGezltOacliWM#jAF_RdP+ek)ILZqWgY(Bgz_VyXmz4*7!8>5y82Ap) z<~*D@?;E=R;43uyKWk^U{hyLuOurFPM78JR6fZr1*pHa}Wpw0?fGXEK4jD|Vgc1`& z`aW(c@AfC^+B}>%AiMtb*@s$yG^mT{oGFyKoKIC0N%Ls`D2Gzl*_`$6#EelZ@wx*4 zxz1y)5fN3?L9gbqMGL=C-$1~ViPQY!<}0iy>01w)7MD}<2$jNTkd3>~>3t-nBk__$ z1j%}75R83$CXT%@Cl(TlD7;kud-Ri|0htRcWn4l(TRFRexN4@Tg4;GTuGg*u6wx*c zme{i&(&gvK&W)|jy!IvOt8uhB-Y{qlJogn=QLWC#@S(&|^k>%bYkNIKpV6uSh$ioY zf!lV&99Xg4*O{wA#c%B1G&8sklWozB4VjZ~}%?kV<@BBFLEXaF%zmf-j8K9y_hWd!CW4&u_AyDR)%LLbTvM7%Dw0jf}Y@>kESDdQt*KWCizh+6mg3o7@oD#V$Q&YW@ zvDJ#W{JSnPo8ZAD-ec9A!~CgX#Js^O;cYGYI<_OO9E9Bl*NT4cH&VG&Jv+EgvK*Lp zzJ%-}+F>LwXYGEm5-3-)G!4)GDENl3bUIl}aujepYgYMDxd@hG(asF?7$FPfhq@sq z3ckoz!hFTMfV77de8`Gn!wwa(D;^9a9eO|Y9LH0WQ#lr>Y>Ut-s@-hO?N^o89T`>u zG{YJ@N}F96A;%pdm|-~4d_FHNNb5wT%n0Sg*VJ1eZh6Va zX{WQ`xa||x$e2(zSx%4jg_hbf!3ua0G*2EC5U}@W_*r~V<~?V{FW?y$bN7FoVZ013 z)xG3f->aomzTNqL7PviGER{D7a1Aq1SXPX_a8Pp6D#lyPnq?LgHn9D9GOV&lkwuY@ zdgFHfVY`mBAwLqVfUDoeKwcGcB=rJ5v0Rib6P(?Go+JmU^H%mc6@KzJ2~pSuw!glB z6j#|exP7W@a;{OgXfsLl@Ag`lO{f7R1yHD-kxcgk&TfP?WhC;UTPiK;IxUwQkknZyzlv{!e>7?P#uTx6D zD%%oZxBZ(r{}xJjB>ug>#aY{{cT?d6C^jTh{P1I_l?e1J#yD}UF#8-Rp?^8`$i2e9 z*{s#PW|lW^ZSuBJ)<3X{Gn`xfrX>G5{PFuq-n@w~Y)IuFGZ?Af^|Qyt=1TGN$a=ST z;tHO8qX3R~pUby~A*Hf4e;EIidkRCor7R1=fk{`Ot<}NKt612-axQ74Mxcc9htS?H zBh6Y~_dt=44Qkc_B`NbZ&t*$cj#sPK+82OevbwnZp~Hrnuqq|Zfm-h*j-;&d~C@d6waLL-s!DN5i>w8(#Y|gM{I9m@>|N0%$BUa z80y0xl!KBHt5S}52PnJ$@z}r;c(-50(>kRPoOXRsEau;Ol^bcXf%*G>IkU8JWvtce zGKn5-BfU%LIapRCy!21A;-U?ED3D@VrT%R83x}U?V<^#p&nuPcN{FOIOi2=EIU~xD z93&_kWhjRFIZCz0(YRr|URk*BRxp5<+{A0{CrfhT9bX;vqQTO`=O3iJWZfYzFJ;DK zA(x$pY>2ZTTf_SUgAn$7F$sjfN%ma+T3fXRoYu9fZu(Gl#Rvh_GoxIbG-z_-(8=#? zQ7aYYXuX2shdQSF+}u<5;+M=@F<1niT%%^TxTM^pe5KWo{EvyfOfq8x--d)(TW&dC*$xcp6maa8mca?J(`L9DI`cMLK zJj3p`B0KxgUCU=8Yd^qF@w!OyzSz4l$Tr?xH>>Udo#~BNnnU%#()BKv@R>ljv%Y*S z{BDRYbmS~Uz1mD@)f}G@RC*Zf-XUkT`uMu@-$jGUHJ9$Qj*7_=MrzQfpE)s?tvkNa z8D(W>vT4`$r);0|poikvmoV@A%P=soNbguK;~@w@x8>jQm~rnK!Xr51v-GE$xD`lZKa&{61QU+9kE##>!C--sPw}{Ngfh zCW5SFxAo7i?LZiCFy-_PS*9IKa)cX&!;U6%%COGAZU?|7$JO+{EqMT||4q(_Ts`@c z8Q9=Car=6%^6}4XbWNxKD4q^uL|5&J-FvitJ|ZU^?0>=hlwJO3|4=|byjc1h-nkV3jr{|9Fp@V zSqzuJ5pcbZg0-bh-*i_>G!vl)7KSc1?KZw?@o9_Yv|mv@3GRrH`y4b9+fdmw zJU<)L{Hn@0g)21kzoieDGjvl*wRAN$7t*%hmZJvYdJ^;(BOky+2ngL=F-x*4s5Uu7 zt1aC>eQLY?-?mblfn}b@c`({>0CkyUug9L=?*`~AG*ZYm>d;rnp2j}o(-Q_R9<7Lb z3-(%i{s5}qX0m@C0{Xqj74Y)7WmatKI=TmT(KK3-voYRh6{K8f9LLtO5~&P3?)#;_ zm5JpEzFQcQU|CngRR%`@djPPG)ibhGRPnjeRm=9eb^*TuNU7)c`^n; zJv7J$-xzAC=dbko!62@jzaXjr42Q#qUA#Mz&KS0|@%bs19uZsf#p`j2%re$>7+Fo-j8Of4%;pq}9=BFYT!*5f+^XU!Vtilr1n z+wKQvZk&wUWV}xi;MFU?dQ_uXrJjG~I)YrZnI)D^aFo3o;ya~=zG;}**H`djOSUNRZQN4#nQr z6eiOXbu-y486%5pF$HyT~+m50>;N-@h7ey=_G;ZS768YVbj}8G~aB63&vYg`Y+Y z9wqz)#aSWk#c85B@!7fOI=6eishMT!>+}8Rz$OqB2mDc(i4Q}m<1-7gYnI*n&)-6RzuF+)2#K-U z$s9;N-q~piii4;TCOzWlTXavh%y#9hUNS1TtoG+S3(i4NVgZU;a|nmdE{I4)TT-Oe zQ5h;IMe|PZb4J+EOLJ<0?RWZ9q+%wx!(E-Re(@>I+h^DqdXzqxfq+<M$m-n?9(fsgLW+XK)tEy}Xisb|7LyBMEgi zT%z9mLMv!%s^!)8ByS<-G~JcgS&A9n5%InFVYWE#Q6*&XxX0~)z3+d~=QNkdVtfRZ`aKbj?YeaP{5Qu%5^7k;I0$v&GNQbZQVN?~Eny#OR_na2 zKCXp(5Ogkvv8bl+TwMcxtt3 zA3y)NxT$}xFX!Z?{B&{BwD_0h!RNg)pO-q2yi_HEZk$^2$MX-u@@!mN`oM4I*YxI{FCfaNUMuWr2y8t}XIG2Y071!Pa zK+{HwpSiYJ9yO`MZ>x8zajY}8rf-{_l;oXlZ^r`4)aS^Fv9Q1((<4>1XK0TY42e!g zu0$BeeD{6{MdVYesK6TXaMA#oKH5(6qq~3<$dx>@*c=LZ8t#{%M~#KzN<|0)40@O# zXkGU)`2z0T#EEt+P;J+S^iUI5ytnN{c7Npw3PeZt^KVfsVGAE!z(7E;dW1IjKDCIX5AHDIKdU1W6*W5j^~h6!7Q^h`+kMuYD?VOAAE`X)I^p_Q z%CFQzPK0ej7C%p`^k$J1VJ`_nle?%A-zxR1UZv1l7Z2jf-0ybbCYn?xt?sbD`&1m?29Bkg9Lrsi%jld8zGP}chm!Wlk` zm&dY9DsKTyG;o7`yfa2yI*LK^s)l{;3pQ*kdJ9H$FL43GT2oPg`hT_v* z8Qpyq;~*N23nlB%kS%5D&BSq0=H~@Gv0J%H*vWXay~Y`WB4E9&m_~|)`_Gdl-vzSb zARj&$wPq=_0Womhx%+KW({cTAeX*WlTYc%T8V-`@T0u|7&Ocb(_@sw<%o>#Vp2NFh zI%s-gp*WxYm;ajn?b(b1Y1tuI3TtG_%hV7=5Di>`Ie#~hx%;GPG{tdSaU{+!Z061d z6STGKgI0cYv#UHT6ZfQpLtVFKfOloP_n(2D%!FtPXG<%lsgi6^hLXqo>A*VT2V<7d zEaG+{#DP5won2j&u$|R(se9nUl#Ca>sbT*97vk=(Z(HOOmQTpYl|nX13J=2M8zq`b}y;S1C`E#0b!+E_x=a?~j+ zRK@@gM}i;~l?kUGTXdz5cS(CoYND26l-fP>USpzQQ+@Rt6F3pJp|>4)?IHgsIq9S< z|4Zb!KlQzj7Q&ofvGjRA!Ls#H7-;O~AzLD0H8fZuNYV{6I=p_Ke)8RzV2)0f>+m&C zT+#(Z16*=iEN+29?7C9JsEPx>96wn$oR2|v-9o-feyns}a$V5BSL^eDTFN8ihC$r( zi7y;Fj7q~=l_|umKgF*yQZl(W;XA~<;4`}^$l~-0tIOJvzt6UQdAs%xGc1hVNqMgA%QzM6vTUa*ZOYfj@K**`s)oDJAF^l*& zRqWCmtU27m7O2!C=>6KXGaGyaQ%yzihNP;FQ+ECj54p}c~#1UBMe z19x0oYl^D})!=IK9`5S-M`(A`VB4@KxP40>Cx$MIQh_m6zz_Z83NuY_bRNY6&T#-H z4PWbD8^94JrzgDO&#RAj^MRSVitW2utyJRw7f06~&-C~IE7j_wCX`DqQj<=W;_6l1yPp4?+bWESTs$2{BNvRBrtaVzYE8-CqyU9G1-!jB z^Az5tgf;T-S7;?4$NnX;1opsYj3c%AL;#Kd3Nl{i2mB z*17&bgn#fj)4fO9^fzdF4}#|%F}r7l5HL1vqmY8u$5X-Dk~{sDHGDYiVeLOsR!s*9 zshsXec))b9FCvz)v95OI+U94KHNDp|$n82s5ayKYl$J#p>#9LDbL~XlLh!55t+Oz` z6Q~2t*EGQ%IyLY5CtGK!rl~Bpf3Ez0&3coSjK!@n(_rXLf-dZ8BqG~)bT5RSyPh~l z1_L{kt2PT87Kw~vBHXT~&a4NvW^MrfTatmD<R&?RUzRug8;lwa#`X$~5-!?po|N$6wr`#k_7!@5rA# zlngPSlv8qT$bzjEj>AN;gx3{S!$ZflKT;2B&y{<{VQ3~ z9(78M7p!;>fQ}8!^4jkqs^~rTfXXVg`wq4=uyY%l$5%8Hg9uuJRlqvzRWMx- zOvcva;4Z`9;%I3b)mlSQZqI9A?(-S@^HJ=OwWuk3SIJ&&+%%{LZg4opA!u69jezV^ z-8!egQH=*imnm%AE>l!rnvlfw|8&qnypo$7)ffNC%w4m%=*0b|7YLtcNlmy|`cdNh zXrHP4Ump9h87( z?#4|-pc$_#lXd?jJ5Qy#P`%mZx2i6pb^mj|loz6U`Fu@VL4Xh`ow|0(1a(S*_w|t~ z?%%@pOOw7r7@4xPilLARa9&@Zz?;}Upy>qghrmS;*^j`PUbENfQjYk+17%(&ZPrC1 zw#o8!qIa%k-e%v?8|fC{--6^mMtR*a4X(aAP(tfp>b`G}NbLPS)baaO{K{l_&0FO% zyWQt6;^RM=IpDxg@&ip6A+be<1Eb{-d;PlwHkLaSA^X{DY@6h zyVM%FME3!6ZL;H|Riu9gecKVTZ(N!DJ>)66Z#*Z!=*A*11+KDvVs$mnu$z;rHw*Ah zZpZgE7I$6YDjbJ`H{ACt>Sr6gDhJq%^|Hw+cs<2XQ|n4~9wav?ZkkecBz+=#6);;k zqbEAn%j*H0sS8n@SAC#TXS~FVO+dqy-b;}uP?Ar3u(9jM zHX^|PqiKaCz@C-8uV+a&)GH=#gxp+gVru>9ytSVMwaC(7ETzy<=68cLfxjlaOHk_PwzEA zlr^4Kyv~Nq!ahB{+(I5X^)r+|?jy~!;VCoka_FrzA5xv2YCSfqIotJNMVIt0?QK3C z!b<+VM-QXwCRyb%$E)yU&A z1?4G@Q6gzccEfkZW^Z3}`SVEq23Vn{q&>ggfK<1L8Cr`%oWfjF{g~i~Kdsd$u&zS= zTW3$1x_UqXzC?xq7g88uB_l@V1^B)FqpT1>=nPC}8#(>@K5+s^8g4XqmpuM+vVSQ-96XHa&EIZ)z)WrPR6h8bXU}z;qiD zXkQY~I}K{^osxTx9ZFqRCDwayNx)DU2X_SpL<_R>YGRblX8RI-MHUYFG?V)IQC#mS zkWcyNBxV!$@M6=a^~nBPv?sRkcIL*m$oku+KWht*uiBcN$ya-31n7H8T4aCz!pRk* z1a9}~3th5Df4`uu;}_7S{xVHoK1JTTbjJ2%E%!3rEzOFEU$bv%HRk@7=NhI+C>Nna zq4moJc)fGhy9iT%BJ;DwMw-aCV%7s}Q^eyXkUc8m*jys62|56??_GE{-KB(21va^8 z`$WLSPC|V`2m0@-elJ@Hl~b;MOp;)?cT%|0czUm&&`z?~f=T^Qw0P(lMO&}F z=IMQ(%9J-|Z2t<~S&;kr2^64%>4S0T3jkJD^H+ov_kEce3K_6^B=$lj^8$Zg5*`yb?#8Po% zn9&i5iPm_GHu@4MfUQuvTn8Dz1^|BY1}G3sLIK44su^2hU8JVMco56+JIh|97Y<)? zIZcEj{@cy1|KMe^D~tZ$Y>B>0D;D|SYJ5*{Nda-a$g{yL;ErALCQAGk7Fh=(D1zr=Y#(yf&r{dIv;-$1-@ zWg3e*uXU@e6vAK0_y)+BdsVgof;tS&6#p4KTv5#_B4F<8#I9eNW@x?vZ&~1Q{1%Zb zK^JKKQQMD{Om#?m!i#*n-@>+!5qVmNKbuSQl1!j%xZwx5BWL)Yg;oqTI$=7oxz~Ne z^8M`m<&hj`vn;KPV(BM3|T-oC+LDplF%fzA`%|UB6CetD3$TC!R!z|29}x zBX=XAn#8~Ko6+|y`Bl!Kg|tUk1KWP{xsqh)tt|`vTPrt;QWOmLzRxCr2hW)*;6%Z- zH_8-p+WIcgk%ICQ!c^yw56OC&!EPIPiW}PPbYAY$#s_gAD_yU~_lFS|Z>2C^PMKAS~V8 z!Em>8rX2HXt*oX$bW&lzBe*Mdy!GorL{aV9y0qU|`NT#IMdWv^Va0E8-l5LYduOg0 zpAD1cbM{-5tX)%581)4vtlcmRn4bUu!^3ZQH?&nSr(Z{bd}TT+{gx`EVYC88(VkpC zCHa*s=x0&%W|siW;=dhpyQOu~A#QVDlhF3C>ulDP)lxO2HmYRTWE@fUOd!FBBv{EH zi*)q|#=bGDb@pc3eQL{%42W|Ab*0pP(@bJ?amywFLIbi@XBxxdHIb;`D(t1Ai$({( z;3BL>-f!HyxG8(l2aydey}sx-w>2Xj|H`yJy&_>{i@b-FT4mxy#|_+uWg`lSC`!@! ztNG$mb~t{XFR9MTv(|Q`xEu3CTe7{%$3fQA>my2fVI_8yG-{TAFEC5gafUpP z`?erZ(Z@1fkF2@hb8wGCItMq6meSuFR@C=l^`q$*?ASwx9lhToe4a&5af{*v((IO) zM>GZU|M-SPz;VwkGSdLZ;Po#PoI+(PKWQhWIC!-O;@(S!JDesoB1->gHEEX|-&U90 z|0M6C>LprTJphBEI_@h9SU>1nqRH6PS?CBRzJjH~K;A z3_wAzf0ABbue>nsFy=d(GVQ4K=J<&O)Xsj+ogJ6Z#c(Id(7a-P&)1us#k#(o#>KUc z(|4F_f?aoN`tKJytN%Nt>713$yu4JG>{@i%UjQ_UOpD#0= z#(oJP=Gl-7mf3}AOO|FPq-)zGa;C>^>x!s*4b5}ZW$*S?{VKk0v4%KA;GyKIKM-bL z&{3k=`yD1OW7M&j`Ji!1{Mi?LiM2Xl`{%zRjRjBhF%DbRwYwmA$^LJXai_p+sN`Aq z7z7eJc9hB;&u$|cqfY>e65k7)*|*Mrd7Sr=x5>T*HP%86h*~SvP}>DaDR_ErZhUea z{`DZHK<7-DV7jWjY*FTfYLG}QzU-x7Qo3H_IfoB4d&$G(Wi=(K;}Fn*390KKbJ6+` zfb2kyPoZ3b7L+P6yZf6xMB0^sZ7rb*pxDu>>)asd1K;I7uQ?(5)mz4Ci=~ zK95ApP*OA>?Fxs86s4MKP3Ab+1ws#1Z5+F9|FO7a)GyY_#S6xkt=w@~ntO}Tiw1gv z(u%9;x6G&4=;!-0aD00%MGm!^wI2`P73swCZ@<%mwlhgc56 zWYdWK%YMb@M%Vv5=XjO;|#-neVk)E_!ZNn!JJC^M5? zoyoRhp6;|LVy9$E?aQO}?!K=Z4@g?UT$t=h4jmmf+AQ3778`%&K=e{NSZenmMpEq; zpdu}Lko6m zdft77OZ7U>F&-RbKsTqs5#^+v2QMwUj!VP_cnQABr^*7cbWp+s`RKq2wO^guMD@(D z*qcF*CSO6ZRImSjN`hb{zSt4!l+b-*p=WekKwIcv3C6ESv-NeaHTw8Ii%Q-@tU~_s zJt5rxxqOnWfBTHXB#tY2m^#uE{h(#=z=dKdEq1TqAE2RpD^V&$nWEr$F;-GOQQOohzzE#u0LDa-lD(Od(98p1z>)c z*D3FYxBYMApGT>?aF~{UrGA4p*7@=4gUSD5ue+h7yVcG7x{#G2DqwgabBEOG>1I%> zjuzP>XY}^u@>dEl!O~k~!WK6!Xw1UTR@KDQ$14%U8J>rLnP;Q%IR*UDaGuljiQ1Os zc{j~g=i@@D5vzvaTT0g-qsWeKn08nbYULLfy}#0Rb}rPOlb`$+jjD8)V2Xi5m`{jr z_&{Xh&Q@jL#8Lf|Z2Qorin^1bix*PycZ9O4-1sokSYJxz^|F(pO4q}O0M!!TX1QXi zLk8~pM!2~dRNLp%ZrU(#@@Bs_bB?j&`4&G#R@1<_2hM?&5{Z;>U1@IMal$#*TYaAS zE&1PVo%>^ul%09f9puvYe7PsTF79v~QcNBpSETyDrfJr@s@dj4DPmWbcupIA?+{@E z2|cysqfMGe=qJ*Qfl>kA$?}dypO-Po-ybi;fb4o*cXfX5)m5P;Z{-|0r=WJ#eP=$Q z(=rxaui>xvpUwM2qRXpVQfM(HqF=SFg@e~*FiamfNw0pDNq9o?s^OiqtI@Tqmc>~8 zt$El@MGJ8Tv(y^uF8ffUI&ySP4!?N1fBS78# zehF(T7iCE!g5aK53Jq`%(Mo+51{aP=>6WeSA|+Bxk49@f>o=5=WO|PseknN5=-1JV zNzs#n-^3yNmZ&X#8QOkxcF6dv*ceIu!uP&f;8UfbFMQ`OY5*w{eV;WPOTQ4FY=jqU zJ~e@~hL&*!p0)5AAk`}7{FP7)ABkUTZ*p}c|D9tRNIx0tYGcaG+$BDYyN`EnExsWL z9*fs~EL10`ADZ#r+7Nt)epTwmoj2YJ3Q#5R0)9#!rG(Wzz86hVO#ytQ@voK*i-vuU z25k^-&1iuQw!x&iInf07#yKTfSg{`xq-*$+2HuxqiC}gOAomu19#c%0T;nlIoqHqk zcSr5a@9(sMJIS_UKWi(jJ~Y1u_5Ssde6Aea%*T4uSbRh;m}k^J=kI59%{0thQMm>B zGAtV=tG#ImW29NjhbA0PUvRp|Nd5Tzjai6LU(tsSKD!ibx=Mk-E6l}Es7tv|5Mf-= zS`V%O9z`?-Q{D*cn{6Cr>+0~yu4?3lKBrk=n}Z4zm3c>_pAGYj60q^b<~04M6Qn5! z&f4{m&3aI%q4G3MjzJaJUJ9GLacFD3!UfcG``-4!jrU)nXvXB&o{=i4Vo)R4CkN#6 zrPNtMs96JEk7Vj;GffvOYX*OBA1wRG(Mtw|S-@W?n0NMzw2SGwL;mQhdF+=$=vnJb zij@&<^b6x6Csz`lFcXmPYeonkr!g&Pr)8YIvzB|l5@v5&vAn0sltZh}h5BH>y1F** z>|I~{_YKjim)rzpGEPJzgSJ@woPHfv{!XjjYHs%SAp(f;&0#V^H=o-7A6LOSqeS77 zkB-yj$Pg_pDe2t@mVK{dhO~jmrC%cnVuOR^wCyoLb0a{rhO}WhedQHumI-izRY*Cm z`}({NdVJ`khyS|aI@?8yu=)zhhnch66nzLgli5Rh9OpOx9RZn%?*f(TP(sWqt@~^} z!`mO5XJMYZ^>ik%rV$5R>^rksV3_#|l;IW#iLl{>UnVQJgtIY(IT-<5;Q>J9ZUqqd zoHlbrGiHLQtto~`U6(Lw((7+E0MYvn!3OHU_|{gj-SI<`iwUBdnq5xhOD(Np+;>1A zwMFfSW|#b|_6cg+6u(!Z3v|6JQg^HNrDoC0ITuMs?;*|qHZDv>+f14B9%$w~LrMxZ zm!>)qY!!cqs{#ZkP2-QmQ^lCM{iBNwIF0TFT71pu?5b|9pKskfgS3g%Pj^HdR?upLCaBxB*)jE0RTI!n+JiD zq;o*v!aVbT`{SacDc0YFI{?^NxeoyFR&z))!KH~KxSQv!o6xlQA$qXfw4+U|KZxL% z;Bpoj9ZmT1Mpsv|-$aBqxC3){l6&8K&XuiVD?#B$kxxA;l!5|@Z zU*SM1Ee88x?;|63*2?1V1ZT7Lhg%Ky^@?svSL6A3H{z37C{6GH?;87lI8VOc$LkdL zB#rx>{c^)&9A3q8n1ZWSP&VZkY^vE(*sV~C0+KZcZkd<2%qS=2P)nQWVtZ9?}SNkuF>v&pn_05i@4df;j)|YFLS8Z+LKp zKQkPKHPQk)NAYI41QCZlF|QVF#JyWG(k09mF8rhAO2Noqig*U%m8ip2sxLN%TOp=p zb7-zfCEUh{$+{a2Vt2Wn0FUtt*;`g-+`e#e@9m97zgqd7DE*c}(f;{h?-4tPTKP@a zaZSv}nI{g_qSf=$zK^g^;M=Sx_HM>s!^*SFW4r*v$fUt(|xacH`U)6b~bG8sF z@c^5V7p0Wt1iMe2MNqH*py4lxFTxA|4d9a{zYJ%O<%wPlR9{w1J1w}-2+-`@XS0K%EU4~aS!yFe+uDAXr zC?*TTxr9&fdp5o+cf(TD%d0F; z35VT`UlA4Jw7qgvBg**fE&6S{&5{$0m``+AUT0UH0N2+-jw;_IGK0*Ad%#Z!a%xH} zkx!pj_?|+@>xmKB@c=c$F_ojKD^xy-oGL??=%&K;y}#x7kHFj>0aJN!(>1YZ+^2`z z9rO9H10in^k(J{hma!a4rHkw$5=fEuXYBs(jYdWl^QpQ~5>jBLnwq-fwK$Dj>9qU} z!-^(e?!U73p!XowJm1*4&*BQUQ5!D5!x8RfVWFxk@oqk>E}#^`#iCtWKjug&7k|#Z z<%gh?X{;W_sbYYJ4ni_3Ib4;?Kb>J`*1(Laqum{a-jizamUcJu&1SOXoX@_}g)YzL#~= z2i|J~xot+y{_wVeRqsi^Sco|HnNzZ&N`&AL#lr$B@@R=nxGy9J{AWD7+WuSS@i_g_ zh}t~K{B40`C^&EvAayU%&CxroFW8j+Iv)H3)p0zvr09l+IiJ}x(DYimt?F_MbUzc# z?1VCXKOkgbpOJxS@V3_0EI|o*x@JBn(;vRBOkEG)8?3uHRarA+5clxBC>yE8)ClyN z{4wS8i*t4)sRt%CC{6muZ@I&AnhZRwopt?>x1^REW7p83SI z{s7OItaDphW0`6ry2mum5qs5pAN-yVjR<_4IrR8ep3YQ-*sl$vb(9&VDjg?YB`J_NK zg7Y#$iPYGj-k%BQ{Z-f5+)`gWIGO9=96iIobH+$B-T*b3DcT>M2*vw!e1If}ZKg!k z2u%&K2;yX2=DT62%%8K@-uBs=KP1QB?MunrXOd;mF4_!=EE*}j6prkBFgxL>Ffm_g zFdL+&uv;IYi_+aaREUra)NhsEMQPFe?s;Ptde>w*cg;^Y>Y-z}kJ`y)7=k#3jfOps zqJ=D|+SDa@4d6n@OZWXZ((Wbef43R&(Dta?U-8pLd*r^be(Wu_??ollnuCD{%{xu| zuA&Jszi?a+LHFZhW`!UDnJ|Z;Thcf8!${m4Anr2|c~A`fa))*5LAl=o_e(qCoBF06 zhKX5ANDGkR1nLk(Vj4@A6P&k={Y?9 z9n1U8?k7T_(veO59k_eXKhIrQ=G@hT7oiJJaq6VFo2EX}n@o*g(Th6TtU|#s%#mF; z&R!NodvPa$K4CwHZz_Um!*@;!*w+6~cDZNumQ!I4ItdAs>)NV+1U zB4F7DBg?W!2W;yuIN+YaKj2{v0~FcWrP|C$P&eW-#ev)7RF<{(~+AjvROiY({ML$cGWt=ja^$(9Fj zu6s6Y|M4DnRWd|ecVWc_>Hik*x4WCO;gCqIIj%nwL0cRwRR=@zrL|e z?3dL+Bzu`qb+Y?6S-AVD(B1s13Gq5=fYfe^`F_o8&cP|8opu+uZVXX zhqSymn*Qrb&Zj=}yk(3PcvS@LwZ-ngXPkqLnwUH>dtcc@t5phcLXuY7H7Z(f(x%9gcrf|!Hx1U+fqWqrb1`i$^gC-Y>8-#?%tLdfOSnN(q|IeQ)m2n%j4$bt@cpen0s_}1e8kw{aN zs<1>tCDDJA8M_ci>ROs*9q9sHrxd}+=M7O?Hsxbvn^p5eUlm zY`0S>loiNZD(6*}FxC%n7(-gW9knuOCAuHqD^Z`gTi!OlIu6#G)%%#@{R}{62oJ(N zF)fF^IX=&o@6nfJ$IrQ^NdB>k15wnQ@0QVDO9t;iSV5eKnRLq7SbRf7bmXb+)iSEO zKGQQ`Jr!3(i=S;Y>YBggmm za|ZL$`CywWU#YfrN- zClg(dP`{qk8%qNCY%X*eMr^;52ujigobHNbAwJ6rEKYYPxriV6Z}tmxp~CRL#n$?j zXAtSG2CQtqq8v)Yp*)^Q=085JW1@5qkBoarfgw*8J1@M#Owt{zI}sKg z-$g3(|J}5-&|2G8GRCWFZFmSpJfg{q46Fq}54=l$*hE3T932?c+lH2cOz*gRCU8Zt~?yR-Wd<{aRZ;Uj|+v?9gR@ zAr78Ie(c-eUz5+sGvVd!+JnA6P$WATJkbJfo|Jam#9e`$DYVz#{t@65R^n4@ORlG< z!$Xmn5nJlMPh82#R||RGHPvkT^&y6xOVh(u2E;03x?9}g(*jTb3O3hy>Mo#G>g2=Z zE6J2Q#f;%TriaxHg*b zDn{fJc$4fv2KkLixy~i=?x%lJ|KUtO!GSepzGz*XdJ*S|JO;u_3X_)LJ!khpoCUpB z&Ak50f{PF#3Y6&3gx8yh*$&Q8H;-Y;hUm+*#4@e(g=aPAdz>-J-oKoV5g(Y_-KM7w z^hXMLF^$ubLb-Dfta|GpZ zaqc1~$d;NQL5$8z8$*R=EeuZ~po;y3l|!ZCOVYoj^J_A7AIIS-RWEdSqOCKj^?%C{nwI+7IFn;}0ZM%Q?V-tk7{aZc2?k9)rpn6XY0mWLEBDM}3 z+0+M2scGv}0H6nc>AukUW1uvwyL9VM3IScH`{C>z4U6uO!iN1uZcY$#S)uVL?KGKv zi+g8B^-E!|iFBJbH^3WhvTb{E9 zdwoQ>i6|JoCh|HOd@4Z$7o@awaQzJQ68s+&dQTpH%YTtMc3-!2L*mC2LN5D%6sf@33~BgZ zz95%{>M2lwspDt*r6fJdiGeY6{RgH%j@UN5@Bpsrd$BMv2s47`-^vY^-J|#|xR^J} zSaN5TdqKIuI>BLC2Rth))^0w zHFu_CR`v2)vOfEgSGL;vEHdBDj9%Pjd z=d0TI&TPp3UeK7WiW=JMEe+cI9IF^mQYWyG{UMlZuFsg|2FT8n$KKdoOPI29pDy4L zTb?V&PkocR4Y$zD-(g9SmgrTC^!xf+A9oDGXNo@+r48Of3OC1P{oF@!L zP#k|48aKyn6@EYxe>H_NUE^WQlVqQ>>61y9d!=RceSDjr`H+koYAD!gw@j~7sTOh6bv?9p3wM53 z1C%@SSRUI<8s9it%zzyUXtS(vsq;TZh>drv`v-=aQo*<{tOwlBUMr7&v%}Vd{o6rw zoRrjGlzx|ZJ}mbL=T*~3Rt$6c;-lwoKl0_^$!zVo5_L3v=j3tXai;_t=m8cb=_Fmx zi>6~!0FpX#Ay7kV5RPwcYpga6@BrI+>anyq(EJB?q&=j-i5q>YPui)POMght?R>>u zlH-nLOd!UQm##lrf8T_C6prqiQvC`#;qe*~K?Y^OpZp3wMn%-z2uD{JYO3 zn{k5O!f{zf0h)c3u@^?RYIkt=i+$MCpk!jD`dk_+IV{WY$1-eAbTLg99Z)T@yX1ZZ z4*Sm$H%95XU^L~J0B;R07=vcrrLJr8!L`1u)9gA`!LqofaSH#Ad=s`swO?jEPzS!N zI2dp?*8wiZJmP&di*d`>83JoWn&&*;gKUq?Hqqq|Z=df}Wys?st>#46wSo%?X?Oeh zV*`n5duw|w4uE7d0Va^Ox7LVcClT;G>gMh$#QCeJ_Kri^Iv06pA7nD#ukf7 z-NJAje$`f_&Yd(RV(zSA!~qvpJGtFD?*79-Cp|5ba*z1Cj}3{TKH1j^tbxTo+v7^i zg~}#^|ET{P`MH;aoCYSUEB9!@A@_nWwDoT$Rf%_>9$; zRGEE*!e<^MZT-{fYg)Ik@&I~-JP|TQ_i7Pe+6|e@b8=a^a?8T|5QtNfKep`te52yU zu9ey7Q{%U{vt`47D`8nC|Yej$IbR$hc-fgi$owHH3NUlX6Twby9V)(=!7Wu(N*D{Ac?ob-kk> zM;d_?Jiq9R?Yo>9IN!)_5CD+pbOtcG7YR1PV5-T0T8h^*KAsW<%&Z~82}_Td zNiT{`j!KEVc8LgFDjMy$ZUAR$Rs@FS1Z|xE)sW@2wOK0}eYd=smjH~`b^ZC}w_&?O zKCL;IoT7V!*LY}K=hcKR^PnC9hA`80JKd}t|6=T0zmWa$J5*Mg=40?*L0MaU4NO^ z{;F5ySj)?Ye5}1zGc;Q{q7a`l=`W$n_gDXW*7y7!+P!G-1m{K3Bm(f?h=s^vH!Wr? z!=d+QSgnUg^dxH_RSJ1thnzJObGw=++=~-lbm3ocoTmCQ?Pm#>D(c#*6kbw*9?J_# zr*sHNP*dPSZ#gz$rjM{gm|bj>wHzN$*S}p|cZM0Ly1>ieRep2bpgx&W1SnG|`z|64m^Y*OoQa^(}^U4UM>T(}p<`*9^y)E{7ruI+&hLu5A za6A6naf{>?x_2Yr?}$LmTIi3;K#be;&h-05nCTq8P^6NA2cmW50`HK6liO5 zNDlFc6pRTjInr&Vh_Ge7iN+;LUFmof@&j6*e|7_5RkHG6MBlx8V*dN5!oNUCa8O?t zFTw8=4=NX>yks144XNJ0v%0aPm&`pZ%;nJwOiS7P$%e&({U2ZYt>d>=Z$ocoI4fA* zHsHiAqAyE@T+-EfSoX{3d4-bwk5gf8`$^wsV>t53N19z}N{IbV@zBz7t*lWX8NB~Aac<{J4ib^BWn?R7lsQd|np+g@G2|0a4e z)L*#&acy=r%o1X;gFsV%m3nCG#}>z_3I>_V2KJhJ7*uG5U`sLQ{x5| zb{ccMlN@us2g46?v3z^};kvjJD?!$cyjsCoU(j5WS_|x$`Af?2KMt=Fmj=la)r^&f zj4HH0Uji4q6Txg~PfEGV2Hg5~&s;a&>PLl;FLH@=P+Fp>_M@W7^+%*>s0`P*L;r05 zT0zf}iMxZw&~-&H&FMsAz!th3eUGGqP!OlZpUw_(o`1_N?qc5l_CS@`b{OZ!U^3pQSI=+#>>^SmRb~OAZvLk zXDnm&4ODehdaHY>T{q3YHhoIS`smrBDSRg{d$YCzviP2wyWMbNW$^>(a`7KjH|Zpj z#Wjk~+lV_&JZ)FgQoY9Bj z(H0{Cepek`07zsXFjFe=L88-^Mr@EKN-@l*H3TCgtAAN7&w95e4>C8?(H%Y4@+9xD zi_X*Ozq?}>qAK`a4(RjXv9zsd^Sg*M85=0u_?0?e`BxL(z-r7hs-%?~B#xO`G!56DWA z+LP1K2Ql-x*vm>W7S(uFx!eMTq0zs68)D%37B8$U3ai~J*ZsDt|I{PW98M+(EMMMD z{{=nMRHai0pRWo+_c6hZr#|%+kpOKVBn<61w;RR<2mze{0 zfFY1WCNR0sfM@2ltmSUR3nXhGcqwT$AR-Dh|5kc;Fh!VN-g3LGeni$2Dgfubv{b+P z244q-bBkPC+&A8a`WJPVtm1Qrk6xd5;U03aj``B9VCOq54$@>rJZ!L})Y+ZVH$8yk zbs{^~yL8a6SSJOv4VcFmcFrC_!7uoAF>hxS_*JK6qIVxgMK)8aNO?;)Nh%jBejHBa z4>t1K;kQHL`SeQ@JV>8=__1Ds7++EU^DO~k;q)n$P_*{+Xk?}9aWj4xE0 zIJ^VGfn+>@f0)Y5^mck=-t6OYi&^eORJF{M_3SF3h}zv#u06%{)^|srbz6NdiA%Ybzu(agv44 z$4P=Dzv&d_+UxdqV+7$+nf*3M+A&1oHNhG`+X%bMHb(elzu=|B_=eW_{kgGp&H4Iw z8}7|Zn$edWeAlhY(!BfRIm0B?I@?beN}c?=yhLb6E6c++vW?Z1A}Y=a)_KUI@?e&X zK;{+dlChw_0`eKU9jqAc$q&%W0y|wsYhtl8-KOpXl>uuTDdL!!$sgQyuh(NWufDDB zs3z&fVnK8rcV^npmh-U0$w{$(qrE$R>)h>GYe@>kuUfVDf~NMrh(~buLic~Rzde=o zuY+bqdLtj2>cuUFhpn@M;Xa1|xRc^#@|;1BBa z^NgoGhlsBWp*^3(l5d-kUa5-lF9)}F5K)!T@pXTo{$A^tHuWpQVJv%$H1!xA1j{fY zRgk+JRv9s%fS|lc6j8s=cm33Ff!g=1@z&V&J9UZs6$*1GFPZ*kAaHrJP>Y(Y_e%sO zd577)x$G-r1e?v}i(dPFeM0EI&DG0lICMGB)-*F{BnZlP&%s#DLRD9Gzrs(27>&TE z9Ig?1%WVLH>pu@u35+xc;#>=7c8^%x9)i%8f911{ct~6YN>%@rgxx20rewTvddtg?xrB2ijJg%)!T|A!}Jgh50^^70mq^WtYc^@QtI z9&6GUzL5AOL$^H1TM(Z^L`?v|Cm4tDR(A~9N1l>x@{*CCd_9Zg7>$zx?q4JxZErwX zk?B!fZ=Mv7`;vqnV3YxYi`xrZsHhEkM$jED$s7xoVR3o&Q`{5L;AGm-U$7M3Qn6tu zgv^`LqNRWiYFFMZl^#`6#~@upy6I2itY$@~?o7(AU1Xs{8!3wd<0&w}^&$7t?cf*pDJrBOVEn zylB=egcvLs{mpQ9_ikPfDW+fQzfFzXnpQ>vtPxYrWY%SxijzidmyL6@sOGL$+_uS= zlABpib=GeNXm(WcZK)Bv35R!JB$JnzT)zFp*cB(e@Z>-)<=&5}zkSWu$Hxf}MXe~2 zufCzvkg0AGqH@!n@P)>={5rs{b$9-_kwedfhV(8LX?}mZXtFgI5x2-P%9aB9uRn7e zPXD?FM25jl>CJ^LsP17h)^CgGZl;xCnU!duU#P*ZSnF`+qcjdpwi>`+r4A${Z?{!zzTNCCS+;A{0rb za#*F3<8nT42_dKCRKm(3hp>>dne&;E^Ks5|*vvND%uc_(Ki|jizx#1N?(KEBU)OcN zUf1<}KCeNN4$H?s6rK|(ic^loR>F0gNkOj=A%}4_f)lvoD8ycLzkRjbmFIOO7=j3>w#)=DL4Eh53RBamQ0w$<4W&N3`_ zCE~3^L(DtCogeHuoh%pX4Gw?OLersL|6LiBGraL?Xy4%hUa6G(llEsCYK&VM_uO%05Qey5XpMh~q=Tl;J+T=NSCw+7eWkt{JsW;Hs}__K?nD zgogPs&QV_?0ehatS@8&!=}BY4U^`$s+XdvxqkI2SlBt2C!^zxx>mOCGO6cpte*A=U z#YeRMv;`lMN*lxyh6>-XM`WY9nxEC`e)#UZ%E)_#m|`CAR_>ZL4o6+|E)uhLYwrdf zp0>e-QfuR#@8#`02!_rDDs@weRi|F4waiVP7I<9gSZ~PV38-d2vA{>+AkQ~5WEP&g z@72GmxoG^#0vf_c4Z`HV-y#?v%q;R&kmf0hoC9#SN%)JeB5uqwNS!1 zZGp%;?pXn6&w=aq0bTwl?9|PIwND7~eY26bVvAr@=S285ul&!ts4d2d=CbZ9T#JH|YbH+akt< zrZptNUiCM)QF@C)B^u?pmh~BPw5g}Q+Oe~jvQ?U4RKi>Fe^ij)J!H+BSQ`4+hO zO>jGS@If~d_UMp-PQJe{-pU0Y32s?mllqt_z53cQR<+F9hGK()N2`Zub3)a=Xj}td zy2%{rII&lU`SS1H(m7|I&Q1>o&AVL(z6$ONQ!lg~I1Ka>4NfPA(a-g7Tj{lLdy)&txZih> z7wtM7tZf3ab)Vh=tN?iBx4YK6`Op(ogAU_jy?+yt9)F0!4kXd~gVZq$NCa#jd|>i> z^46ei(JLcn>Slg_cRIm_U@S#gz~Yah}Q& zc7-;C28O2ehWQ?Q>ZQGR5-N3K7Rmon0sauQmL+`y9ev??t?myX!hYXF>SqnW0q|OK ziZYRlPIMRc-(4?jxdd)X)%fy53*7PE!t_u%c|t6AUU_qk|4}n?$@-z*2VID{#H6yQ zj*TYS=5l*s4n>QH+>thyQBZS5T!XbTGq+OD(C#NaZ@l7{;o`kEB%CT(P7ON>))|DhdI;L993fy%Lv^!^Il)~Yc2-DWT6eHQ z1S+L3bz|ywp{_RZ5A~{W2mUc=HUH;>;Q*KN=W<{?o?u{OVYSvty~NGs4fQ8J)V=$?@st&Mh$Q>4NK%2vus>XDZzhF!o0ImH^I<9Jmp1#}TI8{0 zM8bJA&(S8Jr34HJU;J+z4W!6UG=Cp|4@Vo!k_ zmwuh~@2*T`MTs^0rN>ub02Fs}?=?OkpdklD?%0$LIuC7_T1a5Y425#l1%PbgM`8Ut z3OcMO66I*ewk*B@Yd%g1Z(ABf#0hZ5_M>OH80rVC4gCBpF_XSQ$~1q1tgr`$_tyXQGe9VM`%(efYi?g! zNc>02As%t^UULB}_?lrqo(~3{IUrta2EXTVo+W<=Y1gSZn)aZf9&@hcrmjDspat;cv^tyULB|kro{z&-Six*mgwsp6zFraNEK%+ zFL?LQ@N_GRB|08s-Fcc5Ru=)|F+t2K`;O*{n~yu9#BIgM;_9OJ+0G<*_oV%5W0 zQJJWy)pZ5pkrmW(z45}ecGftLP;%_64(ya8-{MT}L8k3=&D@^9%VmpP&}M{c&S(sS ziWc_m{7_NlQ?W@$n(FD|F|CN#R-%joMk_ekk$PIA1@of(@+=n73|?*BbieNTvH2>0^{i9b}ig zXy%GBy!w$W`j7M=_Nmrs0e0qpX6%}B-6=ah1EC2`ic%J!n;_q}WoY5DH*M_BPNy*GK@ zGk7Mb!K|ps`K{T-{bT+2jpO+^D$+p*(67+@w}-}8ce^5t52Af>FZ4joy}7Khy(I( zp4^QRX>C}QL#VWK=jcJrC7Rh6amvlUOu1)@=l`)q4Lc++g(zYwO$2`nmenzDTuO`6 zfU+vK{Z~mFC32V*Bc2ccIq)~rc~JYT=)}e{zr{c(=UN?{-)5PWw>yl%(AvI+_;l(g zvi=<7@#9)&VtODGFs-?F5I~5H73w_mT7Ct4$QK3UCq-La2G~+RJ~XMk0F^q(x#sHq z>`pk?TsxPxjg;SukqXg}o2vL>cme(>h$g$XX(jdmR;7k#lo)Axfq@%wHV%aMCWX3%VzxSQkKNzY$(0LER_E82@$I#COcX}i8$5C<&IZ0Lvv{vDQ8 zEKpD1Ijow?laoXR@OUu}cNBTDy=I!pUK6E>LjT3ytY6+?eI9NNjeTO^`ibx8OXBY2 z-yQ6;nKBDE+Y-~m@|zdCOz7qs!jaUm#a3(3y>ekjq?j>jJ&xi*#qyzzSp_;g*nmi_ zPJS4jBrH8KN4fvQc=LG^@5q2wP}mDE2Aa@9W9`8Q87O*Z%XKWe8%fXV-g(5t8a=Pg zc<4st-^9uRN@0St$-RuiDb8;x*xH^XOF{$>6yX=SWoQUTbkzXAL3{iFsg5$L$1FDi)_Az0k)()rl zR~xP_CM?IUnV9d$S`R&U+`o4rxDN|-UWwhf=e@QvDNxJx?BslnnVGsfFcoN-Z%rJm z3#-_UC7TLjO^NT?QeURL%BorfPXaOTbc@fHt}b=T`GjH}zX7Wsg4}*ezp&jqyqWeB ztH#U?zHN238fDP~`Pw?Ges8;&zbZF9`5*j7TH}X}E}JeR!ytzbl~G-YiJ)|f&E*sU zuYL!cZHND$G`_HhLUhvf7loGQ#xZFpRb(N12r6^$dyE&%(}YVI&^@zx$iioJcAHr* z1-m&A9_=iN}_h&pd6y9=*ecP^>83gys3q&lh388~q62ax*y=wr4l6q~;8ig?R%o+VCM89-E zcA(k%AGC&kIJ(M*^#K*Pv|}a*UaVTyGw0=~jlXX%ifECuPcDkE+vnne3s{SPkS)L1 zR;15bSO1*$^=0e`Nn$ZTeJVU_DQE7BfBd32*>z(rf`zUyh}6UI^3u9B;KpyVVDj9- zc(&>ey(2CWJ_LYG7fLWCNk{Kq=0S&h5(NzAzU+1eDaah&y@cU|y9fa3R%70*gW=ay z2Hve2pkJ!nKB^p1z2?-l{^;^*)Y`TV552at^1lFT?FLDQ^O89dpZ2RO%f!4dEpb!+ znWEG`ZNSTmvv2wquYUouc4Oyrm4`m^UTU7oxg#-zjCVR2gYFe#k%_=0))S2mDIP|@ zMXp_eEVH^SyK^(69n+b2R??G{!k?(GWiC_Egv_nYjri|(R>8x39ly^d|1=SL*5w3Jh;s%|A#TlMQ67w0Ql1g(JQ`w zTZA2S>21h+LaYaV_<{Tb@o53NGFVXl%oMP$bY(j%Ts1JBPkUUE>Ulcv3r^1x>4!cP z7@#?Ce94q>USL;`@j?Izd5<>D$}!Z3XIg=F?Bd(M_6>Z_e-->8nE4{T0H=8acI8;C zr}jpk*{_fB{+wI~0ZHk>kLus9YvbXso?Gx_+D%vBns#-(V&pVan{+TNoO0~n`qYO8 zeyNV~sH$AgY&-nN=a@zCBILu)lt;_o^%$nRqYml4?s}Z^XN93EFYe_>u)dh;C$&pj zmCH^s(@I|yoQd7;rekR6X+hMn9-(Zxm<@>f#(+a~Qs-+utrQ%&3{y z8N-CN54$(NpU!8St?-77sbl4F(tquCa#(ShOnplZKgy63k5FqrK3Uj51@vY~e|G{|!?AW1^Y^CjLlUe+-jVj9_)rTYYf9ZnPo zp#lkBIZB)%oYXg&eUhdk{b|Ep&$~w7W$c4BcGx?awOyO{+Qqr%*WlzT=Os_Q%{Lxu zd$iu*eruUi+B)^`ChzmNKhh7Zu?XKsf|{8R1~S%k9`X==e=~$x68X`8dC9_eq?FHC zNxuc%tk7pQw$c9ETg0Z0xnSVG=2T@J<~zF_8a39mn?pk1|Zx3-|*foru?!{H&X|33WW)#i!#>ehw$= z$LpQ~g-izk4rL2E*dq0ecJKkTk(Z(3ab_s`(f7deK&m-Uj6g0)!Qxe9luS2w_6GK_ zLbfqaiDoh=F+`g=KAj)K4P?gFhxpKlc#z(GJG9hFwW59wJui)%prenX+q4L~bwi4X z_U}Hb0i&0{y=JK92V6P1E*)}{u;v;e|0;4SKwG7R242TI+zeVy&?}c;nTQhh)7T7m zZH@vu%n5~glxJ^>?mD)!R;T)r{OwJ%K~B>;2$DGLtoGvd`*Z|@6CMc z@v!0jsPV$~7$MZl?xo1(MzKwQNzKjdC%~YHipEHv5ww{n4I734#$wbYd z5phX}(K!`Z9_Xf$^pUQ`YTFA@geO}(xhMHTvHu9Sxa;Xl$G_NP^}gCsH=mTAK_u!q zCd*WA=4!24J*#2gPZv)pFvgAi9uz+YwHcTKp4LyKUe-$(SRt%@H>}rRlgOrh zX}6KXeb!gv6wE!SbF_H=dHo`-%}aaQkD(Q#A17C(*F)<{)#f;r0kQ}+gR7Cr@cEx1 zt{KJwK3@e!eg}>)GWGN}eVb%D@NBRp_(Z$GCt$JlE22|IDd`fH z&|$ZXM^)WB5~RF;tnlm0bsp|J=q4AIXa#!JT>Fc`e>G4Oa=EQpn*n+|gmOaV?Ztlw zZpImF(OiGe{XWdZTu1(@Y1hH$yJ2U`R3`S*??5(-ap3eFm05_NXQ*zPC~-J5aLC+@ zJ{0onhkfktYVVEq`cvqq@38!v({p_cb&|l_j)DI5ZDE(w1J}G^9V>3v0W0+r5%u(*0$Q0d-wPd zNoGny;d*ZeA{~MR}f8)BjgMIwo3MXM`AOmOu zxBP6)B03e$Jw1i^!PV|6Qy^y%8UOm)q?xjp1} z-rxY!=p3L7DK}rbf_&-5+_=R}-5KA_)Fjd$Ddd4tn;O-PLOd`pxJqQuYA-}-q&ipc zB^MLV>+P69DQHk4q-Bry8W=v^#J`IH8`pUt77FgjPJ1v~j=PVWe2evESAdgtgoN@B zV`HDaw@Qa!F@_6{Cep*+LWu7Fzq1o%e6S<|;LC#=lCkk+_FYzA z0J4nDwc%^}wI5w;b^02bJZ@(;3O1hO@I~=h;1?5lTa5#A3JRgcZB@BqJSrmHY2JRe zW{1811vuo>j$Oj5W)w>-#B6HGCmc0=e7*j1_knNe8!D-%bH#y>UfafYz;w=7*H5!YJ@V>J} z-8Jh8xkd9#F>Y$C#df_Q^KN3J&F4#L@s?P#!_3oGxx_beG1c($%cZ7MvK@g5ndd`* z=H<3F^H``j@uNx?TJS}1!w>t0)*o@cp{(FHH&NDNP!rC6PNdNP_51k$`i;)pmw%bV zlN?B0@@#>9GcaZbI{yETS4iu)-unx#d=<%$m;`DaMYA)QuWhmiX_#z|a`UU*vFV=u zf&W|WyjT!dvr_?bcDgvdsN&1ymmZ3ywqbl1Z*hg$UzO2}`9oLvoL_4H-)4^C_seX^ zQDct# z?UX-$-_D145O2=b^F| zuvnhP%OB66mjDj(%?*xreadnYAhFcK1AjjfG0~Z9aYdkg%4#c3JHV9OZ4w?=<|zn! z2~297sJMSsxHIdpu2tQAMp>G;+YhAySW7|vq_==$5QD?20$xX4WZ$IKkY zC@1H?LJvv{24M~a%tPW&NUHLr^UQ;JfEE~9O^9HSb}il!51%f54`fbWK9!^EukRgs zAmH=w0qGoF`gwe&(6UmTK zIF{D@tVOd^@((~ip#E*I{*&p6@_j(6=j`JHHm3X4`qY*daCCo%EuTwYcCJ9TgQD)| z(;L8JXSi`3B`|Qb8#%Q$bVR#>Pv)v5PcoXPpaOInOdB>MHUB5%;U-8`gGi%VMQuJ+ zewkdAMg&&MG7)uo&U6kZpBNna&f|K^8~0CT124*nZtuN ztuhd{)8L2;E4B86#9ehmvtVW8zxv`skXNNy;CMUk0!LyK0MC~Aq39gK6$%;8H7Ox) z4m}u=z}O=mhyZ*%Qf4&Ywrd`Oc7iFs1^tmV8lLp9{xO((oZfFYxp%-=G-KX-lM@ z`ar5Bi+G)O6fW_r7kn7BSD=!Y+$D;tLV?pSQ)xOOi7d~TYGC2b*BD{8&N=Ps&gmq3 z;hI{}krYn#5z2 zglCosNARatFyRS$>#^#Fv2!@!0uPy;>QrHI99-%7l0!9foY9OG?&lK}zy!_>uO^wa z8{|Tbal5hj`;veO?&p=r!}&Sm(*X}?g;eBlse(K%dn8D`ouXn63)|d!Lz&3#swkg? zf7*$gx=jw!xO`+>^?d!kA}lCHfrkszG}o1rT8{g|5yglkPimd<)5(_K z<;4Ico`F=FchJrhw{o?euB~Y!k~rgcsxU7iZBxKns`84&$avyKDm%M~B^?Q}77vNp zgK*QbSX7psu7Ye|wzTuoY34Jn)sKneidjyT6AfG-COLR?6~)WcC6;_yUu{FCc=`K$ z+T(wstgz)6u;eC?UnTtlI<>NXK4?YaFg)pbCfFq3b8L|>B~hhOMd_tsXGorUr)I*B z(rXDxavCtoa%UAH(+VF-m@aj2{liI>Yksz@8jQ_mNuIH60VXS z5k4(UiHT!$r6&TvyPwHn;vzh@7M_gM5+JqVjGhr5RU?VT09vMnP< z)Ve7ECM}@^x}vp&=aTM!2=hVn2~jTcXeS2UIYgtKkYT}IK!}CV2K60&l;0FMxUrVh z61`FB-N=mz7#>odWMij~5V;o|0eU6OC+_x2_>MhareH1Nh9o%)(&X>-dJ0@yz8<4j z^;?_ipp}2#U_$mK5wV3Aud+>l+C(IXyC?A?{i<2uO6I3W24^ZLU)#%UeG#h}GMucUQQ;;N{c$!E*^&RD^} zo)jDz2==}zU!MORma#t1jcQGB)NfqH<7N_efteSpsab|B3$Ja!(d7UY5;l|Lsg-b^ z0~vyt8@t)&lvJDIYM#ZpqVobuL)l~=BWiQv=+~99i^5(bLnK%3;emJhA6$&qob<^T z|54-OGn>~@;G~v(uDt0DSxu9dAJ);ttV7@-pkXdy{q-p=aPNZ^NU%2Z;L>ltvjc-1 zyhL9@Rzi}2Ht@Emy*Uf6!70IElRl%c(J|X3{W|)>Yq4W1p)3sv9>;%u_&BidxPBb_ zdy^2OGdjV#iKizW#(a-7CuG_T7qt7CGR|r3U;e3Z-K6-5$pRoa3O6!$^H||{h32l^ zN4Ob5k8LIqh2a&ALdmqA0Mm@Sr*z^uLM_%gKyOA=U&yi zsl~p~1*INf^9d@P7Ht2?kM>ymOsQ2G1XwiWu&OoLiCNYqiX~u7g#HF*}e#b=Si2~+`rUi)h=@=YcV{pRKWjN>ZT&ht+TxUY8DVk3A zn=$La^*Tu(fL>opFuK~q^B8Y-m{(?=%YgDM+umqeqCV`#j0oqB=4&%LHGfcY14 zWhE`A@`wj4b0O-se$u4zHh@=UGwI)qC+CaIzNce04mDWFHs;CM#`y+$6-~3kaq(`N zIh~M~dwO~B6|jFXkRR^!UlGJhO~A@eG7GW18j?=`P*}ki)0ggrJk_8U$@uK0?%D+& zpNSctd$Yxw{0mR~Hdj7^zT@=w_2oXuhbX_2SO>e?S9j~zehLxt9&uVT=q{-f=op)- zF<#9dC%?_ykjoD~O=q?(C=>aEOiXO>@O=9G0MHoEg$Np(p25|oCG$D*^y;NE-NyQa z7l*80b5ar)C5Cq)rXCrG1rh{!L*@E7>Fa2qy8=ee_bMgEIZj^Nz>RH=XWAwG@~vV^Mt7Iq?nqhrN~#>u-HX zP5i@)=r&2PgnS;2{QhjbGAI8S1dpvWc8aMOjyI}^*iEeD)x3zaMn(=B*bd8Ka`B(X zzsrEnFG~CitW5q3ftjCCxbt|88ospRlxb)x4W9Pl&;p*;f&Wc<*^({sfuZ0d@F6YW_yb(A&|&S(o8ue=larsN6O0K8wEG zSACBXHlIcYK323C2YQ4XE(z@9%P++}U|QI~+sH1;Lpc$ZX~GH^L&8MGX2L{it&eq; ze-dZWI)Z`z3s+hJ^+(#l+Y)WZf$K%nGn9U=BhhaDT5r+bpp_aQ-(5q|hy_)HxY+ad zLwk*Z${onMy7bb!;EzQcG96;z-}Oa~M}7mX9^F$r<9O)y=iQSi{cXPpTWtE{$BLp@{6%4rj8?ce99#g8Cdt7F@R+LMw+wf&w`NLXPfv= zE#_MS9A%r}43FQ0bW#oFir)ope*MMghm+stEfE|fFhuEytpxY+Y)9VRFh@AJ1wqG zw6p9;ypD8Ufq<6iQx-<-2}xyW>|UA1Nk~n;8SHEF{ zoI+1}OYl-5Ain4S8{-C6!wKA+292JerAIzy1OV_lkxvy6eWrB;$Bknw(ta0W!0Hr^ z$R+<~*L6saEexjP`sDtjU-!?#XLKW9yPE?B;F+}`y;0`xZ}i-6AKn<4(Py68@lE^! z?##V7yK9NiSp1Hi3HV)RR7;`V4V1wiT)Mv{8G(L`EA)NZG3;x(E18EH?-`IqT{wFv zF=cO*`Ucp8K~@La|K788W7@hg#(UhyO^NI_um15OeOZ*oV|^)-`l67^bM_C;Q|@D~ zJvSKvk5m0E1x-}qR2vi9$(O!OMV3#mHK8wTa=W_Oevhk0|X!swz2vNA&l#sC`# zDwIm3Vul!&4Z8ZItAU`gB?nw07_9HB|9T{sAzlUT-U5XaVM-=n*s0!yqpF)_|rZhHEqrzLlXd{ZQkvA2rfV zse)RqZ0N);WOo)&MUPl8x?`egx6~`B4Uch3jRn3D)W1-(-}<}Nz$?he$m?jz;p;O4 zWNqJ>)66uzzJz%I~5Tah8F zC9sL>lHdSIuN@Y6TZfLBsLrpbFbvdMhkG`G{>?cuy#?#u;Hx*Z0shn(hBCKP<~F19 z>7jkLZ$iPZG1D@>&z2B@XFA*hIgRL^UWS|pS6UvS4M192j#5M^x1#@MA$ykPb#G6; zIBNgyvkQ^F`R;~1anXN!*^W-&T~Z$ zeRLRgvHYs#No@m5jRe$l9-$#+9K!sv6}4D>lKyrS{b*FLYH}2-4yr1G|1LW70&8r^ zef$UmoabV}w;MOGMLh$6rqdMI&%Ns3*ix+I2o8OUi{w3u0cKQF_EVvycD`u0@lyKH zZK!~R)bF`ry!GB_7-dXt6Wf$8fGd&wuMG=++$OeYV`iT9!V1ItCn%yWLX`+QGtx=6 z=dR+#Def&IJx}KTduEbPE0zAg#x#!YJmcMZJLdx9?j)$r0aCFZcg)mt(9FpvWqZ}- z(j0LQ>9T&WA$;QkWCpr5-JAhO2f(^ae6VCPylbCSPe|_)xKlINpcWdSn>G5^uX`D& zFd8!4t?G=-&QxLm)#2|deX+v7I8a7Sy?vxuE7ln`-NrWkm42GZ620r~vYWkpALoYJ zm|~=psrkHX+}%jxMtAUG|F#-8Pc6A#Ds;P%t{-Y_GU~ zS$=Fxeca*N42g@B1*okfQH_P};{%at!j8DBpsEYw79m;6J&`^kn+W&ZtL`0O_nAcz zfu+t7&Fvrldwj3CtyP%He<8iqezyYHH4tOy~|QD9seD8i$V+0RV{Bi)Fj9q4bT z5LUF>xdHgYbpZUfZUVy_I@jzta1@#TJ9;VYnMMA#@62*TJ?Bm5Z7S5f@!Q9qwUAHe z&bEJl^Ljg(bu1ggyw-v!Y#>b=HdyUCics^na&`f2LB(6wm$FBMlQRqVf&rFr=dAce zy1WGq)j{%?7_zsa{N;==xOYc}3;MUsXAw6R2z_SH5OgJ~J=7D=^yT>`-(Lgh@UIFH zJ4u9u2cFltR}%zOpK6T+^k9@w=({`9?rH~Jm9Sw@`3-|v$hDF_$*Rbt4YqJLoNK?x zI&Wz)Ou)3Y8%OzPoZnk{D3f)Tj6;eq>3uSGsJg2MPkj>kW0!q8+YW1Li$xer#1-L6 z4AE=uAN)1~4cmKzl6`YFOyZzQx{rW81IZA}KqW1qaJ_`|169eu1a9K|CMLS3CT=?t zc!4!D@b_0>AzCV`l`}%+WjI0DaLJ%KTrG2TmH$o|Fqmm+{HM8-Q zLoa7%k8Y}s-!m0HN-PhyTv9R>ehohYSl9ZUwwPJx4wG5HP3_(H-`IPdx~}H?i`>e6 zubUBs*e$tWIIL->fTvtvWJ%6{ST?fWnzj%hZ%zsaHco4LKSL;p2QGL&2Km^#I3D3f zO-6xAa2jGHW=*hgMM*^b)-2c?K6cMo(V>Lrkvon=OJ7S!L`ss&kEUv zd#omS|MWL=$vAFVMqr%V@J}wB)S+yzfIO${8_$|uih(%lsZ*?F*3X6O93}@JaL%>}# z|FajyxuMfV6}Uob4nTw&kpJ|L$x^yANZp+OOa=8Pxua81R~;lUTUH$GoDr1VG|e;~ zrahqM@8+#)D8hr1=Sl5t!N=9(gEUkj-VzJA8$o04@1FVCD@V3iake!K!CbMjQbW?6 z?oP+2o*p|_7Pw~ba*TxG$ps3o)*0KAdmD*gpHugCI-bxnKVMWw}=c>-w z)pKaNHDyig)kYK~I@105Ua>`r4^LH-!9@kSxt{D4J?-+i99Vx4Q2h=A*a zau1v*IuTehEd$BHM=VHWv6d{L+?0<*C|oj>d#gn_S*nQpu5y!dG}|tD4tvi3=akuk zl6_V5<~mMfsSz8FJ8e7WfmLLTu&zYFvond}6e#k1$HC-~0+>XNMJlL>Yec0hqPUqg zFiU1UN4{*%bQH0nU`5UC0D;bt`HuFM(|&GHHWYrIbveeJ&S|S_g^H7I&ng&^Zc`Ni z8@lbp&S>h+EZ>Ge#lx_?zgl@6#PFiTVx>n6Zv~@T&SP2GWl%!e#}cb+`Ep>q}2?1fuueF0Cm?(O|%H z+(*`@Ek@zr2hA1=_pJO)IdpNJl{b7Tz|j>~@FJdysAmkEAmf?V!;k_B_+=IUA9(x4 z5iI9K*#NozbB%OQ2-D{!ETKmYq`|yDGL?}5kzFlQV*QQ^KDhH{GhphHYi^(tgZrfb z18n63myT^oo)qe*8HTvn{8x{3^*BiV&ot|DxrbqyGl{L}4^>k(K*R<$_k zRzKR3XKoTv4SrEkpdR;!@ProZt!1xwxMHvs<=?}4MO}G_i-vPnTjCn0Yjxp50;{c7d8y~>5XFU!5)=fSdM5S#slyy z(i2-iNs9`;wE#An#~QDN@Rb3#_|HZ5n4!)3Vqn^;_OKJG2{%V63cU4P@?aoAY$*zT zZZ(K|!nQ!lY)kU1S`e&HcQSEDMFPp@Ka)kkl|C z^#TEs!&9`gvx3?f2)QjTBj7v{6*r$&PO%$&F7aq<8Ye~z01rX)_q0Ir8bA)xjq(niD9MhPsX6e_ElIRJjcU!f#)V z1q?tG<7d?X36GAptuOG21gl=SLwKh%gw-)wrc{eD2$tu$4T&{CviqrtdmR4_*0sxbSK|$dn^*%$s{@BGZi>hy+XfZlPIgB72WSt?>AeiuSm7sP zZ`%@u#RIwe%}fnZ#zOa-1B%pYqCATg63#}apF;MF`SUy#CYm`m7R6bxIm~Q``Gctz zCuo~2pY8z@FD!E%vr!}Le>behuvv@)4?mO1`Uki!;b>G+6W1V{Yt+q^%0?ZZ8`CY} z+J$H6Tf*J+Dg)7<2ARjgLkjl5x4KR%OfeyXESG55!PmHIF$~|F$p~V~kHo*AkQRHh zQ|b6{NNKD&~}ebEB0 zY~X_1_RgM=7=eWym-+RgoRQRGMJ|cVh284@*^zRb^J6F79V#9%fKY-}60)}icrK<| zwDGFIinaB3HhW8U=V|bg11@PNqXPZdKCKO^6>sR1$?KNVPz>16_QBPpp zMV5fS3Gc$cqOZPjDPF-}*M_QGDR1o(ejBPCuL$3@q6qMewB?`16ayhUCaS0tJ76O; zqv*SP@+FLDRLCvJ(nv+e?Vce;oU9ot4HHrI$G7oIRS-q7SV=7TLQWtVv7_lHQX`?P8Pu7S!jWAR?wn<3(D zjZ$M{1I(G~MBdN0=h@pvr0QyMjN_~#6*xM48%b=>VVw**#MvrdY?SU}jEgg?J1aHc zqGTCk2a=@=a5YM-HC-qstQ-RnW1^*#d4%WA$j-z}WJzYV@wjxtK0hBH>^5dggHG7U z%BQjar1(_6!-7zLIbggy73E&F%{!E0ZG6~^RsFH_@!8gSs^*0gx9e$Hk*<>RIj zkEW5inUpr<0{-ZMQHhbq}6L>TeM!;<=E-p z9Ps`72Jd9o`zvr&7&|-y3+o?y!|kE1a>9bx6%rUoo&Sr?7MQL7Y*IqK4%BuIJpq5d z*)q1(!5MoKON;K%yN<-$Phkn*LHKjOrG>3;1fko!k`R>8Su;5q!|MW#eGY!ZT}0bO ze%W{TMP+s$?mIJ#chA7_FJ7nCKN(g|oXZcaEi1ZDT&?v=s{*aGFM^$)`|E`%QP)aT65+d zSv@UvpX8sGp11j*mEyDN8!B3_Ul3trdRT^j>$e;@S9IheIm@ez)74smrR6F`bRy|a{+iSbLffgW4ykEGIM#-2Y zz8m!I^9s{7TvhS6h^f_lE*e%n8P*0c{0?-@Qj^>Q3<_l720PF4#9G%2MYi_|VsTQ)Q_& zcW#wmoj?F*?maT@SHmZH3M#7m9JDrIIx2fdIe}xE}HfPm!ae5^x;(( zdlk+aTAR<-r}`giJn}cyEtB(_ei;#~jHi6eNnhbikx6$4ndv1Zk1eva6E&}J3Lk$$ zir8&o>-WkzAwv0Sx7s!2`BDi&LCnnVcZ1rG8OMKyUiYPeZl+~Og_rv8^=7KU2gB3< z2zwlZ^{D0K9?E~HUJyyU-{no;y~%n)HM=j_wit>c4);c;nbQ&wx-($d#tC-2L;l!V z>$ZFE{SK)|42o}MHOb1oDn1j59vOK7e~tUc;C$;1V%AUpt%V$@*?TLeAUgpke)|*m z%Dc#*Gj+Uac;(Sgz0s0=Ofl^S|#-jomXe5(e5gA3vo=-pi=CoqFoG{c2%qU7N(sZ+mZD zGoCC~Kbx3HySow!*c@!Q(UdnX)Nk=^lKSaRfRrrDXmDSTz~YrY`+>~m)W zdsAlPDs<{SX4)7IU%S=s|C2g(wx_!?pw#`8>`<?q z7JOm!xZmOT!V{~jw06!nLO;Q7stO`JxUfs`b-2JPips#7JxpoZG6)&j8Z`OJ)W^t( zDdd*Vy}zsXpI zyBEen(iChKA$k@?W`kZHDcFVR6xtMeLfr0qjgF)l$HU?(?dFxuEmu4uYOP}cmf zfy}l(&6lNKT~~a}<+&LOPhT$EieMB5t~c0dI>?bon1+jh*RgHtA61R47NWyZVdNU~ zMUU2pHMFH~a@(*U5uuQ+|Hs)|K*jki`=USs1P|^I973?*P9T9m(BN(f?(Xg$2*F8^ zKyY_=4TRwC65L_X+e7~6t$p^}_q==GTJud$b=9w`yXdZ-uezC!_oZwn8rN);>W!OZ6lkkL%Z)`rkHXsN z$d@brD(qpi9oH?9QJUm&vNtB~Sp%zfzvl$E z=AH(-B*q`jCIo~2g$iz-PjNg6%8ns)*jy~jf}(S!WbBKd)~V=FYB2Tl7p8c*QLsK}@TDa35ove6oR-riPl2HA^P^5BPdHwY zGs#hpGe;WZIIUCK;k)1ae%_oNJQpQKESl;Xe72S)#xjQ3!I$Y2#Nn`;+~>c_6yHrs zXy(SXx|xSplLeiRBQhhDwAXVKaazjdu|X(&dzDP%~CV*XsCZs)85V_a%fR$_B;rD0C`}JQ@wytcbzS4^cR~?Hfjp_0sh^|+)zH;&$gB_E+*(P`O zjerz!5Z23su~;=`Ww7eKD~Zdwr}LD~n&`I*xg*U+Yz~BqK!Ii-HtASuiNxEJBR=FU z*#LUhkWN6|LL|yy{hl}zf!9QNZro6#@OMfXFN;EI)xjQamEW4nf^ zQ#6|iH}4f1Z^V^CK9(eH>6}qtbqz$6kRX1WGrzU+(vI#dTi6&E!{Lp~=M%vHb*5l1 z6fce1G*l2k&2BkCxophj$QRl}&i5owQu|gjWLsaFx4(r}b(I>SWwPMNrrfwVb2smY zIhRUPTY*eMK(>6)}`sU*YNKHX%FNGqHuH2 zIktw|@9o6$-Ixz!txMjkm!NYFgxcQTwS}h=I@K)MIayu%%92NvyPwZJy1iw%eyn#c zsn9~f6StIu7~23@C^CCAQ&#Kv>~p=6t77i5#QztsY{RR=y^S(Y$tqfee{3gni&p`C~z$6h8MI@gRMYe70{svDQ| z=&Pw8Ob|)rEzR6uE_Eq%Twu@5)^)LZ_SAdHVg4xc7O{r={0fDiU(>zJrjcvR<-=)v z7dt*Td6dG@FG25y#>I0kf7vncU+kwC?uhnOyhrzs;!@X|{bMX{D3XrDC7O8PIn|sq z56~dAti=NKBYI~F{6;UY&V%t*(4(wmhjg7wc0Qq|-Z+l+l#wB^O#UE~Ot`Ww>{0cL zTZ-7*=)OacuD>*ON5#HYtFqdc3%2ASBQ20sjj>F2Fx*$}(Im@Q63MyCGpYOvUK-5K~kRRk5&b*Nqx@7FW;A~u~Y3*1xJ(cI$_em#Zp&eRRC*d*11K@&kP*ZMu6*EigZsbMNQo-t6YLs=C=(Ea0gf#%!lUi3zSMlEs~=^#NHr8jR@>ts<8ddm5JoaLs^g z0$jiCY1UFZnAnV2DJ(cJ?$w2Erq}Y@-G|LtY-z~U1zgRFqExQgQ6*Z;WXiI+ci;6s z->y{r?C>-^tbPxNCMzg2v(G6kHp*0CM2Y`RpGnaVllrvGY%wh*j!{{Kmv7{iIA&!> zNG9ET>o2r`fW37W-cNj7$fO*8sf<}EQ9D7;kaH{%A2yS6Ssz77ek$p4k-?~#jxw+C znsONuC8(h|&Y!~hq^dlG>8flI+gtG5IJEIOm&}@S{K;z_+!Xrb{7Y#zbXdPQ0hDlw z$uChw%=3?Cg zJMCEyaxI=PVzRpmw~~p?(s9Qpv0JfM;kF?Tajs?)L(gX?R@AyljrZaMgMA(}{)my$W8!W%TK;%T&2u(J0Z_RS2#anXsT&j%wL_`GF6{Sopk1U9uhUlGh z&o%`!R$;cB&<36zUKUu@mE{|18K?`(Q;4OjpU5gZhqj5oudTCd_+9lt7<0FeBjk3G z@JWrPj3~YUrk_wldG(Yo56RVwnaiB*c^Owt$-*D;@ZJYqGF>k(n2HMFQwAb3g37jK zPIVa@5uXMcu!hjzEh?f#8z&lgWZOx@^Je?76r^lcGYe#VAz z$U34j#TRnfKsC2#oaj|H%oReDl{1MW)mN~Y<6EE4Lo@_8Ypx9SK22RT&A_V6nYD&# zqN&*y7$>V_y+f<@3Nhi-7$8$9FuqZlm2tkwThBk%fbwA(tvL0%iqfq#B449x+?r*M zQ%{b173^g4us97pP;6DW{74((+=qrQ@VECr*(6ze4LrD~TBSP0oaWRoAc9%v2}* zD|4nO^4TkY74P8cacr*wHYI}Me_D+2V$p23H_2=G%0i4&$F$q+Qo&R1mx;j1>#OLZ zDhao~{K#sdRlbk*2&whe9bY7!re5};zl)1dZXYo6PurM$Df*%%4ATH|7XEagL@Pke z>nCOBaRDyH#E}t8ebnBV5%NL~ZlesNOUnC0uJ22hQf;%J{c0HCtrY&kQZnH;<-zT(jiyglI34Uv0u&q@QU)QiSpwHB5g6o(Mz_<(q&p?C0DUKF1O8^68~8{U^90uJv&AKPPxt*MpG3#82oT@5x_; z;E+7mQ*9PlvnB0;Z=KCbAFkL(Q#4&*)n5?#p$})^>ZZ+&S0>Ox@r9P407tK@|f2u3!NR0V;IS3pQ*H^xFq`6;WmZ_ zBhjn=LmbhP(fVi|vBH*xLFMI(DWUS83qrU--TLzt83AdLx(Aj>F+i)m`HRN1UeSl^ zSML0)@&8Ln5v#P#t!&Ir{axLg7MOl^Oc4kS@;3nt*v}YWfrp-oK$eic2_OP84Mxhi z(RPclzSz6+*Q~#Al=84&vqfFXOz^v>NX^HSaSXZ%VcFXYO0>?pzGn>&9~ z8%Dwv)?Q;cK6Spw9FX{^hA8v`I3$7M3&!Bn0STiJr?6^j2MR%GUG5$jg=g$*F#dP{NO1GI~^*U@&kM^L;L=zYUIy(;MazHl!t zum$||pazPRfcgol4Y+XLJBD%pj!NZ0^8cj-xBmWB6jlkA2^Q^QXt0Exn!xs{a6tYhBvhdM=3>_en{vU>p8+g`3$$yU*|2>odw;_f6 zYrOdDCJ=}oLJ1gP*iZjM(19vxEEc{Of$fcVx`pj$Uv{g2S${-siO4U2}T2tzh6UxnOHi*+_!Ga}?#rKO{UQR|0Y}a#O zp193_C;caunuD35?ft{Qb|+d*h!V^c3NTX=!4Q!LL*zMhrr1uBLubm|8Hf+X!qA!0 za0i_!00E7tPy%$M7#bCBF$Z*Ez)W$zeFz2G-q4u>@X%;FclI|*+`cM?+JeTD|3W@Q z38*#@YOegX000`2|FaUry5GgZBCfPr)_C7MU_h7%24O-D1d%7-JPserr~3m^7Unzq z0?#R`_N4I4r*Ws-NeON53OYfj%srI5IT`}6p-_Kk!cq@fk-i|$JZv5E9jTiLUJcmY zX57D``V!q+ith0i^q&??k3<5Q1L!~s1GHIxI{**ub3Zh?US( zW_zEyoO`5J)3j6s#&MVqCu2WvlX7hDyHY?sscN|V1z9lVSW`yc6UUO${#Qp=i>2_k zYMPA^>k#Di=^A;P3X9q`OA z#7_f&@T7epdawrqo3ls;PJ&p>I`9?U2rn@7zDAarZLd}#-%PiXRMc7!4zubZcR5eC z@zYE;4IPpqgn2tiz%c0&n&kx+m$Fp-!bbnLzT=|kd+gZg`=w+Rtw7mIJs zKY3tGOB^df-U=0aP|JOeUd!s2IOYIk4j^Nr*Qk)e&-#9HWhmf|Y3n=AcdLdJ3X8rN zRt#}GAD(}OYv76is8_%XPs#)^D83j|cJ|k@9vI@;>6g7^excGG*wtTKsz!*9$GF;V z@KH;xFajlfz3vA)Wh2_mfn{TBryps^m_DY+`uo5pv_hZK8vSn`?@xC$5*<;#HBvp6 zU%-H)KR|%}eBlSv&;JVaiDuXG8GQRfkZ=Dq);U)M=ocV9JvV+gHOmV6isVjAuRJj; zLj9F@XNR8BHQyt9pj4~m$;ac@ke84j$Dagbgkqx^$ot~fs30NjI)Q&IT~Mdf#S`C? zMs4;ir~b4TL=9eL+P7(JB8{r4?l`J;;#v5O-ZM)`NZ2^AaKd&yGt#iV^9AyU3c_{$ zws~AA*19HoO>>_LQteG!X|8{?HS@b{{JXns8c(A`yE|cVn%a{zCPkl;cR4V+--msk z&o?wqNlfZC-FF&fh$5wy$ii(h`sieLe)-c))YHl*Dc`$Bc+dRH zj{T!Si(7Z}lMg(h^|aLAB#}(S;mQwS%wzPM5FNLjQd&}2Tz^$Q{;()L_pBn8mdbte zRbd+k(ES_ ziEs1;iqi$WJWSUazkHc&??p2-(2GtA>E&oV@RxpukMHV9Upzqwd85Xb%Bmw&HU)MW zC=0!HR9-7T$^TeXO!}oaEOVrfD40)wUBB6#B8DSK)=AM3Lj*JOzKrwi!kBCEXmIgn zZ&8R(<@Q3ZRn=ZEJ{@5(V$892PtV4SmCc`Ul$7k(&w<(9sPg$`*!d5_7y)cD+Cq~p zc38{jzC>yUZFt;eKlFRKzCSRNV;q6E5I>bVGO=6q!?ClriLacrm-|EmOvL)VtEBXpX1j9n@7G z#^*S0kyQFxMIkpWvsgo+CM{F43Yucb84JsqVMtbCOt#835ic^yNy}8P%2Zbw;c>BM z$TQHr%h`66eE%jPxInrjpUlttymcG0{POMZ9p;Z2mH8Uwhi%5ioso&; z32+@G%|h>J#Zw=Rd@s)cpK(^6(~uF&I_#rjsWrv-C{ENp!TJ1Jwc5F6+lsr|1(gbt zvz^AhIvkxe2sv|EAbE20;t~(VN7L4vkPDN99Op;A?WGgSjrWfh1+h}bk7ILMO+(q1 zm-g0$S3ft-wI8o!lYBn1I)2kt*h=#>W(@EmFeEYgGA z#FOQ?zPj$VZ%TVymscQrD8&4?KO=9uHJ2PXgQ^z?H5G?m%&qGD5TY#?Vn{=Rm2K{g1}#@>-QW0^J! z`Adc?Gn`2> zS3U1@-pa|3`SaOdw{yOH#TM54!fGKuWff6AKQ5jQzul|AmUP$T*EF{G6~caU{R2rF z#~h=wP%=!RTg|yitf75r!>d%UI)pf526dw{=FOakLUHeCMk-3bBlig^CMx0YD1QYm zGR!HOv(&YQZ$9=b(zPz{;Hq!+4g1&AZfE{%MygK?YgTKee?_KG*u=-{Unia3+wD2I zPxbL;33c_do;OGJEa2U;6VP?wO{c3*ttip<_&gC;!&p>OB$!4%t(B-F{oCOHp8OnP z#eM$>`7=YO1c^dOZX|uDy*Q3tP%kfsLT_0ieW#+d$qGcKg!|X*G2c+o_p3}R@4VxW zTI+>9L0%~=tA#O){Vuf^;V;S)3qC|Ww@~}7c((6a!G+>a zrQ3}eU!c>BYJIw?KaWtbry#Ldpg(k~C7H`^j8U9zu3x-n-!v1{5#rdB`kn8TDd*WR zsbY*ZHMppvmT#6j>uVmt-!biT=DG+G=C}x9fD1myMM5TO6la({cEy$Qy@0iMY9re2 z@S?!iRtSG3c5O<-PeMB1lQ~+O^qI#)>?y6T2G~5q=5U*)GD`vlJfbED-rr-wS{r{- zv05KJ8Jy*ZJDG@TZ7c%hA3ze)iKV7Nej$~4%mt_knSgH&Iw9+Q;QNy^#g|;>&f`Jw z<*F9kuLRXtq}DZF*a*%V#ElU0?4S>3CpbCj1^sES+e2j5_3JCvGwT~l;1gKr4|Lfr z`8p3tE<$M=Qxm8Os45yc;?VH;+0p#oelby*V2C@j6fKc{Vdmgqk8T|G8ewZO9NTES z7*)ItCaC&znYfJvRc+SOx$!6a&mYT~J(dISNbswV;|>htJ}R!_?4NH8sSt*Gy0BmS z3r&=;oW7xJ8;E373E(#TV?W#Aer?A|U?3jJq&hZQX>{FQEh;lgq$pkd(O7IWQ9PVM zWz26Nr=ir!x3BA`K|&^e+II#Tb6NSd-)~Ibmsw2=`Pc7R3R0i0eUns^{><%nr9BN} z5PsGXOc(G6T|)PIlZ8{rbOmNoE=nfP58vEPEnM`w5McP7jcMB$Tl6{A$nFa;7M z_qAM~m`lb~-(6CXEI%Q8XQ5mBIH>BKvB+&w+LjxkPRLC#e&@ ziGG9(BSK_;OsU7YZMC=_i7Hjuv_F=pXuxN~(@#RfXUm3<9MWpDb7b3S%oBR2R;*^? zd}^Keczbp_f*nJ5W@>haod0)=$MW&Q1g-I8>6-s6hQQR~zM+?oM(1E4AKNHz8b5x? zsWb1JoR2cNELK+M_y<2HSjPNbMQIEU_NbpTUq0<$;3N{A<{uHGcc&76^6>zHdo?^q zJ;7=AcCA#Vw)A>O1(imk#TPQJZd z9jokaUAwzx!))mo3DaJW_6KR`)%ysgNm55mf+Z;Im@JL`{{Md6I}z6U$sVXhD?jJhCI~` zbsC5);$I!^kBDE`oab*76B&2;)ovlZ%;Zm4*3xMeMa985NkrB!_z_tK z2aLJ?6t^d!Vxsz0+tEHF>Z{o(K$yUKnb9$e8PQE1Sd&1UZnGSzm@qPqoMwR9aksHO z&^j;z{z>$vGj~Pb0%>v1H?8L9&%N*|Ce$2t#P@hu4D#PL>4;F7q<8(3sa5Y)Tfrkf zs30G}rQ;L5<_?!AkTT)+uBF;b-y(48c(*=IGhN$R&C7|Dr3{@P(l4=;?^xK^$Q2nl zAF36aH86B{$z)-ymP!1l^aQewMMO$kg{~=@Whh$I@ny+T?`5z^TVGOzW~r!cVRNw> z`*L<5KP&36u9pm2nYYasl3;8e>Nz*GT{WKzm<|Vwx!Oyc`?12Bvm&Uty%`pM7 z6w3*HUf|H@>{xfeZ=9&$j^3s4nePdN+4qEj#rH&=B?$jKLVD>Y%m!`~KMe%m zk~(f~k6*hDVw|1{roFuOCP>3B@-)e;!jP#v&j_6m;urz-8rQ#Go0BJ-?1^DFVk{pU z2x0s@VeZ6P6AWSK<$tOeb3tCelsbB;UmMh&_l03fgX*9(LVQ6t$*-~DCA_QkN95z7 zejJOPf(lDd$LgYzA->^0$L1}rq3W~L>FRX$*$I22S+^kz&EX`=9o`B{LF1gF&B4mE z)Fi+h1ZswbxP(>+8%V8H;CLMEVYe&gT^0V};0_&}~p{6cV zV`uWn)Py~9NsSQ)u+bh~LKtaTp|u=8RoUHsT?S+U@pC#tJi*>Ub*-Jh3b5^Emn~;2 z#Sg}R)i-Lm$Z=$q?cvrPBA9Aqao=XFmK|0T|5c3?3{q)#Vvj>i3#S&IaA{=-ZK5p6FIDTU8;YZbuYJMK& zU$PX*nX)-#>6lFO>J+^qX^d%{qQV&W20dlIWi!sl0)4uDgtiOgGFo$c4DQh!8?>SO7lw-2$tIN2Z-<})})&kKdSSi8Upz)nbb3v=LLOF zbkbX0H)WR^<>+i?;>(tgupS9JMUHqRhmUyJflDfUB1*;II6G9p1J5k6+e^dKW=% z(WW%;{X`b~f%aitV|Qg)(I(EqoRs;C#-4bFUS0e|Kj8Bk%OT&I!!b`hQql!_P4!aC zp+D6>d9xI_;`kb)vZ8uM=o>O6G(B?Tn0uUs|JZr7XAB%cf|z@9fp5QB0(X84WA51` z0)!bLIP(cVau2i2#Go`}qvgA%b~>qakXid1kcV2z?~azDg?T=$epPnBVJ5$8wE(CT zd4GeigBJ3;Szl^D(hk{#431kqS&?4E#T<(_#lai{<$r%szwFEo`6h|^Dvr{RcG_)x zq3c-DU(L3}SnL0{gh7u=j7*+wLkFyz+$Q_}_RVFWmaJWMCq+jzZFiHS3}A zbFen2{A#__dG%`|6F0pi!Nc@mZ(qu?7l<$E6+ z`$oG>En#o6Plq@5CHXpNi@TV$4ctH4d+7ASAEOX?aP2FpAfsETF3}#8 z?3hibd}2iU=aCWTYRX7SvRHLg0L4-E_V*>Kx)}aMdwO^$;VpGi8Te^OGR=~PVz;Jm zT=FdZw~?G3W0)*a)P$XTX})i;c*vh1rA7xo9?Fd;(CKAHN|k$wGzU*kd!D%TcnDD+ z&N)e%I!(?b)Iq3(#)h;Y3$c`yV@(b+j!dX)!P>hi?l71yr#0C#>(L+AYXv0~{3SfXnjL?{o zUUzgs-QMVnExX3WU+XS4V8rQw37iH--8GoE&V=~rO$brvL=!@pa>*-;pXN{1k8Gd3WpBZ; z;t$m~QNzC#*=D1%-Zw5M%v^O$_sB-2YjK-#?vqWS zn_IDD$66rKT?q5s7-hdFwjBP#BQ(RVT2Iz5E^M}> zZtt)W300FGHQ~u11~nzCuvsVKWj>u<_P+xGjfp6e?^`CAAB@;-O9Gb@;8@E6j_w9 zx9k;flr*UMO~f^*HBH3dP`jHLg`3R45wfk()JS2Q5#lJACXJex0U@I^4GYZpFN95a znyshM=D&4{aip>0UT{s~uqH!RZ`2e+(*P~8<(qJ9^w-WB<9 ztJEtD9i^Fwr?$)tI=0SDW}nrROW46-nuv|-akk_G^&XREYtk&pf+MJyWJ})HkM`H! z4qY1Dcq~^ev^K9)t*kk6^Lbt=C@vFJv@bLUdcegUs`v+~XZhOWGhPgb+r5$>CMRFh z>PDN9fBwzith3tz{mYJb=N4VPe}-Vwtd^GalyXb!@4-j9QIyi`wFmy*zfjr))WK~x z7l_srTXsXtyt$bJN9z6{fMe8?q)Em^)5dWO7)>RYZExr$Tp`eCaJh>Xrc{K>MK<-0 z(^Yyly{6IlNFwN?Oze0HgLjE8RLkuK!{`Qsat&9bVjaMUqmzAnkC;#bLhMEI$9KE> zdg>=W@eNkf#a;gXSclF$L*Z83^6F_MjrvRPP4&yYC2oj4kVvkyUn8 z%*wfI=zz>guFID$|+?~!d^=EUi-IH-$3lt&R~6~ zj`0M|IbQ{H*<_OAf==z@iU!+eZ==nX>5@Md9HiCJ1O>}kZu_m8cz97oMGM{rwjY;k z`J)%Dki8iYRn>rUT5Pus+0>d16>0!wSk+Es%l#_6!d0pcX~+RB6(;gf0|%=^xY z((Z|Zd~1K}a}ijcBmBNVlK0`_d57pGC+gm7Qio|E^V&=v%h={DX-9LpAPMrW3Vrc5 zf=H}JD${grX8d6f=VSeUk=N9kVk-<+p zP0s{cpMS%OeaAwN$)#2WO^C+&k3G@O=L?K)FK0f=OV+XZJxw~Tm#P=q-QFCUQph32 za!o8(dHNE4C}u}Z2x0T<`&)Vs1Fh&gVN6S(Lb2d7M1FWq`>VvjJ=QjIFU|YQ8Z=~N zbOdbU35-m0UGqk^;6=wh2yv@9j`sn#EW6+sVnMwvF41CrF2q2Hh`{<5p?lbigLUB! zE#m9FiQdiQw~tnhMTiK5;eWTfU=bxo1h&s#R8_X8U7$&Ki!Mi9izi3GPwiDZ5f6Q; ze~AZPdfa-S-V>t4m8<#8$I?jYa~okwJ!JP-;+` zLPN-nw^03CXg~}5mv|dMQ=eL5 zTp25!p}uT)dujx{3u0ww<3FqcwZw!nRu)5jo$mI=h(K3DloNpY_+oGX7)h{CU7<~M z8tWcivJt>Q@4;TS5x_?8Q3M4(dQTiEh|zmSmu*CakUO@-$poUQR`Ao&W0wOwTEi(m z9D@Rd`XjVpWQ7(u2xDmEftXbtND%snyfgs{@<4^EIJ&hg*5aHm&E)Lw5?$ha_|p+} zZ&O~8)m^Oh&$KTGR>7+=q}uS@7#Tm_wx27apm>>&(NOwyJeR6OE;~@WG6FoD$6R0a z1r<|VQB~vYdYRd?1RSzTHpoFhL$s5LK1Hru$*!$nvYI4^et-@#6 zJElMypS#O#X7kbAxZH!jMPZKL?9)Qzht^-h&Y-Y{yuUCT<-%M~p~SIM4BFXEf0q^& zE?qgGJ}&vL?ZdUl(}NcSHUGkwl)2X{_Y?}b==r$EH4fDA?}{I>LqE8mc0=uXB`iKP z;{coFp&4mGhM;wApJ~aZtAJIj9$WXBO@9U%p+qy#NYaFdE{!Hb{QsOo^fcJLS?7hw zrOh`mazJBDf4b<`gSJha{zKlhIb3_N7WE;H0+p3UcX|)pwZGU=;Y6#i7)Bfry&QlU zS@TZ<8pY!25QIG{HKB1{|n{@Xizagj;{IF z0i|=FxZJ@ zhRUFa(!Oze%Te}+VkEm413Y!@6?HNWAtg`}@_O_suV0HP+r$_lrNp82l?(^kC#p;B zXlxm=wI_Fx9icfCcCRJksrba!LZY5aI0W@#k}5}Sp?;#`%O@ED7zZWZO!n7NTR-ex z8;6jzE%p+)*KNpl^Bf%2yrfMA;x|7dph_Nl^BZQGLM47Bnh*h7H5`B0GLe7TnueK@ ziB#Pg36aC&yIPIE7pLX|@N0KDuW38}n4#9>xk_=y&BLLS6SOz@!HoI~c3b(C{5(Rv z48kA>|A%NX5xc`Q|CHnD&6`5K*0UQ+69t%N6K1^BT^Cn#n~L|jPg)qX z!5bs=ZRaC++k?Ix&{&~vH3S&zHTdhcR!vK=`kZvjWS<1dEHx@%^H~3SJVeaydlK}T z|IEClCMpOY*Lg|IsUhX|-F3rlT9aP5?cz}nER8$eu%SNNSjuS>f6>4%&dYtEv52LZ8*NHi_j zQeJeQZ)E8qY4XSXZ71QLAhnaYQ|gYoiH?+N%*iiKt$ z7;-ib4fd{kXt239RZ{_IX`t#uOQ#AzOZK+a0!a~-<41sLDyV8EY3{C7+9~Syib+vX zs9(*iB!? zK6{Xagn%TamMx9zLT#)-uvXuM2VN{oRz*y3U988A9gXI-d~Ov6t{s=^?1Yd~?^@~* zv^aH8zCTB)@X#z0P#oM#8G zq+c!gCi;1x8z3L=ci^m*7=Q}bM86x(2!`GUbj6q!zY%zp9ZKo8>hwp}b4v)%BD$fU zP)+kd4y%<|PQ%P%hxf4i%NL?qH(C9?z>Ts}R{#B;^4;t?;+V(G5kN=kpgeo)mtL%OEU$pUGWtsnw7C@OBF;v-+)$P#y z_5f6BX~YW=6W8LoUB5% zMBt(Bk5)rX^aL=_B62f)k6wHryA15HMeO0ZX@tKM9>X9n?=jA|F6eHH8)a=}KZm_m z1v!2--ZtzGLzSV;Pj=rNhFd6LIv$fB245BSSUI)j@{;~J>S-wX-dmDrG9s_@5FMYt0z<*4c2Ez8c#sD#H}SP&sDC8N8b-N z?=LOvhN169y?x#gtq~CEBx<-W?w(XOWs*yQN4$p%Zqt6fwtrLa;woHAIsMelnId3e zLhT7k${38FPlm>qd79-0A_6Z{J-^+c-;bx!^g+q`%1MkMZN3{(#%DHiNT_yPt0&{u zn?K0Ap~ww$id#l6dXjexRsYsR*%Q;F0`a%6TocB2qtKs1R;#DEOjd`4BBt-2%>?6# zFT;Lr*+!7R>n9e06j;MJ3WW`J@v{0Hdl8Aa>Y{yXY(bFkQ7J37t45~MZGj6cvx1&n zBN%=-xz=6yaB|Jo6r5aB(ccYC$mKJD3>AWNX#@YmskLk>Gm^_tmUMz|hCD8fMOXB; zgslY!ZCYgV$RthqSEeWM!mQ&d8L@S=e0(}Ne)E!O#@IA@{ z*9Q*P^l*f3MUZ5r1xZ#gW^S8&k2pA3XJ&iaB3B8iPixr(Ts6-`$|Ff~p2UFjL*5|E zI&wO0tI|6S`$lP;A)L@7`8kEO9%2B}u3k=NGOQ@G7SVU6T~>75mLfM*gJ6HDIxJq3 zljuaU%T2Vwt?wTVr8g1P7W0vFIi#nENGxGqj4vURS_iK_`n`M^;=m(BO&S#~8Eik4 zc!CnV+BCB4;_SfFgIJ>4E0JM7zjel}TDZ`jr7xQvgJDgZILWvsW>@!8pJgqJ3i?U3 z$!`F+{c_8s9E+R;En+j%_bB6U=g0{F31$N59N+V9ig(LXy|ZVz>4c&^#5+kX_gK`@ zgGq9O_fuwDsTbY(U+;Oq1SDecLjK*bQ;PVlY}?uI=LQzfur1tXo$M0F=9CYCPHhUS z@5!gcR!E5SlE(*H8zE6_9`Zbpi$@%9JbW$zeN+2HHEXtFubhMVj%TNY|~UC*q7axn%Z$~0=dnLibWkGD73 zm7TUfrSOGHb8As*;{#QTSbyr(NcZ0Qs#*vbh~Wj1f|cD&|7q;o$ubRsSnA)7gte?9 zlG!JOJPRj2{dz`nhMYm2v&xpD5jp5R-t&M6)b z4~?^q$;K7=$aGFJ4`LF9F6WoH_f`xSu62XWGfK@iZk8oSWZ;Defbb-kVSogC=R8JG}zD@wl=O= zz-i&urvsz-29Qf|qQe!C&ET9YAg2In3rK;vSBowC*P#&jM6DeUt7cW@Fx7sR?9JC+ z`o^6&!`I-8+%0w2Ni#Tl;w^d9IGpXWVEta;fS*C9&zi!O?idBa-^B=F`f#Mw9J?sW zEVm9NP9F%J9$$+X^2@RGR>&^cw6X(3rU5B6mkW%fzh_j)YXHgVTaf7_k#q)I^0{Q- zihrlR5W{7`Hj^G!;DDDQ-8l`kK{jkNjjrotA#TO>Lh?w;%Te9iSUUkA)>ISDUNy(+ zYEK|Spw;ASjF9nuR7U6>C)bx1^!@be}funvZb^!GR9*Q`1!<{;O7S~3RBbsO4O;d$DET6}}#L8582{EM;ZWXG94b;ei5ww@1aqF9%8V5dH|6y&%wg+Pw`N()JbpPGVv zJLa_q;2zdtB|E)s7aXGqJueNQp0cOm*oz5p`yqz)M;}S@8_25fwO->dRo8EDRT-Ym z>s03+Cv6~PyAk+Zi>9^QBoi6P@ptm1hLC5!83fZ%pvmo#2j%6=4Y^VUx5}-Wy)F({ zw(BpJnU;@*ZbpYMVmgleTM$$ebM1M&SPyJ&o-Hh2wl#oCr{Gn@9rPZI-=tCGsr)3u z^v*mW^)p>5uA`6j6C{gpat2eO$snYcbaK5C`h0_Z*vATq;FO`w+GZmW0XZpJ1YMTz zQ5lH1&jCpYNDzQ+0ZBweK#H3fxylha?X}Bx*)dvxA(qp~#o(5GF*8eQ4kL$uk-xpU zlp=We(saMAW;mrm-hJ@qc3mxpSKt}B1z8LRH%2dFD&m^h(4*s5o3N156Ca1Aj7XAF z8^L2A59CvyD8dM1Vp%k{W-|u%z&9RpRiBgE%bVyF`laMdr;BWCH=;v_emEQs2>E~V;%%|`5pvqO1!D8IRbaZOAdcdzX1(a)qQ9% z>NK%y7Q>o=bznw)1^KW9dk=52Xtk3SYJ1O{N2{Ys51X`2b7TS0ZHr$O1aFh~3HXy` znyV}N{MDCrlszwGme4m^lRh-2Ow2aeHLVJoo)g^cZERnoRo}fm@;G4XI%x{R8NS$f zFR&O6Dn5gQep-qGq%|g^k9BMFzq>SZr>{VC^ukn+H50RCpwQ|kYPYpM7YVt-$%(Q4dja2*5fE_yxAFHxfw%)j zapz%0%@q7pY*@v*mkwQ669eQPZY2BYpbI$dmf@d3*Q>|SCA!e_VOi$Ad04;MuRkOzSQ%m)EHy1yEf!HQp|p;jC69e;uas}_8RC?I?gnBe-W!QrpK1rYcm z3>9cH&7CuFgf=Scc69#DZjhND;pZe_{qaDE zaz5NhFfia41~8DXKG#{dF#5KqvHA2{#^wpURY$zD&yL=a_Jw?A3wukL z^&bj~EOv^l-m!}OCbk=h!pY3?p~i$uyM-e9AGS+lh z|GE;k9Nje+oc!tl|2ZD#gOSl9!ZB`)sjf_(@69P}u0$uQZh=;$pp9wvJ|Gz@Bj?Qz zLQFH2fMo294RxGu?in(&!V(!1G@|4x-=hg@y+#Nzf%QE&&GD<%1p(Y>fI}X<;N}zw zY~#S~rqb%eS_$H{eR$D&jq~O=DCV-?f{mR-30%pzG8p@2EK}CF7tlQwb!+He39A?j zbTdW;`!!UyKN!dcG7zGao>M9C(HMef`90JpCqs3p-D5*_n8#z8mcl)U>KK36Zz(N* z@t~va!CqJsR0km;ip{X!>pu|@@U<&-r}xufST zoCMbA()(}H;P%L+R+$#MOpDAPDiA2}r-GF!aR$0#6Dae$f}NmMv$VU&$f1(~%ndY${u<=aDL@WA>@74QUJr8J zq#)O=%$vy%a^3lMubo3kMwHh>_Mh@*7W8AzgCx0XJXJkNk{5#{d2lbLHb|1A|2r^I zX+5Nm!mcy>xr8)e(kk;B*oBa=<@IC60i>jIR3Ztbgmk`L=h{!^Q&<|y$Rm;vbbeoRFGWdKl+Ql_16sd%a!ka<@GP)-1SzaEkYtbPVoL0|q2 zY6_ra09s(zc?zH!01B**0;nK>s;r0n0nkbS#Q;#yU^ig3s2_6_Sp7Gscs!L6u*wdg zU_&5LVLc=XKqH<@41(PPBhX+Y0L|*hWCu_}U=?g04F0g|90XSX4GJ7P4WNYp3fyD_ ztU3WG@N)%#sskwaTMPm>?EQP2zutZUC!R0Giv6X%C>h07?v?z)f=i zDjQF=0UB%%pxgkexE@jmtfm61z)k-Kbp=pz00nNk0Z<(P1y)Z0R2V>2*Fzux+6bV) zO&g%WUchQeKjsOr`Y+H%N{PhzazFPUQ`EM`cG&Th@3QNsI6iH^Ukg>jPoaOW9VY#0 z+d%P6{<}|%@3k$;-#RjszsV1m!OvrU)BM)442f#|doMvAGuOxLdK_M=@h;j(r36ZI zprrECHqrlL>@CCUSemtAoZun2lK{abxLdH`?i$?P-CctOcMopCEx5Zo3)hAFH+%2% zyyrP~UEhyd)m1%htEZ=Xy6dj_Y_qm1DRqylZ(E(V@^k>`D6exO}$vr=Rgdo!Z zEGC}9!QHzX9m8Pj6f#6Wq_cj2{DXtLrELoDv#pbpdp?Ww`oTX5yEo!ecTvWOQopTl z+73xOqx|5wPiI!r>D@(nZ5&lzU~&)a{K7KFCJjW7{YNy*Khd|N$|+2?QD657vmLnq z156gPB8DWpEW?~_-6+^c`SbqmegQ50v?mLG#cT;Wd%H_KIpf|ed$i_giKMFgo(1Yk z>seIaNACB#QClP&|A)-2PfVR$+Aw=}p^;tB*!Ji@Hz*jOW(fK|QcIZDi`(3X5%F)h znj5-$z8Q3+2j5+s>+sKz=(iGB71IgTt(C!yp-S>)XFJBC3EynqZ=A$-Q`*EE9ZTtM z^+N_|jW!pF^6YfCl9rpQRRzfr2;YaVz{INDajeJY2B?wfg*8!=MwFDTjG4Xwuf5{{ z1f<0@&s-dH_Jhk9(ji)0K~nX+Kjo514*e>6*!NaO$b?g6Sc%4R9MUCJGe4u&K0xsc zUSfU$n*4Zw+;b^p$7Hsu`8Pp0V!O4U!B-bQ16qNu>LOfy^5OKxtKb-u=T)2}vfkZ&Kw>b9=y%9{c^SIE0wL}09xmdeXI|JqS8Cm@kn z1&+-YHcv&<)xVdTs@bv-*a-*SUU=ud`j1z)ew#bd*-l%M5mHF!86~j4drU{uZl2v( zL)3~XfoxguBEal(P^(_G(M08#_Hnr(wr_`6zjDM#+h~iQY*McsIzb8y;l&6Nq4Ute zMiRBP69>#qY=@FIc^CnhAKGUC=GPC{qoTd?Gh?xA`ib*OWhDAAsW$8r+SKU?9j*4H11w+out` z^6E3ossjudvGd)}fF+||)E-yV6mKjL3Dyvt{o6<9OGW6TDPzw>W;@GEbcKnO$;yyd z=?+FmVeoipFdetVp2@DqrD7+BL|K(+;14%gkDLB+cHIWoCSq{aQe*ECq5xWl?h>D? zyD4SK!^22bc$K^T_F&?lBOJ+?K>oHxE8VuX^94)fs@*0v&x`QWF#yGv%^Dch#POt^ z|DG-qs-184a#sBYEY}meXQtog%fYl>Lx6ieg%afog6n^>gWkz(@}JV>K{e^_n9U7N zgL9htLO8yv>kX;yF&YO!l&q^V)~n%4>KlsvcsCDw$ru=*w}Khu$Tvdvx2-KIKB;gn|D_MZ(jQqmsYz|a(n!7VBFXL(Z>;YNosv*}BF}fe@)1U) z>rMXSCN*1x>zrQ#tUpBV$A}ge$wD7Pp&;Kiw`*RqC!#&o$u$PY(8Ct~THgO`0l6A! z9fbnbP>hy6I`mEtm8Hb)6Z8#{-slv7s6tgoef%JgN^poe+uj1z5*ym#U$Rm@fpVlb zbFqLolPe?3;S&xXuw&l7J(~G|-uR%N`|Qh$#6x*~vH>_Q@>k&|da71&=t%kyw?LK{ z6jCqw`sz>J4LN%V>Xo7doO@cn1ozVVAM+BtdU!f(Rz%mDdJ&&`{xIkE@(5L<4O`*V zwXX0vEt^LSy8AACk!NG=Y-ZqG>Ra#2$%OrQe#*2QMR52QgAyVf+mACXab5H4fP^{% z?yz0BBGy#&ZB!`CN0b~N`ttTj4S#CUtqtOdO$6!tGIx7io9w2H-7*pM`%bhCh(ETE z>=2hc7bt?yF1CQ`ZXHlv1FF_DK$YwXs5XdY)dKpET1n=q*7w*VIJi-Xw|X3`hVmc2 zcD0`)NdQ1xF^Xj_|r}YyQlZ zfN-7-Jh_%flI(nv9doo12AT_Cq{BU`AR6jY40NNM^$~P1B7ZlCEi+*_ORgx~Ue9~14e+(d1 zlB)gcC9Bi?9Pf^GOewVMih*c4n<98%~_3pJtJ4n#Ek&RvXHC3bR4 z6IhAUpr+l-5CMT2==L;~k@|&!QS*zCBk28|aG-}LsI!WJ9;PdH%i6`90Bpb9BY^I= zi`Ykyz4RjAnZ)_r5KBkGwbW@_sFB}TssAH#i0Q)r>wCZLu$>Nl6&793UJ4bS%;3ca zeGq4Vp1k^Jbqx@9lEL7 zCDZOX#B}XTmvk%3J$;*S{_M;ho6b8VZ~vDs_kSLwl#c&g=W?^QcN1xH+RMG|@@2GN z$Y%E$N+AWxN;}yqd4az2m0MB<5^R4*wy3hT22gXv4OFbex*soIH&CCiVSV@D>Q7DIf;X=ue}GVbE5K1-tV0lW!4OM-IRh zIBs#F3htidzJ};EeIW-u%$fF5YId&q(!uIXi`!Plu+{iURwLw~!QEc;5r(xDdc07= zb*7Q94Oe_7qp>lIc4#8AqiVVx%UyM#4Rwh8O*l-C;z-rBIhwo5%nm4axG+t`>f4+4 z#(Uh3Ii}sNfY}nT*QCtxzeLtQX-$D|35^mk6Z;nZHBTy4{KA+KA27@{On5iqg8PYX z#Wt+k>h2@3F&hRbK7Ts-mCd`28(nR6PSaB>$Fd-2xV$iFbFqTgr=Q%6JF18isB!k4 zNlF*_^AZ6(iP#8HKvLdZ!z=CMh(YLR!4*%LCq%&1`dQ}~h6;dyryNtdA{|xp63BIH zZ&3VYRl#{n+lKr#GDVhvg>up0>HJNh-H+V;f5&m1EEWI_HMLbK0ea}XQi;+#iatJ-K@!XsZGvYyaALrq) z?(Ipev%bC>nIM+5jd-e_m>o0$vKmeYHjr)#mrB!YK*jX!Z7oAcY;F&k(B=`Jc=92n?=Ir0Qg)W5!Hd2|M2Hq1`^snci zX7?}#8piEcFF)|!Js;gcxz4J>VGT^68Ni-L_1U8c`V zI$-%%W`ZcT4$vjfDHA*Jh6#TuMq#yWHX}ny1z)ssSxv4Z>^Y0Wxa1?ehq>Ae3_-cz zM6IOg1;@Lxv?dMZ>)fe+T2avo*gKA<#m2pFXsU8uMMP;VEz`9GoJL^k>E~8?q*GXaL^FZ%^Rc?#0s*vuO@jo%xSvcv+=^oy=Rn%Ec zG7M>9tR8uo-SWkZ?TPgRe$ECvSxVHcVB2pOHnX zR}8RF6q87}+Ql0aY8&Cf<7o7EizeG&OftJ9s_M;@S=im!Y__!yn60lEvYwxONxQqj z9c%UY`{a{1^PkO1qyB@qk>8ZqE!JxLAc*~n1d08zgf911a=UdVgp4L>vjLken;YDU zOK_#@lTl?k`pCSSdz0Oc5ncK|u-EAXz!ArA$9@119fq$*l+L=ScI!0ZPV00)S}CY~ zlpkI0BS9`1%I*N>vpZY8@pFnC_SyRwV@n4>vA(I!{+C7360j&v{i68?q>pIsJw4P& zyJEoTP1-6{ZsaPu@T}>gmWV=7KPZP^Pes6P7N5;(#(zeJSC_c{?udXG9k>)?Qdm991)Olo008@B%n7Z}eOLW}=nY4N zZ%VR0fWdhVLddwgf*JSpfZF#4fOw;T$=M>z_*Gh$yC(3mRkjc7@@Z&f?`PzWy+y>n zHPFX9Kp%5cXK~0y?4RzW&Mb@nTmMG3ulMxn+HUdIg&Vv5J6=CfRI1M9=iWo>Dkgy! zQcatTFr97O`w=a&Kj5NwHEl$2cM*>%`=R=T*rhrq!pnn|I z%>Ygf8{tON`u1lndldjLgx2rByORZ}uOog;=J*;0I%Q%?Z3rB+q*vZ;z@`CfB4N2# z1V^;Z3%mD2Azl1uCZblU1c_}3s%@fNXWGaGnk9pk*fh1}!4W4Gxu079T|ApgSeg?S zb!m`~*P&N7QbWS}PcgB{())ZRJU{{P-BZ$gxd-6Xl2Hc;rnlT^xe0BBLw8QqHU!~0 zKv;AKWbOoy66+EC2~GL2yYz=-?plDD28fjFyK}sMtcn|a=zhn@%So{)TGAJ&2j_cno2Gul9}xR>6Zw2 zj{Jgv>u!p%>uL-$yB%GSo)U(c8Q+_*h9A4Z$Yy^x$Hm8{-DuRsMV>NOh^dm!wKt(L zPs2G|*66NQ{F?83(P-;Y&`0HEj9;>ELVGVSPwc!{c?d~HPzS_odoS&yIB3&v!F=;@ z{dcMaxEo5=`d&T&IaurSV*GPX$O{;wgusc_F`**s@6yq&ujui*Sd6VCKwTZ-hU^f{ zRrR19AKsgvFK&d`o(c9V^dI&$ByH;6+TZtP&S`DU{N?>s!SRgWTIsMMI0^JRwdp=m zV^3vgdQ&KWwycU@HdW{BXB*gC?sRc*xX1}{_N(KJyXyKdqi~_>PI8~_W$(Cl`u^wG z_IKRCdyhe$vL<#RFSb>DXjlf7_C=jbgp|HMGfICUO?OWvGP)Q40~BPtVQJR1dZ*`E z+5}U%>QYx_TdSZP3rrYF4|_JI0WP89Kup*ahq$Xg`IB#Z3eT;~pc+b7qpij5Aq@Mp zAOYpTTd$oQ8je(pwuyT9;8tkY1^h4#z7{W|3g745t&4}xC(Y{`-W*=vm4@HY?Zu0F z7G3>O`~yxc;pUtsvh)p}5=iO`d6)(W`P$kdj5zvr%U|mK4_sVTvyrkNB=^S2z`=MFMcXe8KP-_9*lUSr(2oTl?5?-P zas~(moaq*c`*>|!#czam7S-Ro#02dBg8Q`T%3HlTEWAt2nc{3Z2T2|<^X3LOpXXV_ zWQBrwOrphaFURy7|EuA;!pj`#pkjOtej5j_LUl({N%u=_RN9ZwPXb+?s~Rsl_lagD zWwFL|0c>p3YRTBKmBAH)rLL;31c&;~#O8iVl=FFIOL=H%d0Y)c%M+4p8o`~ys z$~ahgU)_u5-7Fz6DL>4}TrBXEpNkk2L0uH$EUZazI+ysKgK3lX>j~P&0T2>W4wn1K zwuT*8gogX|=%t!kdbXi{=%D>#L6dlX%4Y!hAMH`TD9Y_Kr$^_h+&j`oy@mV2reVNR z4Nyt^@K>={E`;g|N30(>?7i?h&Sh#{F}gC9XX!Y4-9S&h5-uid+NI9@x_6 zd3}LA9&Fh0hA-bSb91h1^cd(qQb(x^pS#?Gp0htOazp9D3*#w&gUZe+0s$t1t*IGG zPfImVJuxA358d;R)mbGNFtT3nZ^0{HJ9#pGSlpN&#meYxf2=>9Ob)#5yTpA zeUlqZO=}wTSRljpx2UacC(PK!bvef2iqjCVfdFaC`VzyrqcT_smi|q`3`gsYk&E+T z;HzF=$5rNBxFG*B>D}XK|6Lwuqx18&pqTZfC#0O;Z+{EEta%LC zVRL&ak_d^hq&T)e#X9|a76QIP>4`v|{v*{PP1;`XdNHM3Fs8-=teX)3uy<#Cq_qRQ z3%zXbXI0v6StrBBfy=Rr0k4M3lh9HNlYSyWG^Q71Dw8@Swo)f<4(w`7+aF2cwBbnv z#p>Kdbw-80S*4nE)OS3Rq4}n7CLQT$z3;h(7vYhU>E4QWcLbLS!o=myVwb+W1RWO7 zTfpVPS!}@*9&B*_L=|;^Dj-u)aUS=YCrO2R=Cv$>5ZFnh?wV-t-?N*zH!OtT`}uj) zADYo~cl67pNq#xUgtZ{|K#PFX=Px=OZkb#*2Ks1 zw7s+8cKPi|I{zySC(-y5xz{%_VTSm9OWZ{7n$~=`cV`N((r0-OLMTFJm}^T4pMt_1 zmOqMvDMAT{)QB9&_1p&Iy-ooI=r0AS1NmJD;m{hXIR0t2O}|}k|B5fy)T_jTKnckg zoYv--E&KdioPw#>0M=!_II$JcA%Qbnv@Tv*OBpVmss;)te)&(e79>ouWgodIi zAYM+H_o44aPD|sjeX`p~PLS&e`2!hz zW=sVe&NX2%PFq#|EjAhum7gkAa*$msxN%KM492=SU(ov>Vwr6G-tAzAG1&yX+hGS- z(7QSf1ID>!O;Lp9TPxML6y)HeuMPIJIgm~xB^oc!Qb}Lmo-1XFOk7Z&S^d)6zZp6wpO*_H7D6b}#x&MtxwATc;Q=32-MD>Dd64E(O;0QD>< zlk?8*0P{@V^8MGhpCM9cK1j6J)5XYd7i7Auj)w~JvgrX1)0l}$ML8KvuyamHnZ@dS z&-j*sj2VT^3lqa2tO+y>CzWaSETojJoD?-~SdfaWkbAI_yzUw%>x1-|J=W|di$7%G z1WZY{DHITfw5U$bUbW)#HzXBoR=uBWCmz-z6?Z@zj9-OxAaC-G+1;CnUw!0CAP^9D zSlO4b@tB?c9Z7avte9qiYK2ci;h7P`_*qY}J>rHS-@L z{3qQadU%IZOeSW!eTYm=j*xpH3|*_!us_pVARM)D^leU{Mk{punwycBnmC@RPFl=0 z2>dy|H&O#%l08`z_>$ze*~IV)H%+j^mv?5KZL@ZCYVqTWX^I`%htW z6K34%uVz`BIl`gnG_qzHM{*AHm|g6#_|+V)gcTNX2S@ILjiN8=3{!@Mgy!lDhtBxP zQXT~c%?0BBGXMsCn8odkr_k~S@3_l&!R=a^2+Eqk?P>$e7;aY@VC0oFiduEB7<5J>cPFiP_KB|<6*$tkEZ#&6Bp!DDUBX$gCfdv^WPBz{c!YMusSf~U! zKqHXOeyHkE;fZNdnf zn4)VA>Qj@~^??P!P*ItZI#Bd6dz6w!>(WT%ryx!r6b7&YVsj?A){zAyVN(cnaw6FZ z`_V+2sn>kS`XpYQH9vIin5kgn1M(nyHssPC3+aj%TtT>8MqSh8VcchaqUk@yU(@cL zBrvj3&9`Sh%6M@brB6utex^>pzW5>BnOmU1rcu)(^WO(uQ^A9(&K<2-VLbKJ!rb{IyxC zgxg$lUwQW}!}i-yE;BkmW?gaqapcz#?b>RcFUVnug9gJ1Y$0QHAF?RJg#JZ4aIz0O zAu^mclR-Nn(wsJ30P9VDPp8cOEYQPAi{Ae&oN4@9kiF!mD3%Wf8Sgnfr}cc;Gb(29 zApg2ol9z_#;76>C|9~ZPz^wzcz$mT*#&mnX1N@}=87%&Yj*ubc;uy0Qo|QprMHFze z)Qzf&0e8ndt{Tm_%Rf<9Jl!@xMmZ@>Y`8V!P>CEIh{MrEIc=5HR2-=R;IyKgRei+a zWSw;aF`YFWsjPQE1@=m4J;oGp)X(10bncZPwXb5;>z*Ouk7NoNHglNK zxxzT@{GVvUa~-~gqwi0d5utq5NKHvy}CzJylZau$nsTT zWbN;Nn$S5|&+)J8*MJ6l0RJzcb1pC@|DPaQ#dd@^i|-6O9G(0LZUAU2@v zZlG*nSnVmw>yAM5y~caqrImXi4mX52JDGtP;>eoecO}jsfTtSpJih{-=P$r$$R=w( z1s-|cF}v&$d%W6vb0mnvH`=fuO~c2$IWu=)h6k1jAYhr$MjD+AtRcX_^sgHyHUg|6 z+=UznL>(D+JrWBNHHqab*)(-?{v+alPc_{aZL<$sC^D^!|3 z?d;;7g3a@0?*9LKCH}33Hu?GwQrAQu>RqV5{KSw?=kl*l#gO>Fm^6DC+tpOY!FzHl zwqn8PJkqia}>9`NV3?n6*s#?$d+@B zH7Gf0j+s|x=FM2hI-X)?sye1wQl_05xoh&kTKtu&;h((JdH%`dzluMi%}zx;Gje7# zpxFMeLjP5xA+gyE@v(}<8d`Gs|E$u#V*hJ>hsN-%Stn8lRQDW?n8oo^aWcjBV9kwy zKK^e^4o8Fk<)z<4;5!i6?}a%1Q%(r%)zZ2$AvX@3;0H?b(`}|bUFS#@3 zU}BxY1?xsLu+HwEGpf_iBK?vo+@xv?sFT*sp6)p$a7~CQM2+Ja?h~XMoaz0sv{a59 z9QZ+eKzx5C$>&AWUCyMyIMzI}I@Cl6?kQecPYv` zV9itpG@q4WPv^B4VXy{qW*Ydg+2hR+LA1+>F^7tJ7GGg`wX}J9wU}!*t#Y-r zWO~OcGg~#s(r5xf*pxZ1bB*7tb#~3-%_7lS+8MUKM{1!NB?iAfu zWHsa&s#P+WXz_6-LWMm#we}Y7d>qj^BPQ8Uk(kaM?|J0=*HUbcq7LCmsa9Gm z6u6(hEp>#Llb{XXy3&_ui6&^ONrGEMmrg$!rbWfotcdn2Qo9B*xE=Dy`LI_xEaaFL zKQY3*vwG~IL|}){K74IGV0|wlnfJ9Qc{kA0?}QtZk`FTJc`5(-&JU?XnGcs{jy*(j zAviWStL<%kg5L*I;$BO!$e{-=!|P%f0fh_q0{UvGuYJj*nNvV3MDZcJr2w~|=h}mT z!(=er-d%^McZUK3!B&Mo-8P0X(QMF)ZGNO z3WAO>8Z?A+k3xFKGP`s>ZzVV4YU$#Dro+{#RfoZ%R<&gF`RaOm*Uizl zX0^=xx#P5>yrrV#ur#DIy_Ph)zOr``25RP*`(sPJb3>)O=)%R?HnpB6PCv96COTiz zrxcclTaH2!UH0ksnprwFv8p2riSOrATv|GL!#v%Qt-Qrvca6sqN^B6OpvCi!J%K%q zKlWe4GS60MRLtTBy*8D8=sm)(eq6arF}>nIOyBn9S@SKpR(E*PW+Ae+%^uHA&4@8N z_e&9f3Xt2Mc#SCte+RCkUML#1UWTGRe=)2THrQ@2DDa#8l~3I2xXj=p-gd^xUa zgb zFI`EJb>p)_-h*oRhp{H9M6(X#JdrDF42m?{Z&XuWTEgLbjk855^p@YaXrwgI;q5AQ z_6r-jt3~846X(Tx74I|j#h$VvZ93Mp+`7daEMRI2aWyRO z=Z=8H#7O$daD&exkwF}s2a?dOBpgCYBCDVnzLQ=!NpflwR*R`mF2SmG$ejNGnu|&? z%R2q^OC>PTquc`|Q69Y)trPUK2yv(SSr$!sytc;zIFVpY_AKppD7T<91(u!0Q-RUE z7cx_b!>t&I!&>iPK|~0!AnU*YhseLzlMbE$O9^nWgdJ(|_fqXNqGLH~ARcinzX6|E z&`q49NmZ_Mw|DYqZRljttJRG?9L0=&w8a@nnJ#FN9%<5a(oZn|uwXf9P5MK6M1XCG zahL?YneWGo3_ZH#UsMO3u?aXNE8oWA`cWB-6Lv-fY znKH5nwtgtjJlLkz47@dzx0+d^)69V`=R~HMJ#(Scije|3OrSITh5sQ#0#kNCN8@@} zH|ok!P4))h>)T06?X@^N$m{>`L}IC%Nbp3w`iaAT zs1it4RJ2)6LE%hMInfgn3xo=Y0)QwBh!|qo28Z-K-6sqCPUrGMD_?I)8u*lBCvWBX zgaP3lC{Ry@j{jCgp8vY9K&A81?B_hPgl>s8C8JWzu4qqC%CDA?OneQTdiGNJJSF22 zlx-;|urCsKa~o`CTU+!v&vL^z=}cScv5;F}uUnG(iztuiU83UmGWG+T6y|f!2B~oB zm7JA;EJaD_HRiQu+hXnm3a745Ym8YRKm8o$`_i{7f&u#jixLrh8=n~8G$ayt2OUOw z?)hG5eZ$_-|K_!cF4u#**Y%WJn)yL?j8r;-2kpo1dM|nN6Vtad0YIF@32t;yCbykl z<^F1%)Y;FF0!rShU1ir!)(RbwZIb&blzrAMlyIe7XBD?g_vPET!eZWU&B_!D4&w!H zzFGM@45Aqj2fxp~Q4=R~uygn|#2&jTLu9^JEhW8YHICKo!PLkDT#eToP7Zb7b_`Ml zO}CAiHFxzj^|TT`>5doQ25Mt+a_cvxv_7JD++#4U#)HfJy+OZ-l3R5L>afqvAyYxi z7Q@Td$yO8i#_UGc>%*E=Q4Z)=4aIcM6;Gp0-d~>YU})PE2y*1&nf=KSeQd(e{b8P3 zN(?#x^S@-Uin`+;(>uh4`K5tNnNo^YQMRd^>N@v!jnbD=mB^=5IvuDA)Fu^Vldcfc zASd@tD9TuYXS=JLP&pY2#eVuG;G@Brucnzjw2KSinuEtl%%^%x6w)}n5-Dv= zG@D_Gqe6Lb&r{ZtO!UyCD&_8sOK}n}fiP=Sx1O8RKkFJvY2n!QEpY}`E50L{sc&kX zWF$L*5VTEVnO162&Pa=FMpsg6awB&I1^T^!hfF_}Dr*M(>MyV;t? zj9&6CRXKaxUMlLX6H7b(Y1-C`;Wpnq@+6H%bU~g0Gh8FSax_nJ0bHF;`4+CdCpEYJ?@0Aq%B_{@?MKkzIfHpY|RKR-5sH#un7 z5!$R8${a05tkuMCiXY9}c;*!%M&blNBlQmJaLCU*=FdPq<`Tq&pSffKq%;dDihkM$ zd}v)Ol2L{)(})^(IKp0B&qqFaW>71QVn&=%VAWZ-Vzxoya(|yJ;_ib>)7ad04(Y|w zUD-5{hd1-e==o69Qhuu-E{~4Y*ZUEDumV}8LCm=gy3aiRPrBb^Z-Uqybq_R*VjIYB zTn{*1he+84>qJg#p}tegB?BS})01HN~+x zTSNpKPSv?E)`CKTi_#&+mz`SnDwESDn4Y@ZQ%T=pYD`8&lWXkz zD6<_zioQVR(6}|i?BRym$HkU~hw0*3jbp8~=R|J6`RRGp3;EOo-T)$FYs;7Bg=Wmm z5-V^%IJk4zQ63zQc=+&(=GMu$ z9EFc@E0R1;%h|x%-35J*+YX^YTO@`-n?VfIdb>Rnf{Oo<^Q6y&j8;9D(*U#SxdeNRFsMfRcn4kT0@Ps^WPkQ4N(sjx zqxs#=AJL!wO0g?E<&wdHEcxBGP$;Y40gf$srlclADjF07Oxh}jTn+e^`%P@6@yFI)H|9@5 z=Ks7to#9$&?gAe7|8iTy>M1u~a8D#G5qw#I3dlI^oj^uYg35SjiV|LdQ z$lP_L2UVpK$SS-V8EA%`H6Q9%!CQOdA2r*5s8fp?I8cQYmp2>=fdfskRN2&9byt4?NozkX#Gq#vL~?oJ19M z)#=F=K3ZT!xEY0-dOM}Mp%Qh?{#5d0Z0FD6mokg_sk=PY;(hmUKJ>|KDMJvawZ`9- zkmJH-z6gG1?}JEP#Dq5bmtuY_^}C<6RRkn+4K>{0pCVjRjP!p~v=ske2|xFvY^r`T z9q>?7TQF#{^B_F1gP8XA{lz*+fYQ@7DP{Q%JGIAU`@0g^eE0MZ=tyV#3Uo@Or^7;! zl|3h|o_kK!$Uu%a1!wyQjYeBHtS&9hLn6i`<}f)QbBbqcXnT>A!1Fh0T+{Fud;T2`#e zHD5~%=jB?`nAPzBt>+i_IBS@O`G*L>?47KhE## zRD}xW$W9Yz=~M^B_WJ{hSPn2{6@v9uXx!0v3TK5NViE;YE%(0a+paBH%1aYXj<^z@ zIx!A`{J9?Ys2&9;DGb3`O-K=HB+|4ih&+{#ekB5M@0}wcm@h?|!o+!SRFvvcPrS_P zSty{C++VG}Pial6)G>mrBXc`Fk9M_Al_)FAu)oL>w8VZ44z-YW2yq|5+tfKLk=iQZ zRuxYiQ;H;2M_~~?oq`pFx2>b{sK-wj{*9Zg;AuYiViRAKU6ow0ilHEi=Uf{n1aI!z zN*r0p10@7$GP11N5t@gVNoiz%KWF-!bL=CV1i6=EYZm_&88=SL{PFmYJO9&*tpHh< z!SLyt6f}Gn!Dq+_XYgXr5+Vu#BSD_72? z?TsJYK=?YFg!w6N@F1tnW)uIjd&lg{XOy!Y%%`=bS2o?W@3{DfI-~Ptcnb>{NnIqo|-v~r^IstDcDD0fOK-_OPGO~;AR zj~JYB5KeqbJzEEhT=%LlcRwEJKX0gIS=ollT{P2`j?>4$8Ks%r4e|%_@h_yB%xev@ zrmhPYJzQZk%cM5NnJ2deOJnTN8@0%k2TL!Q&c)ef4|*;8%>+5nQdg`>BMzSyFf>FV18H7LaokXLE4yK3tWZp`_iH= zKh$y&ZM%nhh3)HHeav#54s~1CA=!QqgeRo(xnFUBvwQ8&faHxXCMQO@tw-MU(r5F# zLZ62ir|0o=>_y#Nt%T;}IEEOnIC{KbMzx62Ba6BsG|1R^x6>wuyU)_Y84H{pM>1j9 zRz#J@b-M`G@3@i4*o+qv>WBp ztKS_e2(5xO)TLkcpjk#e?C2)S=F`FQ1de8ORfPB>^c6L2X+avq1JERX)Kny10J%|9 zxl+M`YzlK$zatK#0H-Rth{I!mq-iWFG5g)R=6dDB*b@Cz#^#4HE{3U$y$@rl0JEZd zSZ(i8jXrf2PxZPwju80Z<1E0k+VL2gz9{Dld2SP`SaV-O$(Tz&`aq%K1+JlfMsa+t zux@h0;jCqJH6yO#7@6aK_ms_Ta6f-gwy#5hP(o?ao@$+ZM-hpLeh8dtC~HD{2AH(3Gikc9%{c1PNR^Xi_+?ELzFje$Q@QirwncjBip;IJbg{)^`~l9?#b(%9}dL ze8XaCAKQ1x_CHEOkKSrGQEtQ!J>tC5{CI+YICG)$SIsW{mA<70sT=282p>JcO`h$n zIYqNi(PxW4()*(yyjf7g%W6)CCJl%Q{otH}nl^+%Jy(kX{-Hn85}+o)ctdN+|46QpRZn}Q|b?5W#q zkAeOBXGIK$ZDMinb^nL^3lj>}6qDD&oj!yyDhoxJiF5k>Dv$kzz6I9rryRo>86yw$ zZI?;k{9>p+;%OHI@4I*s;!tfXQv4_fS|^Iw=oT;jPDbL-;HRJAgHl|3q)j6d|t}VjlhS z)KrxcpUxeerAP$FYEW9Gmi}!x@w2|np+qOu&5gyfc+hLs+3}V$!t}X=Os{Ij%lO{) zr(^K^@A3${4`rX)T90qJzV%-qE`f+#-xtSMrNK<7$^`5Ww+mA59qm4M-yV>Ye44#4 z8@b4U?eRK1Cn88Fjkr*r%s=h5?ysow3A;ZO(q5S1=?Ew|K%*9xay$#2P{ zm}A?xY4xy{&n6~O?>+CzZRI0GAe07~$2n6jR9G?bVp`ACFK)}RMtLFeP7M+U-F@1iXq08Vv_cz6; z)+sIBwrZ|%S-cNQ`<=EUO4c{(zLe9oh;D}ONqHT(R6~FOuK7ZvkYLVXkH0}o5SS|6 z+4eoYG5V|9l$n_)yw7xr+EeW92rmTom7rbVHB5sKVY|RZTs zmkkpRZ``4mh-W?SxJE)SM#lR5Gu^c0pe*CJPvsMbm~*$-BzUBc$3z}79<4^akvAy8 zWeo|~QpT3|swK_2PmmY9?91`n9ub60`Ydjdzvm~J?-e51d;2AEk$DGcdE~uc=l@)n zSzJ-Xe>8;R3&h6|Y)FMD8-tOz{_JPL0;!yikYgo{%RAuo_}T$1>;Ctod{iKv zjQ{hB3`P!`J9@RiIzkO_k=8Mxh66_o-2;JmGGPpv6!AbjMas@#f?V{UAdv$G;|0un zF`-zOsXA^hfzGbN&=$300;M2P-mVIql^-AwSY*d(+Rh1&ZCp;dlXl}Bl=Z>y#K@$U zy3LR&5?{+~Sv^uEMS?XmRZ~Rhuxkj)n(Ba=a45?4-k5&ls=<55j-GO+ULD&P_=akrBBXaBP}^z@7J zBrC@e+ufrlB&^Jj9vQRc*r}i*9b6eRZq?KIfT|VP^9#v69#pM%b*!JCy z&Rr{3#rQ8&cS3vOxY5{+AN^aSdS!t;0U|_%Yfm>DA{;`EFWlSE4~ba^rVTh&0t+wu z3PNKGIm!_5uM9s5X2(bpMEl=rOI4i0RVUc4Y0i^=nb3!#>F7bx@J~@d(x=B1V7bB4 zub@KF6QDuSYXOuF&U+XhHgOGT~CLpQMTSAqc{y;eQ1p zfxuD;LhihkF5|v&3+k2rA>{Bm%{Sj(O54*54jl30*1P&4rUlN`#Nu>J@}537zDqfb zkJZG&-}NzFSp%htq2isy59HErUJlB7$j?5Ceav()tjb_OX``d^9;(+u!kAI$p|?De zwtVq*J@x#$LImzm9|tv^OwnQY@pe*SLq2E7zjwu|>JYUV6klA&QxG^(xP&t+#kVEx`FxNBOJ$trXE>n@GUjMm2eXU`G?8Bjg zH`98ly!?7GK!pJ1%TLZqFYM`KC34InrgTQT}J zAotz=Hb*PqEX_)bM%MSCD?7&L$NkE}FveK{V+2J|=r>YL@Z%_ox0 zJUQ16RiZ?DtcL&U8dLw}Vx@R>HWvWX4LuZ&VjU?vk8f(&23LGRrS}~quK0lZ<95QV z9Y1_dUtxgMtl_bep|Rg6d{}(282(uY`F@7ti#2#E@rg+H;Vh$@A5Vv7*YLOJH(Jrt zNCIi~2j{}Z48w2>y~i`%w6@-N;{NEv?dr=+A=Y2~3Mi2nM2V(#(F3F!eYTS}NnV%Y zNf?T(RViyt>^SZseH35S$eSj$-j0-b4_bURm|p!qDCfCXv1)N&*?H%-1%UZO1HihW z0Y&3g6N1^t{GEttEweIaM`BQ zAJAPg|JFs&ZfPb!&vmiqwuIJM*wwZn2)q3iAKLCy$?#z9(i`?GRgi-vur-dZK;VU` zYSmaAk*`6~%(jXmxRL&cgE28-(QNbAHAWGmj?**M<@d>*5sR0pCo5KAx%83AB_~L8 z?q6{@b6<))f<_`h?VF;dgd*2aTCY#J{*bTLmna%EC zl9^4GTuAt?d>h&>n9I{ibt(kOD$=j&s+fUcWavV~)|V@ixMJ7$KM znPO&Uh+}3SGcz+YGc$7>Gcz+YGyS^r%|CD6%zbN}y>@k%PD?t_>TXqS{ie_`5U`{- zrbe7eK+Z_N3$U^r_J$s{X-b{ zFWyCeA??0--2^DTf!{uC{&ttEg`sZWXQN+Y*^qw>>hq>-dnEB+sNrhC`FL6@4U=W#*nt->+^Cd6K)2vz(`L}}Sj7de{I!$3 zo+=jhy1ux-3K;0~mJ+E_crNkHV)a@iSSQx$&|&Y@#wCKaL>cj)=VC>>QIL(-s9L#k zYIeE8Y-lZzpFGVA9w(y}*+_-1m`R0l^(CV{bTXDqk2Pcr8b}Ixs9>q|B}G^LoPi~{Ouu9p79(%Pk)t9q_5d8Jk%B$3w?*~2UWj^ zfl@fvQ1RkiyTOH-POTFQ{Zd4HuKO&|Uj{4Yj053TsX3}XI=eOyF~ce`EgO)2~wX1f~I zOb;->Jke2>V$92Cw37Jilxv^JEQRUVxkhV*#Ejkc_>#FKIkI%TiwFcaFM_!{db+x^ zQb%r?tx-6eLw)XLPd0kk8=gX<5R>)pY}fQTj{o&1dMd8JPe_hBb5~0d^k*2kHd_5? zYEYSVz}QJ~|5E$_>>VHDSm&39mzsBF5boUe^>s7>g-q1Fl9VeU-`+mNM$c!3!Qgbk z;xog^4kXhRKqFoQ5#Sb$t0Tb`|^Q*U=%SJO-cwF7_y8XNR z1HE{RUQn5bfP~Ip;-=+y^6Mc!G01l`#W5qbN)gs8mrVX~=NZq~a4CTD)%Jl~_ zl}_3ElJ}%@{q;yz+xq7d*!m4V{w&U{N|OG&K2<%@I~2*e-%BExqK|oRB|@@^#iux1 z>~4c==xAgLMwczgjP|-hhOO8EJFQY-+$1xy0aC9mcB?ogB&%5e9Sm`Ht7!k7dz%BI zNtta|u3PlA^FHR`l7tSr-nL-}taa+DkxMXs?Z7CON#)6fkLTe7h@21Lna+q+=5yLQ z_CisZnki1S7)|!Z;OKK_30UBFsc3~Lz(dqd@uFR3bnn~0=oy909a-#+!~ZUnd5`7c zgkZmUQ1R*7kz~hJJFG-suV?v!Xo&UMywPVB1)lR73w5`g-cJCXCM2@qDRWc1o)|>bX;O)WfWX@9BWyu-&4Gv0LEp^fM=IfrpPLBV%ZF2jon5 z{dAs%Dwy5=K1c30>7a`#<+@4%d6Vf-aDkOz79}ieakf#Sq55)_FzZXhhRPVbG$2y3 z3`ti9%IZp2DKWm+Whj+~hUgePH43l{cc|bqo~5dO_aW$MB7*X(`GoO0omb<|oL%G2 z4d5pLDF8|Uw6beToD@&evr}8X7k;D7!;Ja6K=aV!p(r=}sIE&;RwK8i)xoxTG;st%CxqiZ-PVk%2wU3pEqLBt-eIZct; zZ;oE<5x9A^ar$Sq+6#K-Uc^92=7Z*+c8LJB{In|ssP(5^BS0%0UKZ&%_LzF(j$r!+ z06Bo|D*=HBlv zA50EGmu)9sTO;>Bu}j%GI~4V2Q=jS=NvrM-8%v#p3Pt&bFcU3*Wy}v&kw5oV6;-Ru zk$v7fPrJr5ThZkoJbmkFIF~JsYc&pmz133xa30+jR!kLb_Uahs6^lIAUQl+|+< zIIH_q$R<~5Uz`rWeV(WkIe{^<&}27?JJQZV#X~*0GE?4lpMG0c1Z+7>gbFwFHwzhYJIzjJsc zDRh&&rT)vzaGGPTIu!yrUX+^ZECpf1P{xK-gHyCjQ*1tAmpuKENMJ`fNMt_8t@ zF24J&x&TEyqCxE-*y}^TDbT#n1a!A2#2ZS_Bh~PJno8HiWnQ)Spx#$T7af4W2gbDI0A&fArfH*ysjEnCS*2SphyUdzPp?;?bpT`jKX1 zYfO9K`;wuVd3&iHCku(_)0CO}{c;`(Fz8HR+Z_a~gawh3A*?yzSUWQBfek`(q{$s_7M+cc`K4yR(0j+mDfaG5E}ZeLTBTR)_v zu)aczXLI^IyaHskA>v{1{F&WJTarf^Elw1ID2`C`gyk1_;`ju$CklpEZ@HAb&}c{u zFs!8}tKdpv#3WDza>g*yv*>QYI5upT{496;GUXvzFLG%oK?}4i3%+OS;t$W;kL9bS zd76Bu4^qxv5Buj4GYve5T~{$%QyBTote|sH%inW^1r;WNQ3z9kdU%jtEZmlVC(4fw z^3Q8Xqyds5`2;oEhfT{#%J$LAuj>kpnKY3{l@&g-9eWlveU^je2EkiYQ6^;MaM`+l zA*-VdqkXfmjr4`MLSirukY|@AhE^FWo=y>Xny&XcmVX7A3P{Nu)F2>n%Q?>B5&S}} zpmAa75g>Ex5pfMzTvusS9mKwC70tBy3j01HEBkwMX$v8}4 zrTUz|>>-_o+ zi!gKFhK8jTfu}gRQ=L757`)s7V*4fbZ2B*>0*aYTz5qwz6{aHWaL!LN{kE3tnqwkb5co#K`$ zx%MOw9SMWJl}y_>9Yd3;;cJi1wb(K#DJ}_P0Q=~Mixep!U=MDxFM~#2JbdY!^$U}6 zzQD24ykyQg#)%Q9J?7;!1$id5%zFPRvzKrsZki@CkUPNJWsDXm5IJqgEmDGRm|ifH z__dm?j0-(&i;IdJO#fEG`9$l+T)!%C;N!ZYBvo%iJXlDc`?>HIsU_j zcr3#gw#trDXXLfmJ$?Ah=+2_dD`Wzg_=ip(Y zm`Iwia5(k3&>|vOIp4q6{rN_`dxm}|R3^ELjxi(5fA1>cOlunkC~XWc@m8$RjtptG zuZ~kWTL=ppw^q&8>kY5YdVzLnvGvevZ5qvx!dlBmD(cZ2NyO|*tkFwOa7$<5SIkKk z6YFw>6Q+_^J;FFE$~=+svA@qJe^C;qpo*wOptBfGPFPR%E%o*}yXsR^Q4|PjrwJYR zeyW&GuHvDFre3ZVL&@w??;y*nHHNkO<=SO4@ryEpF2e&c6y3ASh9rZ8Y;wxQ2?F{k zwo5C&eCp7}U}RTaU^g#tA4yJ0@=U>lw5Sp;Q+xH>PrV9wwDe4K4g1Db1ziH1_xu{$fGtcVI#43AZ z_4p_a>d$mr+3m{;s&*uJt>A_mg5+yyDMs`p;zE^FmR~iPCq9dNFowEvo9e#|BKRQ$FN!3Cc=I?&dy_H^v;rLp=nHO zgVoL>4OAhaRBw<<%GMuOV$x!>cW9uZ$caOgc+H0-bvnKc2bJ)$J3^?wgbK+&h#-nCgD4psd@P$*l2i;Q zb5VsQ3zTSxCMiPdl#|@1s$OEyr%}hd>_c-BIw!~-o8}9C*4+b+Gg-PfMU(}(dSK=H z(66#B{0doOQ_#v)tV@5m*DLFliRW|b{jjr1RhKBW$#T$)e<2Squz<}s>tEO*4j2!B z!J|xSFRI4>=6pL>#k=0|#IQKrp^Gi@3VJ9iuFw-YL^~B&c>4`RUay)0dE*Cyl@IE@J zvtA)c56MD##aUkG7HVF$R3DhKo$1N5onZiMkYzhJ0f+)%OqSjM!k7&{voV3++2rvu z^m6ffdVb!^jv-{-UzNz-zsjbyS=ODUm0rclbW300_5=dojyvFajR-r3PX6E!R~Hpka^l58@TnP=p3{Ng3T#$wk6si2i>B z$_T{&J=M+lM#~xUU*OzPK(Tu}{%bNN{$H4cD~wIX`5$ivp1l5dwVjg@*v)^TFP+d@ z-&*^>w8d@8_ixn0dx7QErgJO$x3weO}R za$?w0=&_BWpT*Pc1M)kF0>VA)l5-8<{Kzhn^TM>az-`wrXw@=)y;kLZJVS2kKErp3 zHv;$Z26p4Ta&=+GmZXxhy1LI>Zksv3)VSkT)VPxXpaH-PfE&P1AXKB$jHarnRA#Q! z_!{H*m2-PdN_jm^0VWGY3aiIsBB*1b#3ZKvAF$kY;+4E)z2Bobl5e9+n>R#|6 zG`qemvFqW9=dhIk)50|xE8Lub*Y)=FDu}?!K`&?)el2t6tO(cr5b?UeK7I64HwzWL z{fmsoE|PnuZ+TX}`#r4TG)QbGzzP~&MukSiz}$T_!(vF_olAmj{p!Xrv%3A}wD&Bp z%HziGtZsm1jht)^ob%FJC{FebVgje>d!cD`*%ke(Xr>>i4DR|>diQCGVd9Gz$fWoU zf?N=_*dj~%q6&R?^+bf$9>w-BBKR{A}Fiv+-=Wzt4-bJ)`6)4La>;uN60|h z7iKsW5;=(l4xiZ^h1-6f#Q9T&_$7lz+-47&rH!soovG}FY=>%(#r3XO*?5)V`X?{y zH}a!bjs28$>wblg)L(y=k`B!S;SRia=f`h`D$}$j8(|zwg>|$D?lPmBIVR7XZ7z5PG*`o;ud8fX&uOYp9e%0P&i^Wzl(z4 zcg4m%fp+rFLVFnqbbwnA@%Xo!Sv;<<>o6+=8__j&ukP1dD=R@!%1s5~Izl-Q%Yy9P zcVou7Z;wN$3A1hlUS+2d@k7caF_{7=(w8p;7EX#TSK=^g_KfeFz2q0X%e--(+icf# zCN0)K1iGFqK1pjh{UpwwK6!l{5?v{};B!qkN6Zj?xM3^EbF65)!}Do_;a6>mJ=J5~ zih{CfBF>tOI2XMj1ijq1*}g+ScR^!1=WxZJKG7AK@Lfivz6#;z2k+NS#;}BTLilSH z6p{64GbVizb;H4uhF2Ukd$JP1?S*TRK*<^miIg6Oap<)uxM$m)qD z7>+$}FY|&{tUF)IjkcUJ0t|iXG z03t69>i_dH^q*HHj&sUQzIpPcgT&+qU}d-X=l?Sa82B(?a~eGS9$(q6UmG4;4j*0L6ja0W3 zzZvtH?W_nAhhM-)Fmy69|9Kprgx%2;0xA$A{!^-@svLYdUtlG3?6Vsy_32W1wQ=2_ ze+mW{I=`%1{wclyK09jvf{C8AIdXRGCb=-gyIQwKUGb7gr*(o#hU%+HVFW}STWomGOi}IS5 zq$P?Lv;NLZwatsnEPcHgpx`~nzG;wrCz9n8eI3aXIQ=pUj7SjP@d;)#Jgw?iYXiUk zfnWQWJY~51`rPdl-EOqoV<`@&c~py(HgG~6CHG5#6}w{(e3WYxD)}J=p5cNOQ*Ygl zuDkt4%iHCn<recQ^6l=QBa0t_N5V|5x@}0A`CMMCJYOVAuQ=Csg2^qVV6|)!@z|P z+i?9*&yjeMi^}mxz!zfL_)US%6Ns|%yEw%)yx=sCuAX=`TM+FfPw8fVrI-(;%(A$1 zPxhNuxD(+5-eA!!p?C3{wggwc&fz;&)8319Yl-q~qm9myCr$W8WP>~ zMW%eo^N+W%!XI$=+2z?*L(T?X_}A+P`h-ERv-j&gN4kga6-J)m2a^|=gv^?Q3yb*N zy8C=nQV^|gBy6A)f@szAHXJ(JbeQHB_3e#uGVVSVzW}6iC``{}2L0 zvYs|-7Pxie67kDONon5)9boALUNLSH(NH>fO(n3y>}+V!dly4!3|7pl1b2uwp18&E zpFTVh=)=J|Vwoi_qSNBj`rr+VE@><+&;--u)2{w*lDOjfgG9vp3 zgN+;zwU*=F7XK8*tYl6%hWKLYLKWa;ga9v-oq^m+i?yI6PL%G+_`ysS*u<0u1n1e zR0&2g7LYGglXaruM0~y&$JgSL{v>DxwuVnTYiaVurWR$(bKUEY?yH{`os6+FHRSq2 zvBE~oN5|q@th;QoB$-}uaL2g{7M*0a8I zHj+L*YF&qp-<(r6L|NAo@h|2His30br=HTCAJ%yq0}Ak7qNN)p&Dg2h`JoSPXhVX# zedqN4`ncgS)f`=NOLzUxl0Fx$K|I~N+3-Xp*N zNxO!UO@9xFH+Mj1>D~iwHM&AQA|X9 zM{|F?o$3{x=zOodhPu%Hu&;~eMLRsqisyCd%Doj=EHRJ(EhnjZ_Qb_^s*W6qW{DiF zAoG=DeCR&KOO*9}81ofOn_Yc8$>!Z&&4|uz^F9Bodc$3!U~aqX&NROB;W8sCQ|a|q zw=Gsd3AaT4A|VLLJ%BT%92H9_ZO?ZjZ3&x0hsLqu1-XlsZ#xcbCe_W-CJAf?+Y=)B z9+KJH)S(Sbs%#IpIZb5)r@KCN<5M9IHlp6LWw*~#Dz6B>;iH7Vu^VJ_zr}dimD|Cbq_?-FQlhFmJ ziUSNgj~m4%FVVzm24zfI0~mctGP9Y4?BCN&t)>HitGb_dzxAz!(<27;Tl->5Slfbi z!jr1|Q;l#9f|_9hpfv_QqK`a9C|6{46t!~|Ma-5&@&dvKfssXb>N-PJfJ+H9Gets5 z4)l+P3Q{OnPqr)Pi08!h`vrIe9vu?Z1vv5^g3NTL9 z-?dPa!6d`N!CSonXHeP82gFXT(WkcLmu zR|D`HfE552_>|>fY?SZ(kMUR`dxNT2fbmH(XNz+x`z?xuSw+o@d|u?+v0>;hSyG{m0%C zRBbKexpX6EGI<2Y%T1U?Cj!f2XaL1D83b z`%&zd)xZIYW=-_2uYn{nhV!$K(rpzNmx=QQWunES7G$SWLhUJ0QXEJKaH#jlGc1g> z49BFG;tr(%RE#_10#G6Dkot7odpdcewi&ATFE)GuMO+< zo65a`hA2&V%zQ?_K9P@Lb+6hBvo@c7W?<6++n@JC4nl-3u?LAB>)oRddfFMxe}j)E ziW|rN-6A8S8o8^rCnlp5X;FtZJkGa64Hk&})F`DUYpjoi!xtWb8jA359BBBaT23s!w4nkBy_+n@Q} zun#Udp@z9QRW~m-fPU&+vsyXp_*^}GUN`L^_cYtf8{?K6mUqHgW+TIYAi6Rpm85Ik z0Br+IaCV${v2g;m>fjwvinv+5iKm-=G(8QX#=kkg zY%aZAY$|iPnhO=lsu7RDl;xfIDa$w154cx#N3-Cnt9Sa#_6`@5+FT1sS`-^nJ^D@; zzW)(Qd-SjjC(DEmk$5-F_6}f(Pak6&NTMOG{^DJ>XO6J1C57a9X%?$`xb6A*m70g6 zx;bC}(DZvE8q~Z4;ewi0W-iONO+gr`;;OM!@UvWI!FGZbrzGq`wNHDXiwkSE+Cs6n zgI_S1%8BsKic<}an8Dq;ew$i6dGv5%pD??<7(sb*+a~{>yNG#ykQ_LV|2&rg_s`rF zAfk%ddhqcYhk(z$R`LW(u#yRgztSLxJ`eHl8e1UXr5$Fd7Z}_;w@T!BD(3k%A1d~I zE=3q4p7Lz6$UCFjS4BKS&^s7Mw z4<|3Du~qPGRwcgI<6~YBK}XqmP=aT~VW{nof5s^SskvdIO8HXR*Ffv%}%eOJ4(CZ(v}JM3lCdooa{QQ z{x{WjcYP#9@JTFs|FBy1CrobLVaC^82RmNt9XW9*<+`=m0TPl{UvzPs-l|0 zKKc*?_f%b0;nu6{`Fx}DbUSi^t~^RPgnw~Ms-*X$YkJMDl#pzRtGI8VPoIU)MLC2> zqJ8nrEth!@GV4{7^@V%z!dXseZHTzwm+1Sm{)1>jpw`Mp$i$%WnG$O=C$H*KpO_Mo z!AGP4=Fl_5>#(2|tOH2C;VFy=yyjmCCKw!-A4!1Jh*dqlU0%{|mV?717cgcKC_xF- zt*Y1D9-ItY(|uA}CG1!(fM)0`aMV;9tFp(u_TYYL_yFtJ3C%Zk633-7C(d*)=(P>J zBDu`^XaFJlDHg%SDg|WoJ(a-ftJl0DFD0m5-{4bs-6A6Zf-%Z{0~?*wJc$=2kB=nn zc=6YVmb!F0n#gFtxh<4-%Mk(x(0%2g8shf6fo?C+1>~g94)4rXP#t$pDUo~o{cxgK zpV^r+m-tS!tlG*)xDoW!tGoLF`ZwL$XF@wk!d7b&B7>=I3!!kEPYP& zhT=b(eLq6@Q}H2V@skzqqviFeBp{NTOTebWTH`B3D7D+svn?pVsnGL`Ht^?Q7s2{G z=(C#-pwJ%Lytj2QG+X=c@;-p3SFzMPW6<`zTc8ZLJHG}B zbxN&{Y}rcwi{x#?=*D?mr4)jkC(i`ZpYZ>NTnMB;;Q!U`gt47>`$FCUV>|BlrKlao zwtp%}q)V$&4EiR=Tr02wcIPRSA+rv4$HkAHAge274xh&E>FFyFX8=!O)#tr!gg{|6 z;4Kj!G3T+H$%J8V`v*Mq2Fgz|^lX_p+wttHT-!Zt@Xh)`$jrJQ`w35Q96$#=!HNLw z*chbjJ2Sx#dkShhu@Z$RG5m4HA`Vab@yD41AT<2oSkS>|igRtSzBTIXW-ll-r)ux* zR~WP+zHIgvu)ZN=ig6y!2G3NsvY0O&^B$%)2O7w`<%ZZCwph`2NnjivSkX+$U>qS> z(KoQF1Ak3>^eZat7{-6i_G5)JjO+T_-V=q}{gXo8?xV-Yx2i`60XhdRW%LlBcYqim zKuEx)j1dBaKb4O=zRT?UY9D1yTHQv;!xL=oyT!1!SS{*rYyR_Fld!+7BtSy`w$y~9 z+MkW#pjt<$aO6UkYw)q`ZLNNN61WPigt>AAU{ncX3x7vwm4*MeV#cr8(p7G|z269V zJN|(XVkZbu*D)0dv14pRh$D5+{by%{(W{({D;7U=Ceq%wa)CIXqFxJ7S)%R1Uf4{( z9BsC9C3vVYbvD9%n|aNKcG%mw#;MbSepefoGK*k_>{`R(g%w6a2pNPdHT=JO`DIDM zSagJ(*s%;VI=OSkJ|erNn(+S+WVoEBfB#x z#gkxwd{H%zQ~2d6ZW@1Gx2s1_ChKDL#IV1%9gf1SDizzKYdm`jOm@Kxs!<9X$Jl^+ zi*eo4*4DirWnj6+Lfu?oAE!ZIi!8XLbk0_CNMB~g2kf=wVj+bL?R?w0lZ8KLil8c+ z46!;erbqrpjbWEB zL*HkDG%n+f5gdhaQ>rLQ2S3_m`Cd&fpd}~zphEzOxS1z;)WgzOEo)|~kz;1g%_|kl z04kqIJ<5S6g`yX%A!kO3+}LIt6Wj-&Py*eWJI*)-lpb!` zC;noTgkiCGLXIIrGqAIdCtfhDATLQ#ffBEzSXfs`%6lOZ~#JiFuF*=9kc@LGc)J-qG z2g;8Br1dfc|NDe}4zEmwXU#t?r>#i{thzM#FB zE{B`DTezmGcGU@9Pot2e;29@sJjfV`>1~-RZRzD&t=w)CJ`tQ32&$R7@HIXr3TNX% z3M4a=)@+B&bFo{4;&|fElli1=ZL~qK)zH0*0PfeKV%%W@`CIh{zr4|D&l`PaKkej? zs)L6p)&~1prwU{xC-69m>=0<0cw`@~i#ED9>{1MK4*ven2aOCmyxEZK@H*qh@pi}> zw$ZW?Fq{W&m|31t>kE7_w;b_t*!4~ z$B2~|i7bOHI!HU?uyoQcGU!krMp0e5MB159{N#`;G&v+Jq-gPHVuVbq4o3DX>v@&w zpb*&PuP#s5oq6dDwzG6SOFK_5p_6uFOR_y0qQ?0-^42adPKq+<+&~V#&JK@9?Pu zy~n{-9Qlgd(?_k4Nvj&WLJV96b3k<--cr)H@76F0t}o)Y!H5o|JlGoP`ZMZNliS~L z{4fp{ztyu37Uo>KL+0Kck@tP=l-H8Km&c`bPNbuC2+R{6x0%1j7`PW1k;h%e=|dl& z61DBik%$mtIX|nBM2v+f5e5;mh$H2*4*ASda71g6!YI`3@|z{$iXp~9R3uW$wne7e zOqiuym!C9?lo{TaCoa`#7y%VL|5ez~Fut!yTxyz6@c`-ot0>V{TC`buAGK6bh}@(A zV?m>2j3r(}Tc~7hDsmuhFzeC=uHlLSlvV+0S(}h8-H1{@lqf~_?B=`dB0XuOgBrh& z?&lwI3uhzyRaW=A>Gfw**$k8ddxa_mv128hc(waRSyBo_ipkbgT@8vkx#5yP1qGJ) z+R~hO6~uz}+*&ed_L^Z0=2}XUJ&Es>%Adtk6vQOr1r;*+O+i%4>8AITve*(K({jV{ zfeNL}@t}g7`0@)*Wqb5tREh{Ood@QZ4Tn-z&1Tu*NhRM!NK2KT|3ZQCmpijg^$fLP zWj)iL&os2^J_W+m)r!L+Cy3BNm}PsfueZJrejq|aLYV38UTv8u8Cr}C41i6cAxS;f@RVcSqHBMG1<*f`rfB&K3 zDc2_png7_lNbxX3ZsT!OCdWz8V0&qf)jS zDBhj@M^Ufo1ohO>!*|U}s(K{?&asWL$BKk!0pwtaGh0pfy2RFEQm-Y6vkyi7S%{H>$ zm`u@#vL;)#GP5j_jFUhZ6u;i?eSRUc>pggKRva!M9qqb0c|FGs+Q3skMIba)FL-ex zGS&!Ht-ckeG^gw>UdT@s%pBrGaGTdxf3-W)g&wp&c(OY9S&rh7*B?9{VuRNqR7r=Y z_4yGBPz+l#t7GqMCq5`g+S9psDjPaw`I^yPF)CiYQa}O(3wt}yT<^Z>yNL2yT#Pj4 z*A-Dlbjc54OxpyhrOz}@o1o<;eyV*wEYtOHr`{8KCyHWK@?NX%x#vL8q=`OUuS9He zTM1lg+Qu$Gd|I(a!%T3ob8poeLjSz2$B3|y&Ni?w@aLv{X}o58pHZy7TER!(!TeVN z(E!8hABo^#<%$4wf(Z1AfP`#}w29@HdhBTaC#WBvI`xIP46zDHiMb!}b>QynxO@F&x^& zkofv3{o6rt9NKrZlV6B$>fg<1gFa8F`gJOvCDcP{v4@A_)We5NK_OnQ!Xet^#Kh|C zYCGqbW7>R=o!03lRZ&=1c2NE<+#Plv>D4d8jePdd=thJ+Vc}sfr zq=l$|^ca(h5hc9T(yMtX#z@U~MDhz@3R`~C(hB96!Tm~TAjsaQ9Ms*L5+LC>oHYs< z=5K%!_CtX#Q=x$_2T);Dj-WNB27w5R^7M&yLEG*fR~I^`h7j{sQdu6oIY88yctRFF z3TXh1n-fp*^3YkTNAgQY!j5?ct58OAjTv*4ynHe5z2s1j$)SMTnCUl29O9eioZb2xEoHAEzJyZ1bG-dP+i_qV{^S z>=n2eIp#Tp9Ma+QpA|-CA+?lNlCTY-C5`@Svhs6@Utr|58c0cuiaE98_%B+%(HvN5 z;xJ$m{i&)A{*L}#t))^R-(JTrSZPVyFaCu9{b3u zzI|Nl8nMWxWa0t%8mj2a#s%cco7bjcu8N8qzf;QRz9h7d21X{GE;i1^aa417Oo(%> zW{eK|;l6CQR2#-iD>&VnwYJ0k4wEkq{JVrGjHJsxCH9#4}m8d zsvVN70d2d5QfMhn;!-F6AgO+iiEI?_PqiiffXJ!tBG&7AuT$fN$Lnt1w)Tkbk(F_Q z5HX%lR(26-97fyq!0A;E>1z%ZnpJ=@HZ0Xh-S|nVot)VTVWgAwf$4^M|udK-lF)Z z)+-{ngj_E*yHm^*rM+u4P_xtLAt~}$z=n;1qB?5!T`?JdnY^_3eC`)My-gE#jgV55 zdL(7i``DXJMIMn7EqQa*ne6>a2{|`a(&8S`v^?{*=M@ex0U${#7Z3FJo3O;6pQW*j zS?PfxN(lf+1;i^r!e#QxzVmsdkQHKgn-b;<+Wsm9t*|U^+WyNObfAnD32lFkPC7wp zUCf+D0_z^Vo!eO=U~Vt%jh#!zKPHEoEm908hdK*c3}yx%|DO7(!i5D)<4RCj*%GS4 z3HZ;Ql>XHL;k!S77{ja3J{9+M(Qvz~bgovogH)a`cb$n~S>`s&otkG>8#rwsxAW!?lI)1vo1Sk`A zDECsu=zRY{<@23Aa9P*maZ7aYJ3b$6{e{<2*KbR@w$Hi6`vZ`gnGr(%Qplb+NkK^? z?}N&&49-~-*llXIzx}jSS`um;8dnymrvmwFF*SQwZ6t^*>eNuQ+ep#Gc`OY}Nh@=h zKl%FKxrj&5jXc2bE6^SAZ0Mv9_C*~Siw-#LS!vVxb8iajIw4-5nZ)_$Cy3B6;8arV z9~ln@zR7>jdJYR{8HThl@y{Au`yL}<#b5+FQ~T~PYltoy0PJ? zm5+2v9`!=ZckzOgt0OwwU6R|Ub87X~?cpA2c)TQ0MK;hq! zbmLKFOW(G?BdnL9*$duNA64vWgA4dK`s@-efaY zKAE2u$uh(>rUjgZu8U4OGO35|RoFTbnzUKYVT?(mbiCD;z=GF(A!1O>jX0c6y1lQY zozl*v5PTlmLFQX^f7_Y~>|u^iR1-2SVPRG&O;u)Qo-bl$Rw-bOXD#Q1$m5a9&&{!C z&dIUI^+8gu#ptRj>{f6?S2L>ym!t&-NkgA$8nBjI|IxUtvQ?shQGf;!((;yO+0R4M zDq^fTLguE`^b$qp8=Ve7on-xD3UqoekXX0szvS&yS}{NCIUp&SmBQavQmWUjNTIx% zNv#pGn2mHSKSHjtpubWaarBkPflkihBegs@d;43_#NQy_p21RsYc#Cct1I-?YU$dM zIwfuIEa4}8P)g`!D6PDvpU29)9(?%xFn1s;#k`z`K4M0+k6MYDy?F&QnVCtsgk{CP z(Wwfj@6^1RRlk*ozE%Iol;5%VpgPe!%(FfJ;gP=QpO1H&dL$5&a_?!u0B^ z$z*r}EvjYzzA$bL%kP_zvE-ExbS7PMWM1+V*|9};eJ0ic+ZmHyjXI@cYnI>NE+xZi zUqGxn#d}=6^3)<)zbT@(ES_r}8&y{FI(gP#e`@{iPTsP3YU{~!pI!J?V!*E1$`*0N znwpqwmG?AmGc{p;KiKOgrje5=1k5FuQ+-$U!O<7R9wHh)5xZ>5?v6s#EEJG>be% zDjT1;gxy|jTvBwx&=IA0Rj|gW&}X57fWlvK5LH>5IXZq(X6t%athh%Ym4`3wAs$A$1h#WEWA+UBBq4Fz3I zqw#OGxjc4Nik~iSrp3nM@SW@!&BLbKwV-%N+Wd6|DLz{@<%9=GjZok6Rz8s+Be&7Bf*j@|??U`1$V0TsLcJ2Zf=zGB;OT&z9FmrAWBk5T^{AuC#g%Wu zPOPSRbxbyqV<(Hpi7JqLve$>9>08CTByQ}zqt@x2S3=?ybUlZ@kP7IQKXRqQzVZ&6 zI$%Y*CP%4Fsj(>I3nK1)pA`dW_j|k)a?T4DHwg%_H=*$6%P`Y?braTrjN}#=k5T*v z-q$}i1hiv)7TVC$v+QsF3+rC0L>ewA;`1VI(B^I0(0&nIt+XRHdH1VdwGaEXhIu?z z>RyV1MwGukE6~;7E0}@S;bEqi-5T@CBrx{Gxi_2enKT*^6!VqmggP2z!&=Rwa^9DJ z7x(IGTq)=W)4&w8olI>fK<;+?Lh*Q^d!r;ySKO^vr-tIHUCJ*|a@1!}_cG(llfJJ9a=Q(G5T9lHsiM+Ngr-GZq$8!8jfy@!Z=fA*$ctt&--(EJ(q z#iJYDN@Fg_Tq;)}n0J%rKAK11=-wq)*5-BpY2B(B_m4jE=4UsPtn)i@ONpkjxK}XM z#k^A4V}(}3%tMHAedMbbO$@hBqGvv0SN3<0y#oY1uZL?7ip&o#tAycyVH9&~1y|L) zgp0Eb75%1YbS9!RUmkX?PHLfOa@kt>PwIrt|N2yKVz;dU%Y;)pgTH*jZsrPOnCohtO4`aH9@IxGOhM_-rWCf zb$}TfRpHMT#>s#ze%H;^%LMkwF81>5b5GsFMhxEYO23)@H@y+5aSwI8VKRAlwRuQ( z`p65raI{Ap9%i&V?hYA7#4l#{zzo8<(cPm?8hrKzM1@_cYv4DnqGyWnnWh2)Dp3W( z>#_8!6nAlr0vZiYk&MaG6apUY{upIq`g1?NY_WY!&DLV^lWferU#*?)UoK`CAYyra zg_~-hV-=82O#?;W_jNe@_GHbaSm^mt_q6{AT1p+m$}T!8)Zw1wIUe9r@MPf9wTPN% z)UWVW-*AV|eo=hHAZR|mYG<|(EXw}cAL%QC8(MH{D;CxDVs8NM6s-xy|{?bahZ+AwbPPtZ|mgn2vjd^NF8gmsfI|%y%m2W_0iIf zP^T(nc1WDf7e^lbu{=5!{q3SP4R3nb5e?NhhT{TTq77RSoo9hyuYf7XN$fHyuOSFz z#Fyrv)+;1UX_%6Z=0u2m8=LRDw`oZ4NcByi_MEcVjxplkSCoMzZu7*(OO zQCZXDI`jrc<(B(f-s~rr7UL72h(nhcKMvw!4vk^!jm#8TgMXK;mMmm>$6Y>}Wdq&-el5W+!atRBQP!)Trg0(cd&1h8au*{b zP4(pt{s#s}7qhh%6x_5aY;oXgvBI63FNJnzG!p7|*D9O*ftu_{!{z`X9irH3yUjSg zFpFqkKEfgqZ7+f*w42Zl0b4SVU{z+~a>>KBdrlJ;+RMEfrH+Sw_<^v>%4SRMIlje3t zXoPc*!b1m|#s>o@duw}3AeOiX|22-dw?WY~Hm92!(J>@M^;9d8+B^IqTrn0_kdSuO zcS%}2CJMV9WpcfL`2J7PyjtB4f7WKW4XM*(!kY2FbI0k|fkzjvAqDr5E; znZtt4Fqn^4B~?{sVSS@qxmr({!W~EF6PxjH7SQu8Sm|g6ed6;VEz&=Y`G|0_T7d>x zrn#ZH#*3C>SgD61&tKUai1p`KJDjF9Cy|TV!yXa;I4|-4R(kdD9f%yAyB1sLa{2#g z`o{1|o+sRmPHa0HJK5N_wXtm{8)swNK5??~#@yJpZQHo#_rLdkm}gGURCmvu>FJt! z->Pbdc7d*nO%AQ7NHUgr06XrABT9vz-R0e#_~!f{FFVr0)bQy00va3DI(A&#A_>)n zS>e0Z_=(3bt)lWOb`RD8^RcYKxRm#!>bv-5m>5yZwIs7}?fflrEZ>S$0!r8&u5xi8 zb*BD2=7pS_xTvxk8>0Be^%vq6``HhJx>6B0Wq1j_`EXS6i}1^=z1$}vwDDd)6U~%l zWikq!MwLKiR?tp0P+62BeLyAVyS#xUb$wkIduEwFHbv7AM&+sDC)5qRU=?qYW(@YQ zZ1`%FHUBcHN>PE$05c|R?#a2FU1BMth#e0`0&IP!rGXADN`4bj390XTr>3}Fxlr)p zk`XOSL=$me1p{Wdk^_DTX+6%{%xjYx3CqkYOI?${9<)-P`tusI2}*{g@5*DF;LPj) ze$BW4_bY$#t4p|QJqgZ*Ka-fhZB^=YI_GdIRQKPGgi2S%f$6>uu`vf{oQkOKnF(+T z4?}|KHeU$8B93(i$Zp0Ts$DxImh^(4F;~EG`XE*~e-Cv0Z+#+XXgA}0K!0Kr#2*1; zbX-^)QWHBHQY#A8m*^79(;|QqxsemIfk6c*%9x{%IP<3kV_jUqz3TXo$pKPn`PO=p zBYx*=J*Mk8_@co2*TI@W^*HkT?{{BEQveC9|tB8P>(Ks4|u#mH+N#&~_05G7Y0|N@2>Z)$n86gY{pU&!!Q)H;|SX zMC{eb{)#4(ZA_*vP9=5gp;n_Q=IG`UHxI4no;P2y>JF03<)}WC6yC-2%0w%pI0rfx zock>3+6zHMZh%!)mHQ&m_;W9;ARKEV_1(Mgsk2q3WQvQ@?BU6*;ULC<{nevieYUA; zKnCVF&;j$=;7EB)DZ?2ws&t`Jy@Ugb0U+za7%DZhvOCjm5vT0%d=!*hr2Ja1Srku3 zdRl2TAXEj8%@rKNyyoN5&dZs*s|Bo4^D-jv|C$}gF^sVq9nvAaEe~|On}>SpytYbG zoox!mCR1L^& z2iQE2B3%W}yb%nbQYWb;P$J_(t0X$dBo}DnXgS0(iri5Pjhf2gNgj4Whg`KWeBPs@-~q4Rsb?ykIqjRd__1a;k2i?_XtGxH;UE}V# z2$#JNDGwuQbRhQC@kOazdSu+hrQv>QB5Tws)GPDRcy~-c2OF6B^fIvs>|o>OU32eM zxVS_VKkJ&8^JCvoy$rp#irqHEhh?V?-FMrZeY^4I^N8X|?ucITNfXgrZ!+{_H0D1rtg# z5qaNLj4i^GTO#7u*X9IfFKO%-)?O8r&|u@S?<23#;)L{#&B6n*QnB*gpr9D&%Cdi6 zaP<#RX`CB-^UbN@G<5X>UoZg|Q=)pKUp8HPDv_{Xv!#=e9Y!Sc>MVi05Jp;DJncB- z^D89zs{mMbPAME;W3tm)v2pEeyH^-lK(7+J6izh&R#d%ze{X7G(@u?pj1uey*VZaK zfxE_KZ~BbXd@Enn1`Wl50__Jb&7Zz_6LJJ(R2OgZA13nPr;C=$Tx2dgJyJMCq=%0v z<`Qz7y$E|!l_OG`p9pB7Vd30(ys)z1r%hIt@)9!IND0h*V&gMF`F?VeO)gb$3V$QIfeqtmEntQ7Xn3VQ`5tJ~Y zSz>hClk`aGHLPut6Za1$cJH@jJv1DdyUViN@gjewq5w0VS1m)L1Oubetkh;G+9-f^c zei!WQ;Q5e~#}Duv6dxvlp^G|LWaE`|D8y|13=EtS6(px-6?lm(sc;pdaa&8e#j?3B5DRUFsV@i(gG{!Z z6-iFef91!Ul<|~9ji)KzEQPT=CN~ol7Yf2DAEW`tQ;_PBN1a9yvbK)=&0|87h1w&z zpCLf~>=QkSrh+k#dpv6x8R%0L6@(k9m)kDn;LY#O?F4LZruL9S{OA-H{#+FANG95M z>`bB&7baTb7LE+mt#%2*fO^qAf}w%aS4ezUPgG~AsNaiu^n3-b{iJ~j{>cPwvhMm!|A|J6>&cX_GvElf?lKW7NpHLCj2;@&0e z>%KQxa;|HEiKES%k%COSuI}VpjW5I zK_6gvFy2pu;A>Pb%-c>IVs>6T?LV*wwJ zY$FY&|9#GO8TxjACcxxL`G;826n}bnL%q>v#9Shgdg+Hk^*Rq2uoJ$5C0_`7GhfKa z0CgO5;`{hvX&(~NXD~AR`$qvoIzc-~w;dXM@b3IjL zN;4bZ`IWe^nyR^+6+SLdskwv9R0mfg3-#k{R^$SdvPe*@??`_)sgmhze7dVwRC2h0 zSHm^lu|nn;K8pch*IEd{no(`L#p{Nvh&Fau%7e zxm|v&Wi4I4%Q;@m=Sn}9FKw;%p`aL(G2LeeA(=lr)NA)WndNMt7dM0xaQQqU#-W*= z8_Ot-n2l5Lqko3<#H-*SP=*MX(jo9D8%_J_I!=yC32{=2<(@fCTM;7h6!Zxzx^f*y zB0>A^kfCr~_9%fP>yrZz5T0hUBm zU+?c7q_CO@yrP_5U2`>kXUE?vuLq&UIn zf!^SMogU6N4c^G64GbmG7EFTP&OUc5p~HtkHsU_q#uWq`CHAj+Uvj7as0Ht^1rK&m z=y=hy#~)87x5(y~6b1gZaxMG{p5+LcI5F`eBpL`KYl4dlH_AYHA%Wu%-f{W$5{}bE zf7^2lbxxg2J`}v zF#tKh+HCCWM{Guzj=hib-3;~EVZDFy|K3+6T`9%~T{vB0w2#FDzRy4yDcuX$6dOuT9&46%{J;oI<7DgmCdv zovZbGATWYb-QKQ~L6)=bj0=n8A1YdW)3iYZ&T!|IldTOQL6Yq_?=Up0 zM$Evti6Q7lk!DofARQrqe?pzbGgh)54rk4MH;qYdg4E_cIx2ZzN5BDZzIt++wtj+sJ&=+d-?IV1lLyrm%dFVu~Hy(~U{hi2g%SvXck zm3Uy5nsgEsE?B%SMoq5QE4bfR$M#o7Z3T?adg@irFdL^>*zW$=MIrn|d3~GoA22xR z^EUDNJV&)aX%`<1GWz{!NQ6IH8N-AdCYE02cQ2!^d zsiKV8z21m@C9*rTj=h>i9qkH@Bm27MlqTKmMm_hUt0_3auV^^G_gGI`LUw3brU(9m z;hO7bV^XAZ%2Lf*>-^=6b#i6sk16_r;LW81>PWUsL(Ll|8&*mD!8Kgtp>bCS|R* z3sDN`_1{}X|MI*|dTwAz*qjr@u$#mVuChs*AZ8chhSkMLM=@#S)iJrdtKa9bFX*Hy-^x81+=oyqsd zw*KP_z@$kq+&6rv-x0iMa9$3v7!cjjXdV zSswk@`hBpft|ygX$iCAvUgu{iDAj zgjOEFuRnpvpz)nKD|#e2gYz6k_%md)eSONCEU)Mdi*c2Hn#C!#@syO&(e zYV|%ZuX3XuiDfDvFn?7DO}nfY*l!_;+k( zZy54&tlw-~5+Z+XfVsC2j|?hk5LN1@?KG$M{lWdG^W(ncfs0j z8oa^s?sc122oeWbkIJEPietr(vzS8IRZAuB9%sIP>n1<1B&66*s=ZV0ose>%<5kbK@pv!qD zF*<^VOp! zs@YltN5vW+*R*FN2Zy)it&_Ccd*?Y6dLH}q_Mw`a(-)RQ7H31DPW#QdKcWMPs1uZa z)?u9mFyncV$Sq1!d9flJOf_)MmsdMPWsrWS6T^rl>GVJgP8boaq!cWKhBlZzb5{tv z)$)Br6i6(BgOAbwZsj8TSM2o~oHJy4Z*5|MeT-5aZy?T8t$(4UQNeJF32!PaKKzwx zzQezvH%sTVFePa(>uv&_p6+V6rPISpQMuTzdG#jYnopqffEYmmV+)g~w}QsZT<*=^n(zd=-=4|IT<+|jwIAm&sh%Eb;P1Y@@>hIod_ zhJZcqPQtC6M49Xd?EUMIPE~S}b>gxzD=XXd9T=hmZdg}dK6mA!_14ecM^&Ld=y2ed zg{2`RBwI%h`d~K#Vszl|(5bs#=Jb3mJm~CC*}dOZse@n?4PDH9xDIkI%Rc&FjZV`~ z$-Bd4x=%tk`u%m)mdEHNWk(sz#*N{MTfmmhicV4)!o!olR#pv7;~nCxpZQd^Xw(6C zR^Ga0QGbXr+}n!d{Pz)61^sVs4}R5fY`p`2ZXzRSK%POSy_Xm~;fFC?#dhKWm#^Ybp33uxTeq&v7 z+xqU}=lguHfZao)09BXR4rS8Vj%F)cO*afNQcOB7qhNl1ew>>86Az=SZBVy3BkG%; zMQ#eEk{buOrZaDBKm0Tj-K2$pAGH?g*?j`;HCBH6&Q4?*8~8>+qjoHv>W3{|X~ptp zoSYHVV8sd&onH+@#S?f}lZq>x`?7_F#?d>Rc)9%-FU@fb$B0tx{6iE%SHHVY+|;PK ztRDZ-$sod3Xro(E(l7Dk98q#d-G(1xf`kF{@wXZeKy9XLU~od5ulei~7mH)~t4Q@t z+mjmb3R}9t_fy$|+rqUYno%;X_W~k$rc?2_CGwf)Hp2F9_oGHBw7eM;S(2fi>Syt# zmMD8NZ6hfO{vZ3rjm~D-F9U&kKNC)mN3;xOO3zvteS8(IXA5MkEVJ(2Xaob=%_SSV<9Hq$!G33=&QxUwdR;z8oz#H<#!*cqV>J1sgvZF36s|+3y+i7 zDXyFiTYKm$$e%bE3GEw;Y>K}df+}gXLYk(>JY+3WcM(NBSQw(2QXgjq3M(c?Zsw*q zG;~yXD)8gdm#d$Mjqn|boxMIhosy#|NkhCy8dQ;kDUDHEPxe#^NcqkS$ZiSL4G=c9 z7wg735I<&n?H#`t^#aY7gD$2WaZ6=s7wqS~9O3z+k@~!w-Yccxh{QM=@cwm3c7k$N zU%W%i@#3M`tV_)P;^KQmVRV!yx&?mTKw3ZCjG zq#hb>bh-W@RQ8hLcrxWL zh%i=fwpvO*fGZ@vdJ9^y{!2=_hfb)?y|q?Z%f?|#MySal%R0GWhgTs{O&DQjo_5!% zQ#je^5~k_yZR30qZIZE9j4ym6uc}QeKySW1sU*nri>hp2qha1KUOmyCA%q*hXoBMR z{BgzXiu1}{f1y`ULqe!_^G!%R#~*^flU?E`iBd=^lIlD&gn#G`*iVyU+Bi`3+5t4x zL`}Kw?3?=w&DH#SWp2J65D9ES3(Uk?(%yZ;yaL|jj0NoMn>GDZ@B^Y>_y-1phlU`1 z#ZwvpG{A#t>!%~x(Vp8X!E`A??DWF3Pyho6L$y>UyG(vqJM2d;7FptX<_7>v5Xn~t z7h@|Gd-v*j(W!hqN&^h#tw><;$&*?D0pv|6Fl{9xnlVp+Od$hjD9noz9prP3 z_@B%YNQS;z(IWtMJ!zF|@L`00H#x%TiQ2uS^*>dqL9PmF5A4j;$*11}ymhEpp}h+o zO-DQ@{)&8nK$+>Pr*`>~REi;HaE>2kP+QP>G!F0KMQWd~51rDRa9RdSGN;i9Frl9j zQAcKu2`+EDiatWhiC@HqkK6yIS-=Aq9v2a`aojA+TE$O&j}X&<4r|t*Ry<9ej)3-9 z4uIvqk|&)Z$mkC;663Aa;dSD^=uzTD)-Tw8mw|m7bV+TAA~@=i6+uR>=eNKSB%&u8 zAMWT6x+^@xWFJTYW&W%oJ7<}hHjDFD6ECMtOP;fa>6y z^_cg+SeIfo3%*CAk3jSh+Hwh{7z|452MDxZaWh3A@*z8BKadiiqh?;q@<&(e{M@=B z+R9FYrE$V)1f`vYX+Fk%4-W8&ww=}c`~7{rkrk;T;EFZ=2J+$K$uu6((1sckF6_DZsL!n5AVY!+_hUZd*=)|r+-wJYYM zic3Y1g{%gc#a(2NN7K=Hn1!z(>B$=ea~5kCtzIB?WE^t%{{8K{M<)&P?~{Y_$hbfS zensB*{)`y_T2OE<+prerUkd~lknxNG-nA-{SmbY{QRIu93~AJJh+eb>gIla}v`tEF zde*c1TMB?2DsXLh3_w=!GR~XIIWGDr?6x0mJ^wSS!)=|p8oLp2L%tbQj3ZI9SLxxd z0Ns`A_qo6CGr{>wCgqK)Z1fSIs*rZgy2MYXQ?(2HSU%K;D8L1F&5bC+6DFv-~#+wf6bk-qR3bpp=d082oMs(#gfY?F8lD`oWE+*?s!Huj)*YI~C$ex1Z1P9IFXtIzr%jS7l*^|tT26zYYHE5)G|R%vY3 zElnt;<~J=pTc2U>hB;{h@X%?MwNRqXLYHa^qV)t(ypc{y!0;mpGz6|0g{k!^s#Si2 zH%<8#KA+h^O9reHKqF6(rgB$7Cv`^$yv|TpypTdV)-y4vp873c-i43lku;3+uOM(;P8h@$A^f>GSkshmK{s zs*dGxA_Lp_ABOvB8VT8SjohbqQT3)TEbURkuSVj!s$;54vP|-SvqKg3`d$*$ADVbs z`aHSKxqsO>#+=y}8@ch$wh3a~Tw(`#cqL8rh-MrEy&O(O=QEMF$Dz%p-c{!@Tj!p| zIPG}1&N`yWx~E&Oc3s>EEQ;-=jihO3YUG_4A9#jGLDt^&T>m5>%SLWrzZib-WfeT$HFsA;!% zEPre|m?p7vEnFOsM4hsFBuS8* zqBPhePXTj6TOvVmmA6r#N8;LnT8Kd3>{$odf)>^FTXRELQrFP~4-pKz$#pG@qWv@` zP95J^anYGN*pvo$+x);Gm&8Ru8j*krgQJHPYiq2(1t~LN+dlc+`i2}`|H~2xs;x$r zNTd4m`c)$7znzx^NT;?SSq8YTZjdUK#GawPg{yACO1nz@PZ!3`h`?Tjc;6mpUVe75 zH=9WKnhm8l9iN|&d)H|Gj+;SsV^@y?&Rbkk9}#v%j#c~PLoD4L=fvm$>A9J(IiIX zFkOQ|Bd12@qMAgoU$+x<;>hkwPNA5ZhTaq!CrdKFb`4o7b_Tu>jqvmHpj>3$Z&P3j zJ&j2QMa8m)u?Pt1(^7BLxckfyGK2#}LEP0U1VtRFq~oZbfsdzxL&84-U2BW{+859o zuIN9;>;vMNaZ6HJXBjNfN-%zvr)jJ;cdXe*n>^f)tQ;ObK0m%|Acy^ws@v%7PJnKG zq5Ahcr1y_FpNqtPDa)n}TgAo^!}C z0rZDrca=_ncaQ6ZUt|~VK~h$#O@xJO%i|3ASs~+qoAJ|#c@+~dQNwKMA0iA*jo>9@ zGz|q8B(VLX=OWWQ`Rp!lm*mJI7D}4a;WHWecf{m+vO)Tx%+Fkst@UcfOqc z2Odu@m-<+K{l9}Q7{JsQ>1Tvs zo}_>{D6Aw%kR+!9_>oPdU<-nvl{j{fTIdj)jjU|VE5sJ}QwNb~T-ciEMrW3L%wq@L z>ACUN#>QuGBoD{UrJU9G$hlfu*wYAVm)sBgPho7!$YE@^DPayt@#RVH*i3bVfdhc1p69dnYBBkb%#-5!PqRO)lkoL?_ckH?hp4a6ZZ81x{#v1 zNx{27i#`34HncHz@+#@3Y3A5yjNKbcWGUk7pdpFS^5>dACB49&ea(Ss4gO}X&YUcl`CwM!%bzO0s}dE{{;VY{1f8Yb=*kq~f-iDD^07VaatpSZyLYqCF4JTkVlepYZNo^@#rs$1B_m*?lKBe?W z61mh*UiGdqcR}4-(3apK*~l}A z%p+8sLnTNlR*;B^`74)8BIG}Sge9n@= zFO+`eZ|M9bECE5V^JHf)zfF1N>e-Mi2c!(T<88zK17s1aktjryE|@1~xqvqnxk`#n zqHHZ3c1OKL3lJMCc{Zif^wB~5f1qEDoBylKjPyv|?6%|&YBRv8dv3~WhdoPH5O!2(zRJ=MAjS#f*(5#k)K1E**_-5&2-yuylpTY$OG< zMmfoWNbsiT{b7Y6hR!S99ljAfVv!r0RX|zgI_q3i`KzU@ckf65O5=X60pRK*AsJKj z_#T(N=r%ls;Q(TtJObwGv9&(!1D4r^kV6lz4K*VPaQ*>B5<@h!sPx>gG1Dlt?i-Vz zBgf#dCL5u$AoTTdR@pu7t$**vzhr=Q%I!Vde)yzG(6kjlONztM^$*?i{sLxL71@dh zI?P>Di%)efVM$zI;FY;dBgLrP)BAw_--K|*Q_)8CD?-_(J~p)15qHbQ0!})rH6y%q zn1BtB5;D>9|NA&SjN}3ZR*>fCV<1kO@4*htMwA~=>&lnw1rD!L_c<$^zR6w3`5twk*nRnruTLpY zsHkgP=KCV{yx=nAVoY|^aVoi-m-{-wD08X)nD;dM2IHUXD1-7-=m?DB0#4>`I;BIhV=O2a-BTxawBjN$YxM9M~nTx;X z<|CED&Id^E55~fN4#XhyFQ0CAXH%N3O851=?MC=3JM24J{7T^!Dea znwGdy9~7&z*27WOaJ02QXkMBl%w zGJ!=)A%_N>^e_wXcNdpm zp$T5D5{pwP6sQo9-wLIygUuYFk3mGWql!$idM)=(iNfHPqyLH6sM~WYhL01I}L21Uh8fsszYiwzxLn&fP<48Rs7;$b84UZMX^ZSS=Hk!nc93B18CYr~AF=nR?b2PdVyW zLDleJVqi3%z(8E|4w*d&;E0t~)UpQRoY|K}Wj27^SB<1JtC=Z5K$v)1)IGJCfnt={ z91L>ktrv50%TwuPcOa{B{S|E_Ss%JYwy+FajK^tH9t^j5T{?ASdzapZ3uefCzq~Du zQx@~|Mu~U=gY^ta@rIP@l_e?(+;?2Q**?u1X|}G@fN6>@&vM&5P>6uTZcl9s=-qT6 zq8}Bf+=I+H#DD)*FS6R4qWNAcIV`@*SeGf_AJ^~JwgY`dM6ZnHRQ;nu(f5lcM&eyX zj~KR>9*_1pmBJc50f>A~_M^Q=V4$k2lN?T$2`XB0NOL|4hquxa8}>XTzI!CySUUSm z?FZRlV*EVtI$Pr&ev-sDn|4HfS5mTJD8==)iA^1=xbDQlQa5$q()KH_FoBCY@yODa z4^%oBnUUgSikI(oNFAKu@}MtxU7NXuP_!0%sL?bK0;?{qHx~wxV&r)1%oXS z2=wjnn01`5YKZAmNhdwQlj0Irw<0MMh7g9r8z!$nz61m+*pZ|I(WRP`ATC@XxWIeV zY$hTGP(eKNl?8n@zN4}WZq(M4=DQyVUk`XTrFy6D05Q`3@pUZ%@c?(P_&P2>gbm0k zO=aYvv4a}{Th`0`0g_>%~THm;_bs5_8 z<`uN9YNw7t?3{OAN~kjA#={S%!CQhpw6twFu(X{%u&f+&VOzWb0dJoeF*^Q}GwVHq z_Ut?hv5bbPj{hsQ$ut0Sc%O#|<;G4-yh}hJ+pStN6H{#u;chpBJBUYDX{rf&5-;Xy zd@hn&>GByO@%#<#@J>7J+gVE5_D@Eg#;S0l+P)#+Ne!cnfx&*&vUN2)L`g@AWPXq; zII{H*|HX3OsC6N#GiF>&>#6{_bL00g;H43I@L^}M;2_89;_izw<#LR{w zw+aY6SruYd2GLQS0fx>T@y|SeeIP(%QHmZ(Xn97}rOgV?3B(T7lnMVwEY(!n1X|F? z8fYwmn4zw;Ixa?URTmFv8IW5QodG(na$rEZDZ&$9mqn5pCQ;o;ro?=; zu!!QL6u&a>ZbTkBUhXs@0G6&(SY!yNXxXI*)$jN6UB4nKA{rKXP%ytkTt8v5aLLCd zl5|T43txV=9|8Gb9KZ$Xd{HzTfe7K}o#8PQh#(@F#Gb-Mir~XBkAd#%zcEbb1(8G1 zvqJ$+08i$7pqkj~3B_jAqP=IykBf@plOUXnKGJH!U$QuNPzkcpzo!PgJ5&3SH%YcZ z{10)5QMZdenxF?nz)=Ue-*qT>eYeO*o;@KhqcJNU+^*-I)TYQ(=xt!P8wlMkNFEGrF0LyqCy0ruA&4>6Mdg$tDywRC8s&jOS|}&Bq6V zmeTZ7V4yuV#=S>czBsJW%igZ^*`1Z0_ypR?w2)E=An~xECE+`hMQg2zibM0Df?A9| zg2}RUUw96=P=9r!)es6}HXaPX7oul(UWj>`D*h5IVjSd06#+wTE=lr(5_mfw#^t7JE??7aefrx*GJK_mA{s z5uyH>HORN;f3mPn|8v^`v(IMv5lht(17a}k-BBe2kZyhlHCBlIKe00$Om^ss$P?b8 z#JUF`jd?OBJ{)ocxFET)h?;v=KBFmr~(V8R69UO7;N6(7H|Vh1i%m~e@2 zQJN%@XD`NM5GyJ>o)Jh@F9sPYd0vxd7+HEwGPlt`e>1=YefA9U;e=*D_etFu-WX!w z5Os71K|eo7&`aHYpICM6$VjKEmIO$OJz|qcWwLj6qe%t>MqtqK%FoFEor)uN&fdz9&Lpsf&4F&ptNaD zF8SXsMYI)^Vbs=O1vF_fj4i4NXVjj7h7jwgN&e;d;*pif*?l<+%XP1wY*c#rNypSf zBzG5R=|j`{m7{9A@NkbG-W93mGT@8(A496bWna$%!p_uqv30vH+Pn`im|9UnL!K5)EaW@dYf%;e}9^;8hq2CF~Xy>pe^nyL1uDO`Yfd%A3@# zFQ;Sh4F$na5eK&(c?}7|V>41^$FbGF!3$p^C;O$nfq|$L)YQ2^Ljq3@B~;7B z9^bFnD7g>pnJ;k)oS74XWkRAvMW^CibfhQ%sokNZkU`LNcG2|8ts49AKcBzxq$xv+cU~kk)FB58<;@L z|EPC-1fgeh_a@>NvK%Ld;d5KTZhTnEi)$?KxnEKp(O$S6(VKVuHYWhssBq!P>;yUl zG(fX(Z`X2k)3r!JNbjq0el1m_lBSJUm7_C0`TRf0T{cdsvQA>}h;K9BW&@m@p0+8B zw~ep3MI}9$3AR0$>7|JfScig)ZatXCiIK68C9F=mxgz;+yp*siK)Gp_J!-w%{^h*< zHyz5zblt!^-)v8e!QCwfr9q-xj`1B)fCayCOnFLw+v%DF&5A-TSFCjGd;zmgwhg+S zh+#C1h+!Nnp;ILbk;XF&@)63Ty7Flyn~U^WT?`2oaWbZb>!>UAvS=%gpz&6#h$d~1 zosuNRftV<^_##b;4-VR3q)8*fp+X%WIF=IeEB`kTG1al!H?)^@MN=t{v}Kd-DvL+B z7iah1t*xBx+1c#zSF$&3bK4_S#TpuyCn(h3@_6{4N7TGd1*=ofDuXKW4C+`g6LNoC zo$l;f_ITsle;k)6tvR0cB6X3@REihFd{W?=OUCro(|l-V@`}BG=)yK!?9&2^TSZe_ zF1)QF*1VV9Du=|QEq1sKPINvavP*)Jrkvyw&4M=^w9u2%S(tY6#Gv8U>!;1oqF5`(ha+w>A5{dDv$jtVoCB?hHz?3NSj*#BYc9iuA?nzrG|L=!s` z+qP}nwrwX9+qRPlPwZr3dt%$R-!u39Jnvc`e$?vjU8k%2sQ2!wtFQX0d7Ce)^E53X zI-RJv6lb4%e6u)r2}{qeks+-4bE?1~k?lk`@7TjLNW$#1+`@2NMB6RNjo6z7k;N8N z(m7!gh(_u8WtNo7$sS+5KZOcs(%=n2LI?upzJl#Mhe8sbq=EAf8TnTj_$=T~erDD< z4!qN&akU))A2Z((eRhj$&%Tq*WU(Sq7(23qgkJ>XJG1vPGv;z$IL7~vY=@rIxn<1b zLH-jv8_s#1(u!?wd9tXEon0B{42}(yR62Dp6rjyt_1Asj5gaiN;d10wN(3QP9CrqXGvo zg93mvKtTgQgG!bV5~)iJBU4MCm`dEE?WS}{l&3`@!wZvg939*^(<$!AgjK^qO3QMk zn4KGX*3~I~V~t0nezQ1J^PGZ^3cL$?ly&Sx=DBHD@O@o3qm8T96ELOHs~fG9>v%Fu zfFXn~J{Y9K`7$nc!70wNNLWAy1q+^uEP%N88F_49aP~*v83npb16_-iq)Z^4p#=(foNkog*Mx6g*==Ql&nk`(S)`X!^k}i(vJP6SssRQ#0b!MB1q9(B; znzDlNt07@6ql;M$Zc(VaPN!zcJji6lgyK&3l{%i06*tnxF!s^ZRxR}<{uoqI40cWU zlenwc0%;Cf?|{xo#O^UQV~z*g^$5cHbhv=f^L%-6__jo^f779R$y%8LbExxk|Hi~x zkvWnzu1o-3mf52|h9awX9!Kkba@*2BDcA}^p0^gv5JFx%hXkGYJ#6Z;)PGw!!*9Vq zDu9M$L*#FtcsID786tie#fXI0Gn=TOSs$x~G}R^w1n&h}nK@ni8Xxi)%1jo$%dnEj zw&^ar{K?xIk-3c`pd`Pig<@GrX<1pRt|ZknTc)HVjmh>}Tj)OY6%`x}e&Z;kFn_MV zeMuZuYvl16B#Un922?KZCmxNa7N9256VOWYz2!iD=UHAtW2+N(Z9*ejlDEDtiS3BK znd{Hb;dHhVXmMLFU(#(pC@_<=)Q1t>Sbtsin8QVQ>XJr)t61LRUNO&C)Su|sy!33e z>rfYsI`hBH>;d}-xwUDn{VnAhl#N6&)g^-ziOV-=(j^G1$@cA2j{OQffP| z;(}J5NF?e&e9Pc%FiVRhJ)@kZCG$u z8cAvQJWud~%Rj2{*>$B(?w4qd)Dzk39jTK=r2n_HUuq3=SegyK!X*Ovc#`fD8l!za zsDQsjN?8JGNic4*)0uKD#wfJBCf zH_sX${k!PU!|Kw)Xvi^GLq zajVKvX0e-hi5&{nj}?nFoFx)Bwl<0tTW4B>xBuhXoxEMCd25fh`gjl|@<%b%1CTsA zHt97TWSHSX1Y?Zvw;taw)UEde3V7>g+tqR4nrc-}tr&Fnc6{e2)qhF17gZpl^3qxm z=%cLfu~hy}zG^)GTj)$O%uCJ6p!hqwATcJ7IRyYRY65c#xdlmM2;>80y_X}Og4~e< z0}|DN4G~7;J7eOKHW7CC#L5EC&!%i{*OUl#0agn~esw@Iol!RfMK&x084h~r01Fp7 zXOTKNw;wX=`ogn{i$+Aq_rceG1qYurkwCn&jI;iQHm`;I<+e*SRs~KU<4{%jt&AN2 z3~UvSHNZLeVXlo*Fs0VugDjP8aWRP#QH%+&MPCkLpq2v22L#F(K~~T8chlR zWaKSdDZvmTct#DIsc$&*2^|ElfN>wtmk)yq;hWEJ3>G3te=YI5`|%fB2hJ&@!X=$A z1Q$(X{yu?ydf=j?PC+lO8ccERy8;6R@B`L&Je^FqQR% z27nPWQyCg@lGaTT<_7ij4j+CkILuj?g{T5e;Fe)TL;?HQzOx5hpOMmTx(uTwQz2EFI!e zsMW%)ndQ&{u#>)#>fYnkhgS*;X=9nt3AQ~nX1Bv}C(c{u$(TO2^NHi%4NlKi!?suD zZc`J+{~;?1aO1*1t>=oi2wenHrNdRD&+dL%$TxyfIie6KPl>UB7-pCAM$z>(LR*Tu zXpE>6r7Z7C4||jN>6yg?QZY$rfR3;5Z2~fL~N{2OG?D+(u>SrUs#pIuDZa z0}BL(l#C-jXAH95K+Y4)(cgCTtHL$#)Ui_6A-V28gSE!p(ma*g#*F$}X8dz0Jru=* z?eEb)&Ik2CQQOnT!?GV3ow|(#F)j$EFwZ-k32UhNq7-UC#?CA zj|?9;=w$i*WJx6>@iM7_A*rrR0&7&Mx#&2SgaLw+2i$HLiz=L6;b zWdma}C1xgb#iF?Bp1yBDM!}%u`yfHtN&G{l$b^}6-!l*6T4%E4zlH@>@GvE=8aLJ^ zv|+ltD<<_b#F@mpf`NjQ^3DWHSbsMhGmd+sIHFc0Q$MjO$}uS;0w-1eY6i&Cxgcr# z1X1w*ow5G2H#(xhDi`&} z_=L}r@jr|rA4{#hRF1a!V;#D+Rn@~WoThR8Sk{nqHu<8gYZtxj%3hTpSGfQXY)-iH8UP6zb&+nyv ztprJuio$|YP*4;M98^sKppA@*(**?$QJ-%B1_K>hlW#ELR87@9JX^tF;Y$e)s_*2m z-uZgDz;3VKqX`yL{gvL@{rtIbWm8%i=J#@ur9S<;QF;m8b=g@2K&r>)?ec2j?DL_~ zCJq{gmwxy|xaH_aQ43_>x65A)q22_rdw4&H+aUW4fX!UadcV)Z2X+-twjjT2(7?wu zl^7z@Kf-Ac9>*)+fczWV1$m%6F75$gdI;Bvo+ehW%LPsWTh*k?KzQ3cha!=6gFTtzWsirPHAo`ntd)W(g{_#hbSfcz$fB9MTOq4*RH>*jCCzMn z0ULe%F=nu-L|kBTqBz15mGH0Rpu-vst$Qi`(g|eJox*BGbgsXLkGrEUuQJXa zQ(P!?t>4KVPH_`2YFrw`8Vl5hiIOW6r`}i7Vt-)i5$}iuB{;~9w%;LD5D@oN~WX7?& zAGlJhhH2L!=+0WB%k2~gjZVvuX!G6G;5cq>8MdPP+4={ORSz%nx+05&d|6NG{tH;U z9p5~l;v}0X-A*l9esR)Z+cQ%J8}aj z`3C{@*BY;0&fd*L*MAF7*aTa3gy@zx=SiWV$qH@{Qi z^sg#>_-cqxv`rYp0ybz}Xw7msz8_6k5HnE?cW}HPSTP{dZS#+K=lc~NEN zNBVZTIJNxFI|n8X8rYifXCZ)5zHh>BUQ;uDc(AtTy=(HUgLdpTOznG|Ka}^;b^yW{_fO)@A!D(Fyn*^ zpIOr{xCUH2I}s$}9$ro^)R&I3vd*H)@({?vaP=)N;2|eBcIqEP6}N7J9QB887yLc8 zBoKn}&VS8>@FKnfAce>u1&&kjer(Kv7U+8sxX2((ctKowFUW+zQNyxP15ApZJ0qNe z3i25+en1Bq0t+zcAVOgA4SL)YM1PH7{aVc}q&HbbV+!Vtlm7guGykolXtr=H^)7I8 z7uWHu9tP43Az19>mt@2K_iy;`7g-@@zy)vJn}Ed?A((Irv|x%C5j_t+9JB|rZ|3Tk zCjZ{`6{i_*kaGS}`hyG?-1P^9@4V*p_QeB`DyF3y5~z|#f5K_Vovk#3JlH2V!}L*T zOk>Pqh6KJyxlnihd0qeCo5*{;n>Q$r2ib4XcN`e@ z^)hIdJ6&*6l=8R|r~!u6fKUqiv)-8}JlHo$giyvm^HudG{L3~=JNdm_IE|`dL1w28 zQ8Zw0zV?I|^LU*k4>uTp9EXPScrmn=f(jydJtA^$TK_nQCLH%l^Mnuo$o+?auM6CZ z9)`gKV&Xm4fkBQN0z7_?sefuDpsxaFU=L~-Gmer61Dzc~Z?{);55}i1;3w!KgpvTH zH#cxp!LXoHcMc6-uJuH~VA)DnNb29je$s?+aZWi{p1GTUL731Q;879;VE1HVj0m7n zEvLqTAaQ9PkH%v58EFF);_)|N!#@J@ivDKX_gK&mOjP?BZ@Vme%JnJj`GxPEnIF^6 zn-~&%j0P3!sU`eu=>h5TWZ_8OetIeI7Q)`|0MC}!4^ruv)Q|1!AAJq4?}wTEQ^!30 ziE$nSHpzXQpil#7j-~aTt}EU}Bt-RN*bgFN10@Sf0jZ9js|suXWwU}mjo@!J)yOBh zt|blZ?zSlsSF2PxJ8jmLB3?^sCqG7YwMpkXnx%%LE?23xY;=pteb=zQ8Ku+L+l#<} zCP)}o_Q<}QQSDup1MyB*>zCy`1#aRGP_wbne*+$;USp+Dt=v_L5HHFl;4H4HuF7SA zYFB8Wdf~rnG@!Z?sMh$eTBpEmt3dCfOSsEuwd1to3H~9{5@?nCZzXSGmvhIJLha+` z|LVEV-rygsu5eEmHo5;&mv%LD|5J-Socdzfr$=C*-z8n_K;_Bdn!fU2vW&s5Q1l#) zUe2vjRGMpHlimZ{X-A{^c7DGMMxA21$w{%!yr!g6t*^PoaE>4yMlO1#t8Rd*NzhiD z?ZE)*?|863jewj!koY|1xz<~5g?qr?%=YsFvXlGLb|fh|xF13Y(5uDf*V}N^Ik5Ih z7fGS`tQY<80z5DA>f_wOhiCIe+c1syc`|wN@<66+!$`@3Mu3|lD8N;*lzxv32K*v5 zkOTe{y~aphQC(Y*KxpB@4SYMXzzLPSsyMSi{nzdTwqZjh3u?OeC)_}(D+oGA{Mz|8 zl0oAx3j%T_-w*cg7^`&nYbRQNMx}j)`HHBK#|TvN4o9UQKan} zD9=Fo<|N`CLC^xud_>;z#V6^K7VZG~l|;KiO7wV%jO6Ve5#H4y65M@TP;5De=pON- zg_(XSajhVYq+MF17580HnwfMOIJ?~GB_J5M?)QFdj|Fl0ejBd8RB*-8r!LV*4q~{L z5%x87(mmR=(11s`5^L+*u- z?%OWZD8ggm)({h}@7iPzCpkv4Oq<{^6|7e?=E3IWcNjK?Minu1&`AwL`qeqDpK$+iVgx+o$IMPX zF&9pBH$0^7>`p#C7mkZqSkUIN!wfDi-3bDFuj5M5`+Bw+UfO+FR@=m#^lSg5{NW4f z$Br4{-FxEapRmn^DLXI5wVEu&iM_;6hr3WuKS}@A*-xIHV*d5?&6~ZOtCx9m>{A`b zmR?X*h*Qzn}$m-UL-*yoi|{kbPdjW-qWQ!HZZbfDp|3yDilB82vK41rGUj zh@ik37TIfziNcO-`A}dbZgq5Z@hrR&Xo0Q-*|7DN6nCr&oUr- zOG4XYMDwc^sRwK(>8*V^e41MCdHHt^Gvz6dp!#kq0fRlMo**F}K56-`(GllQ*UlHq+=(TL+%M6MrVgmDQUH z{;rDQO`%27q|&CVSJ|y96c$-Xh2pk$UFf*=Kj9!Au70lG%_`Iu$H#s}I~cmA z-OgcU7Tm_$dv-IGMB?9iB#G|LY+3O`lM(gQQC+RH@8udir^XI#O5VFPN;6j{#wFJV z<++g4THc};4!s>5XKHOxNEM{V^4$J$J!4@o6bNZQCe=`otQh|ufl>FN)|6TM5-WK+ z#yhF9IGg%c*~_yJ4`k$Ohm%?{s?qdHg}L3_r*6)8>-1?3JjZ{XPN-QeQeGUkd%cbn z!Ymi8*iB^i2QrIlh#REZ-T~8L8m;q_iTb=v+(g|yTyQ?Hn1QKvS^K^df5*?`>*nq0 z@!HZD7*(5Mh3+Q4m&wJ-+i)dHyF~;FEUGx0Kd9yc z6N{GG#ru;>ktdQM0-@#vkZltnuA;ivm9O8mZAKd`^v`Ir-G!wu#dY)hD6ieyme8As zKyCXA0@tp=E9&h@n*as-}j|Gi=c;Fh}!I zy9)&j2Qvj8uCPZtqH~ob-kiJSdbrMI>9aAq#vE6BQ{GM4LF3*{IQrw>De^fdD5-Vl z`>MV(Orc5Y5588y&wM4#d~TTiqm}J9RGo@tcP-X3*t=1tt+~$p~^L< zq`k%*o~kWsF+2OJT@JReu6{?jL8<)2O9$t9po#cq9GlG;c*9xo9+-m#-oBu`a( zHf$JSJ>JAwC9osKyZ;9xr_1lXo?K0-lU}0%F2CRJYZ_vlJ)V{v=6~XuYg={fE$li! zGsdtT4^}2$&yl(aZciKzh7Ak8;UjM)jZebi%DyJyQl89!ZdZ~x6q2g% zqJ4*tk*NjtEG~sCCg;;zou9xE8^4WP2ORvp_3JW&wNIYTa?UqJFBc7BVcobeA5!|m z=g7_{)fUd~K-~5E<^8VCS0f;dTx~z6A!Fp4f&OaKpoMmP=J}Eo{Tn#92UPP;RygDi zckB0nyxnX)UA=023(VN_g`MJecn7BJfYx7hbC=s6`v-MoXN+Fp4GilGek8~M^B=^& zjeoFSVoJsRY+7ebmc0B%Zd?f>hfYm!`As|Y;O+4ZfAiAS66MA?1hd849`L@35xbdT z=Vb6_-T;BMhgh)f%IoelOyB3p?e60&tv1vZVu$&iS-4m)cLMSEuz9EiZ*JL;>g8g? zvfrPx@rH6zk4!PifY{gAu9_XVq6 zM(UeGM@;LwHxmoQYT;?5UM6Iuggw_^JoW21NHnuZNFlI#Gb*3mS)5t+&;rgAvQJj`>b~ijf z1g`TKuW3MpfyfWplup*{fx|Ufp+SX#%p+@F>@A%iVUdOk?6pJ4U}1OklpV;J^|7XI z@Ajn6LryF~xVza*s`8@$>f~@6`vWm%95=ha)G=~fRS&Al0)3A|X#|ExE6>3}FG%z| z2S+V9UBF%D-|rj?MiDI|SnX5$YJfsoYB{GLG&-KaC$spU%9iN15yr1 zmGI<46>0!qzc3VP&-F;ZUMu%C3x85irQoViqxP@Rp8bCLdT*)=c^Hw=Dg|v5%xr)y`Je$?B?F_?fr080972cbG%&YO;Vw-(6vc0q z{*gef@gT}yeYfA0LS|ZQM7W2dxm2p}S+NyM*^pxY^3N_}hV)Y0+Ma21Tj6L^+ZGg{ zwPr5p(sb$uoK|$V291YbrFd8^ruI`llfHgNzoQv?jz*_7d)XMC)knTCrKUxSX{af< z4X0h8rx?qc(1#RSx_1hSJGmT>lUl6e^6!5@v9@-NX1LFVV-^umS|c=`tX=0hzcXGW zmc*>1!3B*albu$Vls%{YqUK#*K*A32jYixnK((!Y_SeV1m9zP~d#=^}QxO45t`F&JCEoVK#rFjWfb7bb4$k14etBM{Ij2kSV*}ya`F0PknW>i$$ZGUFL3b zn#)*JHZ8a+a4vIRhpn^a&OS<+V{Dm{DYsZM6~64_7wq@cYX%Dn@4SZrFE@eFZ2rQp z2~f+ubPJm6UrN{WONI+B9!bzVus4K*sR_ePVwoc^_-c(jwX9$h>ka1aNN>X}pTBHb zFmp|QBiO)M0M!OHyBJj$hlz^wb;EHbW|3Pio8O5nmc(W|HXS`CNn4)4j zIZ(b!X0JaY;k$!JjkOu8TgQvOa9hD0{4T!AGRM{Ro-Ox%!-BVve^xx|GSZJJCuox5 zV!&^}<)GhkeAKhsh48Wx=OtOuECWd2&W}hAEv7ep3d&}o;H*3pVlsg*esJ6O0ef!ySw-N9( zEb=^VuiyRAHQ9LF?D_Kg1_C#{+(O^uoszI&SP+EkwmA8>v--L*x(orSu!^Azvgj!z z%&&@3GnUPp7y$c1y=k;Yq}1bf2pJ%=w6pEd)IS-kqCODE$}}!rsHhn|@3T*`G>x92 zYo*9kd4QgAK}^gv^~toFk(%}_7&oGk2G0VcDS*(N*rsKGGgg&WQ2k82*#H6{s`HdQ z44m?VmNxhQ^!@;ddUU%1DGv^PHgW{3{hJp;M;DiVwldtWn=xC9W=F<1!ji#L$X2ZV zMWHd#wqIF|GZ0ltH^okGpvyT=L8J4HvW#KGwv0I`c$`z6;MC~0l~aU5>GTjyoV%Cb za@RtO6Xlp&IHtJC5)#KE9DE*;T5ks1SjP%oPM$qU3GJ2>jXKwPTeU(pB&{IMz)n>! z`T@h+t7X$({V+=$v^qTr!4&o9s9~$~kj~lIyIMw{ohX#ndGO`Meap5r&G%*Z0wz1d z$KIdfsMY;|i{ecA?}x;Q6Ul=x(uinLV=sc@Glw3(P2kAciLP}7TB}`MKoJ@WtAfU4-WYnzAZ16k=gP*DCRR7F*ytjvi0#8Vi5%ZuV63w`0n8R zC5W}^n3w=)@1sdE*YOoM&i)dXY=nK#ma9`t65JDJJlr)I$xJ9F4 zB@44TuBsSH+RX@dxgd_H9!3HG#9ptjlX!Y)Y9IwmXb>M$-xr}43G7nvVUa?52^2A4 zQ6!DZsmf4wbvnH59STeP1-INdjvL@14^U{GaxuELg>;7KWeFBK;;OZ6OzOw`!`e4B z>zok2ZJV;JGQoDm;@YUK^dep?_fl-D7JJD)K;@YYgDOLT zmq+C12Lz6lAks9^Cc8sYlGyfTPN36$JbOH<8VdWXV%&p9HS=ln_rWX78UPx2r8IGH z98MjCaVgO7_mvV16xt~Rp;F}r1%JmI@Z)9Go`Qh38mFMl`5qfRdG zISyI<5zwZF#R?6&pPe*QxCR4{A*U#(!4A>l>00-qu^^+ztLFEAJt>M}wH49K!?&*%(PH$(s_Sx|HHxI7A+gEjy>PA4s^r0?iry@z?#_`O-Sb!|5!?MMBcA9vo_Yqm(-kjhE~VT49`^`Sg*aY2g& z)IL8IJcBE#(E)E0Jz?_1Ky8wG7~vCRv?JgIDn*3YdZ#W)w&}zo59KY)#*2fae&*y0 zfT1e?Q%p>?Mza!GeN&ohlnX%ByHZie-%N#Tio(gUcSJ*)Z;^LjJl|^oJEM6j*p#dy zWd>5Qg5QjqS4RU;NU!EGrS$z3X7@-ispPR^elcXhrw~t7 zdQ<^UBeKu?- z;ns^v11Yqm_5Ym~33W4S^&gmeJJ|XUktj-#U$*o`ZBpuGkCi3GBHyHuma0m^skNn? zybLG<8%jtt>q=hlni5(kl?s(zNMBKvJA$-rxTI$Nr|kq+Wx%(;ABva{wTRJ zr)?_zq7}Ur`pE*E2o>5q0j2)Ti3BNY)snwhC~~PLHk!;dO ztHqV|H>8E?OY~a$6Dhj6YrWs*N^>+&)5WXWzHSjc$CxpKs6Myr0oE{#=6<#EZ71y! zNGHo2{M>|7$;LzwyA$>!j7B1Cmf868)aI}l&U*RV#$J+gnan+;!xTTN1#7z*4M>=K zl*tiFe;&a0<|#PeXi7s}Nf6M{O)qBAx1Ut>AR37x-l@n9S%nxjL495MYx>#gQzFL* z`8_`%&CWG8dK1Efx0#4cPGvr?k3@bl$AlMNns|_!Ab$>!9LQHhrbLlTX1l-l<$r2L zX1Y-!F~0=V9XNGAaQ1W^6mp}Z#n?)Z$YWQ&=wY8k7JaS;m9X!oelV0n-W7RJjx=Pj zNAwy{>Jm@+q|3I~BAN5m5r5)1mV(sDL5pW&+U(8A!h2cIh^U);5l_LU&aOz9IhRa? zGY6UTwicGklt;pnMzW}QvRi*RKs(}N(2iTdSN%X@rqfBTOWem`Zd;j})-o+7{;MY?TMbn((DL#S4%qpB2`e20l5CzR{I)iT8|yH`;Jy3`GW z6C!EF^uWW81mB-n-z%cBLIPeSw&L_2nHF?eb?^=H?LHQNq79zBiPI{rNUX*rA7s#1 zkxQze^uFM=I#dRH3F2>87#DaXFwHDw5ANyqPiGV~2ATu*OxeDQm2;Wav@B68Ek1NQ6Yp=og zS4hJG+HY){UCA+z)KPQQeFMbWe5y#gP+Tv8 zPGM4e=_h`;9_ud~bBmeeLWJT3iWAsOWfdzUXt<6>+D)U@iY(c$L+(fBn2TU%67l}} zJSb``F`ukFs4(YxAU?vZi-cgpf&==8T>ALsZ~I0TPD7GWBHpp?N%gI+*Tai5_8`a~ zI&h~KWLTj{(sC_X)?N!t(f-Xaj%z&Jc;7;B{|YP23V<`MZLOg7f@(`8qv}Gm^38R+6AP~?8Z`6OZD+r7LhlWbBj1@NHF4sfJe|~ z7m-FD+V-7Hwk7-kroV^{AS#6f@>AG+caGPCJ>;2^T|#&RK=oD9?>mc= zKT+>gc&p*o2*Mymc$-%Vhi(Hbf)8eU$G1K<)H^mB(j^w*A!*54dsChQv3UY69NOF< z(>$SjdWGBJ)2!VQ;%- zjX{m65HiqndfHh`cs=fCqU`FSmFo?oOfq1nng?mp)C#cM(Aus^t~}4BOG5YmkY<&I zKtpNgE;p2D% z5jZ_ef(tSj)1D`=u{4@wZ(SWhKW%r20mmlx@XE}oL_EbLG$KtT!OR7*jx#QuF>T0E z3Qh?zs2!&ZvZ8$gOj4lntmwoblVn7?rAq>-0sGNY=lCi<$e>eYVfjN_iu*^%0wv8+ z98x1y!iI(-wAplVPc=GR1OA$clPt*P^#{FK;je1j1Go16BDX+Gd(DjHD!Y8mvYK!z zQsOf${;#WXi=0BYMy6YuV?_Y>R%XJI+@|Z^TcigD@u$C@0CqzHxFl)iJWd1z4kQHs za9YQVr4Jb{xcI|VtgeG1!v^|#5X@rrx%>7wxIsI$$3RBZf~Bt$t?+;*)GGMq0P3Ry zK62P+mxfPA6|4|H@ic51XXy6?rJgJ81rR4Q!gJ}1zgj>XUT~ofsc6G&NGNoR`@$#vJgLd9vNU;iH z)jxEGcF}c_X%fsl^D-L4&)ypMk;^=jtB}F&Ks^VZ!z+Qb?jAYnFf&%IfLruQn0BXJ zeISr1jRF1NhJjcG+?)=AZt;7sG*Xn0pFrl-)s;-13O4G6*B`=v-6xJvFWvxvw<=zP z$+Va34rYzjmev1M3w7Bq%WOn5&o)1j`6*Ui$$kIXY8e%`eXhylz7;L`2tW&?dy-YC z!l$|8ID_N8%*t2b*Vw=IUBu>EXBSNHYb~eWBJV;l)CXz=_}8;;UCH+@edpc~OIz&& zci$ZnI-WGIL@!Gj_;vO#eHXnGIw;2w(%P~f^!Du{Z;MPX$-3gu+p-GZEiyVJuZlF? zotsYwjJ0$`%N24NkV@UaQ7lc(pz_H-MHsAc*`M@M_bO>Cg7@Aj3pY2A3Sj z{@^{nmp7Q`w(I52DZlL0mtaLz6%Uk6)B#XUFQl^T^)Jo?0$pn~G_`4dw* z1U=Z@CO+x_TWq%=#GOg8EuXJPxE`59+Pq>QO$Tw)#_yeVQfXYGZ|4M>6-qN=Xy1J; zi?MmqnU@7Mt7QB48PAbd=r-|8ylt_}mc;jadoDXHIi*)0oI(4wC|oFor`cR8Z{c)} zDu0Rhl*GZ6TH>%bH%%Rc`D39CWKJ5IZ=8ys~EF}wgUH1ijvzK-$f z3U0a2iDHpYC0A=-1=b6}KzrHfft4S?Vpd1~nfo)O2~6??q0!EVWqATnz=@3q0;0Nm zdVcoWf`n-)h}-j-dt_WgTBzn zoQLiB&1- z&n_t>x3tCZbGqtT<(`g4 zF%tl*D}fAf)4r~NAp;-Mdk!q(0QdpT(Er)2r(^O=ygta$s}IcnHOX>PlqG|fHp;0Y zX%=U!)(9(;sJc@oVMA0RVWUtYX$}x0vF)(`3RoUv)1{m!J&5zqH7IfFN`chQSE zzwa5AZ*G~?4s+a~xnf#A^)z>6SZ@QKgz~Ejh$oEWo=P0!pxJ*Tyx|3IWI6Tx#ZVp| z7Div-=#tdT(tDBYDWdP_F2i^|kjyDsj%>z*Vd^C$M|2^G0e#TW6{=SIKz!LkW>`8R z6|8fgU`|L{b?6jRU_H14ys7mj&R7a^R?Zwz6=7iDpzxdAG5P-oYLDPPG9N^EG&&bF z9HLXRs_hU5L7wY<{vlWmTrQk7YSCfE`dhv2q`YRxVMlwNwTF>H=S)=E(E-g%-L@T} zd*30~^@&clXOH6~8*Axvb94OLIJ;ioPcB|EQ$K;hNR!@L_Oi42$%o8WqI5c*3FLj7 z%$gsAY2Gsw+lMzr+QUl23|M2fH?CMn9KvgD9BJE+46X*|Fs?e)Z)D_0fl)R01cdF= zu=EzvmPl%Ng1tn96s(pBc4BntHht8}FCT*nj!c&)qd8VA)JrOCEp$?j6Q~f?Ko-J( zo*iJSEdfJJ1lT^e+dtc|fc*T-z(6f%Xe!F`?AQ#7wIyX^MH7jrjGI9}sA>H5jMQ*+e9 zh^+e({YNC9T3rDB{ewMXSZy^yUaA|!n+XW+U*lA}>sGwM|MrY)F?GzxhrhKsFJ@NECBUE)NgRd7%0-O$(aiu4@ujE1!z%#y)o6 zaakFlDy7d%S>A9XqX6&=UGdr~w!&I_`F9!#`wg1eO8c_{2CR0-B>Dzg)B2hH%WpQd ziQK+=HRz_gg)Owli=5!W4e~pvUD0M()o%wA-(`9`Tm|~*N}4httU1_>NY30#qy1CXiYLpbfg#Hgn3E>-?4jjdC9BPZ&kcJ%Mab6X zp`~qUqv$}iPQbM4WH>tGG;Hhb(S6Hqv-4G+eXGmw#t9hv+xmKZ`?+PS=liwm-tB$R z!B0v)mc!T>dx6XV70p9g;*r86p zS$f!7tezSXd#&a;HK`ln9l8v0Y2h|#2 zQq6#YV)OefY96jf`s1*&tn5%Xx9O{X(q91Vek9fDOilP*3^=m;s`?CJ(&sj(2C#@J{aM=7c)# zUwQCvgRH|stViHs^4K0Vya3k#7Fm5o$~`#!N$B@up;JB5db&r0<2}3y1cQN5Vnz%a zJv^Xu(;ED=otredwTE zrS#_??9g3B>-qYqWO%(XLi!Z!$KZNP#gwd`AU}KmFYN1HBrK=~i1qpj%syDuFKBE%QK!Rr)p-Yls}9Xh$<}tvXx+w+?NOn*#nEC#$CVT zpE1?`VBoAfe|N)dB0wxdCRe>gvt1^i2QK)l9@1fUYbOF)%i{kK$k|r)f0;j=Qrd(! zvUx??rDV9cTpk(r`29tdjGE-~6O~!Xx$rEa7N;sh`xCFhO&x*NUvX$8ou_FFWgL^A z?FyC6IbK9*4l9}vc=7iZ39lT6p1k;U?&h^=9fA4fR*TDvm zsXQ;&$WjCU%%g`v8R2&q*H+T?Vi7l6#b4B^q_LPRMGdB^IA8ioCoK?`s)&JAO-U7u z%FL+rHUQbw;*T*+&{WPfa6hbN$v6#7>dcs1;6E~sB{yxU|E~6>A6Hf1;W|ODe!-bm%dl*H$E4WW{jr9t!=m$TJ=`(qSRsWeK zeBjaR@s8B=?CNX(eE9f&_&7hulZ`btL3tu`$yZAKKTO|-QxAS= z-G((b+9V`a{Q{E|Uw5`2h;ZJ$M0p~W3^*TaiZ8EOWy}u8bqwX^^|^jO8;4AL%H!F- zaijO_7Kdb+3x1aEE@374p`1`o&iO2Aj)$=@35l9Re?Q)_x+W`=7MD8R^DujG`zapB zH^b<0gj(cw%p*3JPUac!kkQ_C#kqvnyX^pE|Zm%~J1J#S;=FY^^?!k5< z7pl%>?E)i0jsf^fo0|$bOpdjb43CW$(%VZVlXz-*roNzE(Da1Phj*p!?;Rt}0*#IP zhpTODfyNu3P=+1C$n|SBbZh0~>3TeT86uyEEmKTnL`_36!A4iIpN1%FgomT3zj5m4 zIBtk$81pjMZx+ARm@fE9E&~yh5G2VYsm^Yr|x4ow=^ zvCK!sEJ8E;(DW{BW47MFiBjA!(y+rs&jNldN8~yYMWhl+7%ZeLIe8LewikRuw%)2c z(eGYnC=*=Sy^GTPwA_EE8O@YgXjD~1iVVZdgc-+d=4?(%GE*9=+OmQ}JHTGX&%G3q zI@LJJ4oqM5o3V=})!8*R-DY}!j?Vt7|C~N}wd}gNC)}t3QhZrTeSPQDrQ>Lgb8FCP z;lfs;10dj@$?u5FNFPEnksr)jgcCzB#r=ej~y|{k!<`T2JZ5-pD-s$$g z@$mfG{am6?!|5J3pUzYtO_FC?9;l8t8w+FkNslkt05$;g89rV8pg%{0c3S-+#?qqZ zBiVpj-|`oGRwfODH2j3m2z#K|Gi|h=|NrpymeFy<%(kc*$IQ%3V`gS%rZGceh?yB< zW~P`OGdpI6IOZ5*W@e1xO}=~YTkE`c&iyexYV~SKT~*p@)h@Mq_tXNVI9X^~hcM&wZ1yf%cm)mg@_9z`Nb&~a-UQ6rQ_ximy_R@2T8|1A-^o8t0=(>! zdMU~cd{(W(!w1;e??7`I@~ts3h_K@A^Jk)7V&6^l8A{moNErY>%7N`u>Y2=3_3Bx4 zK!ApNoCF~wKts)pL)>iBvNag!cbb6zJ13yNRS)+nPUNk}QISq$x~wo;efRs=iUUVJ z2dPa+T%$uC`47b(CL5qq?dJ~5!jHTd>;@eSMSm*uw`-|J6l9bpIS4kPJK4w;=1}ao zSq?qA(h=29x^K;>_!y_5Uv0V0X1csrw(kGSfWNN0W zFiqa>KGbxDU#K1uRjxcL8C7|r2nk8c-ZO<(E=no0>2SHgE>z(pp_V&13hrNljU}^Y z1|zX>EK>$K-uLqYpa^TbLP|muK`x@JSu7YI+vTaqD*b0Z+p^~rdMy}bGhzuV1A5yy zI)n)9ptk**lvyFQ7i|v@8|6rgf-Npe4=KiP4OZGRL-A8s1R*1^+zIRs@n{fSgl1*O zOIzMB`dlMfWd)&ZQe1={wqMHPw3{{PmyCol3xN-xqu{)Vee*!HpDfqvjA;CWXJ zUx|vlGQ<7Ez$sj$kpBBf;4K_66{Rv>fJBVuTEepW>i1WaRBlMxx?c@nbwui|A3owz zF0!`m9g5{Vgg3wO;9lY)rHss+HmoymUb_UmwFfqIl74D(-G7$qmH7iW*WL=atQH;d`Bg>l$8&Thd63t4Tg^$=e zuE}rM4$!~NR&hBAxz=C7p8j4^QlR~W5b$%IuBxfqP=c^r- z@`j~#Pz^%jTtZzrhgz%bN#euf><#I15mQOpQEfhx$Ya>H@q3Ku5uBQye4uk>OL$la z;)bj++hCi+bJ_A(5q$2xoA-c?g9EMVRmP+Ig7a%WzEu6wfIj~@E0%)=yLma@inq(6 zXj@Ok{_|*7Ydw_)MjQ*BfX(UvSnuOmxN8kFz?#)qiL<`GW^Xrd@!9%ZEz!{|9*0p0 z5%8bRtcCC|0nv%(0mz&d3ftd4UjWw6DW#z9y*|A*NF^dBztdQ=)#ibMVe9E-2@l?` z#Ptu$oA*em&lPF8gmGO_)?dnCA4HARymNUSj=iU}DANn_Tk(9@>EmJ{D? zxt=butvhvOw2+&23By+!EbHAhY@n=uD$5GDS`p*e8B+Iitmz%O0`y~kRt7W7wV@^Z-&w-L-sX#XpJSAboH-L( zjQap5l(@x#0sh;N`A^cWy=NJum~Hg!@Vxv$rT z96``+@Brvk179g^0Q8CjhgAs7gbeS;rUx8|nE?@zVyueVk#x{Cnzx602F&&Q9u2F& z(C@}@sg2CLQ>1WBz0lpTcXieLyns%ImbWP|%e|x5B(lv*mYdI3M^<1l|L52Rxc3So z9WnTdp|etmq%#ab_-jPKds_a~WJVpJh4woilk)8fF{}EK$Bk6^!yc`HgV>E@Fz#ji z<+fcf^>vRcn zU0}QS%9MuDB7Sdu>h-VmqY{y~hc$d{wr*s9jrYnVgBTLtqmzecN-5F7$ICmY2E|MV zmHEnPw6-@Py5=od<+iM*HT?;`qr&Ct=;dR71_*L_ljp18{ky8v<^vJ^NEV z(RJqenR4I74iuwDq}TWua>7GVY2oKI?B7G533~2CbVyzWn(hX*_C5r>T|dsE=tl}F z*+M~hcsPU)Nl3ycf`12@zV0D*Kb@fZ_qDnWyR@6uX`@ZV6&4nMLl&T?Ct6{K6^dn> z``F;z^%72~AIqN*%}hZ;aUIlD^t9Z>N1LYSJ=TUfH0|{ww)LTtE%&?59;ZK6;%c_s zv(7d%)97T;uglQ2<1qC8st5!)qaJW)Y1t`qhElY+{OW?jvTotx#4qDtnmlD0cL8Uc zQ5#{kta&dZbg`N&gT{KfRuy%f1>7Eh83lo(P zdt;~MiDpbDCJKvFcJP01vS!*`{B69;@{8IVU(swtrpv-QD{C!3_Zu^)5ZW#jBTEzUZ$jyl_TBTWymqVnP7Iz=H@v%4fm~koUmmhfWv-8wS3Qh;U?&CrwNO4-bb24+!iFlCLv%bPO zONQ_FQ!BY4_5Gpy1qBxYz6vz_qRLI+4H6X?dM}NrMeLd0MoCKTR{b8XsRAHR!HY9| zxqRd=RGZ!3e?sO7E{IS=(mCXb?jHGN4c$bw;;N#WNc{Fzn?#|>mtZox(mUF+io$r) zTGPPBcniZDk-pR9Ol*ugqU%Z&`Bq?%rGx;^j^vaWm&~^<1qngtLrgj|h{ZuWISNB& z?rH?tlRCM8Q&u8W9lrH}W&3;G%B(Fy^1T^TFu??eI?AS>vU%f!aNvsT>b-Alp7LA- zUo!?kB{;Owsa&ykq3ZjUnH%N|ej`;Nqu&jn&!IAA=T{xt6RGL3yb%C+*R*X=BM0%M zPDJSOgfq~T0F{<%u>Z3qUuHZ2Z!$9fWXo?0IxoLq#(Dr7EfUAoC?UAxk{x&{40n)= zCUvoKo*D-4%hJt`J*kDqB9hkJQP1AkmY z-1??pAvI9^O~TuW4YHpfL?0Vtzn_;72LH*5J~r8&yYowRT1HD_ejf(#uTyneQDXQB z)8#CUp&pZD=*qWW$s3@E77ngVmW>PeCMy-VuJ#-4xCMl#l<=10#p09U8{+!vFzbl6^bPWQxD_SxKH!PEWRK{9JBQ&LrfnN zPpYaa5E?iF@gG_=I7@U;58iT|zwg6^(nQukxOa*lCUSl0i$ z7+PZsej`#%pNF=L<;S>_r=PFb9NeYu4l63 zSo?WBDu%_CI&h#kJiEdf}76 zqwVf(nGLm%+f46lhY3uW6?zA|c(~my-rPN)7<fReKzYSnA*VhAB zbdU>OTd!LCRIczYA(6X*Utn<$`pw--=SYc6D)hR0Tg*qAj&)Ts=;HnwIJ2CR79ABRoUcURZ4WTNGDaw5GS_cL^r zCbU^Oo<}HR>(H==Kk%C?tYi`ZG-oQ4^@7J` zw2OYsNjjAMSt!%IKdy6pRC2mJaXcf~jpxqJa&DrF#X6)<8p$)GD0G}eMX;S!#u7y8 zl2x8zx=U1fF&j%UJj}TQoVrN3W9nc#rM(>D(*4_g+!H(FN@i{PB0kaitA|Ga0aY*o ze%JblS=>JEXOmKYJN2yVcdSBFlZFq{7vHzsJ+X!hi_M>+Zr4Y)GB-_4Q`RuRl6RM~$A08R29h`@}8;XB3iB zQ9l58si&4QOd1u?x<0QceEt&-Wt?Pk(E&wc7898~XWZR)?Q^AITeRHUB3^K-cD?&08CJ!;-;ngd~@`$g`|)$SySMq2)?S7 zy!(PX;oa&RdjyG(-(i9VP)|rQ^KKm(95a?^KXON8M*2<~GhiJgZI&R%kXrBK-~bLa zM~Jsui5m?AA&i7=ZE4EfkB}yWTYRPA+CcZI8UqRs?ZuB9Q0bs3Q2Gm9hz1GE73K{^xVZ&*HU+SU5`>E-f(_!xVcNCJ3!oo7JRv-*AKqrYv)*}oe!Z9px=7PW{z)XnWaGc<0gbME`rU%f9 zn>8?py#SH~)5FyYC>;KCVi&3p^oLuudT-sV80+3X13Mz#4ps9nxLHH-^za9vkdKW( z+Z9O6)E>d%U(UaGFB6y;c0S}Stjsxy_ctIT#T%$OcZGyd;b0T*9@?Q_lKk;Iy?VMh zy$e))2@F6@t<~t?;AX{*f%=TM!+(Yw67owzEy+aOR4csrLJ+Ngiyj9qr3RSk5Z>>` z1UN7>1Hu~7D!@;MLm^9Qg3SDom;oBWC^ASlr@y~(te$e}LPZRw*}_R*c8}ab!P5*5 zgx!XszP40Z`DWq@2=utmjU_P5;2~EOV`D2kCSE~alYLkXjH%LsaL}Okvs`FFySV7} zu{SVJCI-jk(x0|=jovU)-8=bWa8vKy0ahf$lH!dq(#tq7u>6$tdc0JclJCn-NIB~w zu`$DxFXp&g6}bNOh1GHGDzJ$}NTGZ5%!4I3dU^m@+;pkn@bIF+kk1~1T!8OPkb~O_ zT%pPC9+{%33K8M*%{3&b^AfV7Z7PdRqz>BlKi??(|M#p&gCDE@3o?S9j5m}J@)hZ6 zO|fSkn`&Uyi%3QuqKK^A3)J<>dreA?Y~5zNch4SMa5L^g5B9pLJ(bQRWY0of0*m8J zTfzpv=>}hWKN*#P=!^RMy!Y;MXM1*T^5-U9Bf)!eq5EcC+K|R-h7ekM#WX;R%Hj}^6hQ6&skixy!ri{QJX_gl}&DW)koOU&CpaPNdLpX%ucza{v9v0!JfQZ zmo!(tu>pUN9{M|fzVAZT+1xxH$&kp^2Yp=}GWj87=U2?IgFcd*V>!(e8jJaPS~FnkL^@ z2@?w2?D2DalCD_ZVaVNEo29wzqUgvkTkgo+{2acX3m=D4#%eRV;{9g`j0bp=iAN#) znApr*;{66#TDP`^G4{7X?UF5MQCI+(g2#MBxWPIgeqeY%3_ZXa>{U}iNqlxf6%c@A_~blVPK;vDiGLkgB9mfokRMM9xbW$ z!th}JCtUn3zZY*+uo(UaKM;kMs$rZDW^vI#f6$=5DsaYJj+BHuB)DLA=BrBtO|iby z=B19Rx*4!^2tke&yFB^&dC_TaP<6%Gdqz&{fc?J&`c=wb}3@|+wwA79lC47W9OEdsd~KL*EO_=q6dL54HCfB#G7yuM}0#zFtPM0;ir z$bZrS-IuvKn9Cr8H0paQedot~`b%)@=w2y7z-v*T-pJRnSE-lPI=TZYP z&R?LeHw6W+xcL6S`^0hu$w533QLlcT=SM^pql5g^1?Z!_Cv3q$==lx%FNH-uAw(nD=QVPnvLJHM>oL zvA;e*p&l@W3_^_9s7iNUjSxIhU!bbLK4AWg!J&QK8weuHwa>&0S(1X=3LB2!A`q} zAPRsab#vaq4z59d{ogu`&&FIH5^!tF;W+cA^KKR?DGT!aJioc8=qKd}|NgZkwEkJ~ z>2pDfz!*hwZ9^yT^2m5dKaa=$dU@4FZgXAajYWga<%Wn}K5=j8bTkTP@*Mg0kXd3P zDI8+cIEop*dNHMoZ87yy&Jd9HqG1m9q3$O#_rMjtDp3 zxp7Sj5Tea>CX;Ci?De;Q6D#=(`{5@28LhrjFi9pOLXPP>dtAefu6lejO-KUzY&_sE zv--xuARPrK9pB5*2j%xIUw?t5W9_vT$^oy_E(5{W0*@42G_FSZ0}j z#~i_g<&+hXrvuI9n<(Hw$>d z%{5XyPdzY|nPP+=uD1E3qnp7E_@pv!iWKXY zb0X0h%^P1eWpkE3^M^=|rmDR$kk??C?CM0G zHU?*yRP9SuDRn^1D(`Da@0&WLEZ@nSnjAySGXBy=1v@}XeTtDkX}4#{AVWo?Eg-$S zxFqLQOrO#tkMc<|nUo=sg>isI-p3N(a6z$^`Vb=;);)sdKMfH=9d94~?y@KNS(4*o zwkmabH%W1Vs(Q67znzBRaYS7`BjNO()%`!M(4Wm&>`v06bVXWfEfM}FlAYF)bs_}< zRug?E#noPtO7vC=y9D&`HlMX*57lqg=%nqI!W^;6Cwgni_iEv4IF5>o!sQcc4(gmM z@*SiMcN*s6HDU*FYcXpp7aOiGotZBbVKBCBx`SG>b& z3bmG$>kC~e#k2g?a-B$?hU3s#ew9jcWtUoEwYw;Fd*_hCV;+KjVl!R~VP!e3S+;_^ zyy#M4RXDm)!gi}O`F;$@Q)zXsuqcTmq211;|Cq}t*`oZ*Qa712Nl)$5a$2tJNW;(F z#8lrQ#_m-!qGXo@-O?e_I6qYl(xTry+{4219lY^hJG-zqQ~pas27W#Y|I>+rWoTnN zo%iF2#z+jWmT&T-6TCtguPiu$pm`wVG2Th1o{o@UGZ{V~C;*F9fZNt7FT=U1Q7 zw8}9dTbPXx@R<{p^XHJ;ZpN8x?B_46_qnX8__VR43)0nRF8xRH#`NrymcmuH)eF(b zLTkh!Xa8E)K6ckW)uc&NhL!aCp+wHU{$%#hadAXK-gJhF=v1Yd*}TbRWT=Fck{)9= zXU(o;9%E*BToAcw(Ib!0z!OarAzwTMWI#iEfWFIMKwiZl;>(OwB2$uz2+bOE2I3H) z9#9VUTY??Sae#mB$B^e4((v1&xbea3H`ca|9`8YKi#8fN)G&gnVBqlEZ!-0RZh{}9 zV#3 z5}xo$KSe2ba~J;vFKqm=4yh}MDCadDkzXs@JvZ;J`VB$$DBi-L_ZU)Wb8+Pd&uCGhm0&T|` zk!p_`24fpMUGCd3WWJ|$huu99@Ej3R?_$eXzM!S(eO zh<8e}zcVh_le!qcjMoN`Bdjn5$li!68{5ncvsx}MrNH0RVLKha*-E_-EM;^1L{So` z+w!`pQ=>K&>H;lTvS#8$yxHC))b3q{b6KR>%lz8h`b_$AVI}=4VnNyEhHi3{3TxHZ z#d!q0`>ure(G7|9o8r4dJvyU4gb3C!MMkW(`6GQCV*w&D8i{r=bu9r3Bg8U&?DUZT zGEF9CvbYF#BDPP!-QVx86d*tfv2T`|L$Wa-)LYvjS-o1>CE5%aW3P1+*&=@cRB}1! ze=&v;HD_6|-vW_x_yaKrP_4m+p#?UK7yx_<*e}h)L98SjfqX#KY*^g2y-rw1-Kg7l ztss3f%KMTL*Fv|=?7J}N8=_i~(+T<3^*1R5>B#0ab>Q2Ie7Ue{;HKzt zoG8(_ao})W{R+gbOgXU98W7r{%H#-AP=zL<~uOcFuZ?( z5n!!j25dkAD+OQa`+$UgX`6p|Rje}*4LSz_9t(UwEm-PcxpaUFCjhGiEEhM+c94j< zcI(n|cEfsSq-VNmcV$YJZp$%d;>Pr;nMqmiWY5wl-gP+VhTZqj$Uh8M%@JCt-nY%6 zkw%PHe&6VF!H+Dor&Cn0lr+!~6fh!Li}T{W&X9|Ibm(60%obGcUgQD9R}XvH<&{5Xl~8H;s;vgjlKV=BmTRju4P9?PMzLPcd`Fmt^`@gYBU*svr~MJ8 zuZFjma)gO1ZahGO_FI+rPD9ZS5g$y2t%9C#;CAc4-nH<406m}#JZXnV3YAv_&w>rw zfyf4R__#kqbm$hr$BonQmf^-iG&6Isx9w;!J-;>Mh^;fhdZZ_6_AuQ9fvI%YT{T=< zA+lLIS*Bj@7@awpD+GKBN-Du%))0V+p^gL9yG6hnd=Iq(LmuG&CQWBHP5*xDGF4&U z7N$5IGWU^skc)fiZskw7S!&3-14AbkbARDl`8m=hV%db4mnCYuI<(~G%`_{Ogy6T5(LR$o z3lNz+zCVo5A2R(P45I1K?XOh;6K#XXvSCBgB2EZtNoTM65rmB2^n#ka{s~az&DY@^^yo%rBRUoIIwyed|ovw zc!fDl@ z$Cr4BM6$8JdkH=8Afp74=Sws2eKDPP+IZuzxdkdvi(kOiCUKu@<1rVtY{wNRL@q1` z@@A9yD?`peKQFF@bX~F!;DL~DN@GcSX_W>0@B~}@r zSX`p^@=-ADP(49b**KR1W(Xi|N81!XYI})p(A~Qu=jYEY)j}iueSq$1lqwNDQ6%ol zzb0r*t@Aoo_ts!id~)K8f1<31@=uaRn!H*o8^K#4?mPadkt-mI-Vr@m@Gec?O?3p$KugCa7c8K^s(!K=Fh$KL1POItosjQ+q29b@n}lEUAKPTf1eW3gwt z*;w6D5DRF>%o)$wZu>>mTj3|Kdu!SJkvy27i;%hm-Gc5QAUea)Y9I_bhN23=F^Cl; zY>!{Dcf=+L&9b}HO)A@d+sHZh)zQz}w0K1xo44^V<&LhAWq#qHQ%=YnQg0}ouRk(b zP@4i>wAjm&&KBi3Uho)`WlwPYZjp}1 z+2K6f+vhi4(v??jD&?!=YSsn>&IESM*3X2&Al?+@PoF*xyvnZDEker>*tzsJ#^9BK zLeL0}<*^jK<$S)SGpBj(Mw;?4$z{z0moQT%4A@yo$x+mhQquF!oAEYCrV1C5k!;7P z&`SX2+$q3CH z?UZQ{*(^QYg-c;hB=qpVf8F~?&Tiu2Ytdo4N+Y37-oH$^*EuQ#c8XL`~2@qaDk;pU5+>U$&+oe&BR4jT8{++J+Wg^B-2?K z1bRVK97zhZo@*b)D$lxKpZ8BW{dM0&(Fxq>LO08I*ZQ3nDlLCJ0#A@#yIeyt2$q** zEy;^zEL$}OA~(Gn_jPBc@2sJmptaIvF@v?Pr6rUwW_pi+``UzBCZt`fb8Tz4H4OVQ z3t&F#B2TQL+=FIIU?~mZo;6GCJ(+WvBWk@<{@(tXY0B0==@-}JHZQGIBS*BPJj_GR z9D7f=RoPMn6>=*z<=vIWp74#=58Ap_%ie9Q8LmI&%{w3^Z>Ls2UC(Fb$Edh4g9_lV$Spy4I<*9im8? z;`M7YFY_2@W@aQ+`if@8tS@;gkjxUP^+OzscmX10emTDb<2pUE-Y~Xy&`$O?%le1tqrbiF)>}hEOdRq9#jB2FQ-H zPu=ao=91=02UWQf6P8KI{lUhk#BlFXu=Cp~$*b$ri>jUMD{m@?(7|iiDj%eA}>p1?NGd+W2ksmd6c+t$O$q0K%PF8aY^!h7O z*xP-F{@F-=wM&1y7+q+{#f=cR7Q=V*&A&<&3f45GQq0=um!lv55g-~a3z)n&gM=k3Up>A{$Cvv3Xa90n#JToVe0ozHi%S=^>NMAf;!y}#K~2doTPsHs%e(e0BZp9Un z+akP!x;OiWI@FKVfOn*^Q`+BCiM>IBOP4)Do_+*LD@rPWUI+d7F@&OS$k;KdryA3p zr^vjUI_z6szr&a42iYWXI)bq)48i(M9gf$Atqv1{Xc8(n4(DBAbJ;OW1-ToPsXLmb zXT=d$|Fg|Ch-XSe=mw!_za(_cu-1>R!Bh>lKyB|@yyoW^nthnP7_s~(%0${)xxFg! z*5|VxBu%A)G!vB!6WgsoN7Qz#V5yrLrJdR8rpA?Ls@~7j3^iAWRfj&uxE zY4W$98lf0&7~|MQWwxM}6bDUkyfJ+&3nZ*}_Z9kRcNCN+gaY?{Y9lX-EdGH`K;{ee zmyZU`HE4t~dx-u$0J&a+FulurQXZn0HzUF5rd+{k|1;T{kd4jW3S}ZV4zHEm3^6g379z1cMGgiY>rZd|ar&fvr&N zMO){I*+_Mil5@_3?jLAr-u04Br#fnPR{-P@vO?2=bOo}Ps(juMn;*|aPZ;9xFQ zd?#4AG$~5(mjHM}J51WVOuQzj-IUeYSUYj@&X!|u@5p$a)VzPj>@yg_F*(N-qfyrfd3A!e3J-H9EMrZ==9im)O#w?WVLOdUj zqw^pc%d(`>red1_698PJ9OegqB(s(4HeML>U1nl$%IY6IPfGJ)*Wos(teqGHbs?s~ zAn8j}1VE-~Qo{_>W^V3cPzu7H#<4$m=(~{ytyN03&)n~LTCVfhV01mZ)*S7dv0?Qf zl*o1191fw$0z!%3zta(qFB=NwTvZZJJFKkG$T zpFhDL#VGB}INC^Ot6OF)Mu)X38)E(lgqMO%A4eD9tAL~)ymDC!l_*c~Svay|9PE)E z1tG6fmWl5w(O~FNg!V$ckd0VWurb6wbt;dJBCkii3qxAdU{H9EA(6zfx>?{ItE}Zk zC5|+4NJ$$#*NJI?x>2$v4k(G^{_yAJrTY{!WwjJG?Sz}$yl#t?CCil<-Qhn35+zXd zxc*2e+L$LM&^jU0`0n}70^IP<^a+ggO9G7yp;=@v?H;(&(@B!@8mK>)#aE^j^s z1xHZe;+L{LI8pZA8D)k*#-5g3CCaOi2q)ONal9mRG3fM-heK%S1G+gppY6MrzW<|; zBqgtnzmy#5i3qB^FU?w(5!s&L9-U^v9HL9|AB|}2Tjh#a;^>QdVkVN;#{I%x*={pF zXva+9=vAcSLH6&w&JYw_lq0C2hT;@mXyu9vTq}Bk2w6E7f6^F(2q7RAtFMMfpVTBs zWZ6(Uwx^bc8wE!9C1vP%c+u5-Lb#+K#Y2>~awT65|=4;Sq>oJRJQM zOzMzlH@fmL(pBwc>wBPvdY(a3P~HA`@&eqG69bSo?G3FvzRMb$`_q5{8j5HbNKe2| zZ{{cIbnHagII|b`udhfD3L`v58P#Y$HKd03IKSn@vr%y*diDSu&8ax*eVDRPM3@(B zaLrFURb+0?u3d)WxlmNC$rGtx3cgf*1B+C+ZARplpN_02O7Gg}TADo14rvYg5x-ai$h#Q3~0r+BO4V{u~FxyH=Du$_0qi=?9@O+rY zS~GSogh{kRWQUSbZttZT_g+Wsf!Tn5d=j zW@m;Urs=B8px->U1imFBPkZmo9AL~5|lGm43VWQIugdcCoC zr=%tbrnws788X@TAH~~5@E&gy5n6)%M@DUPgidYq=bmW~b4jjKNl|T$w=4*i^u&fl z=v`R*bYIep!lAQ@h5u8uknLI?k4KNNExur&V~%tbbp=5W>J|vJ98YVy;k#a^!w&bg* z%XNK*R5C{N66Do|(usab{uR4HNHr*40E?GPa2S`>K!}m{reweG$=9-@&}UEJmv1${ z%f=_2ye+|=$xSOayOc@s9Yg*3^v!(ZURf9F#YkT5=ZvgVSCumjuCc^kMjpOp*NWEJ zI$7p+;dIC+r4a(wGPWGnc{Q1l*-W-!M~i_;=b2u{odwCmMicOcy6!X})oSXBn3Fal z2_aCl+H{tc|B~;Qv>~ft8_j;$zZcc)f*G7>4i|@WE?u6n;2`_mR4Bi2aoksvMDQ#) zje<6Yd1OO(g2PD36gUzi6RVhi9dAsw_~KVs=ZT4I$Blv5<4k_P6W($2l?K!;_uBY; zJDqsq0-LdI{sncaAZFy1e>u;_mFUDS4EdQCZOS1m^rb3FQ`K-xWUEC^M<41TX9CW> zA{^T-3Z5B~$zUh>R&QrVsz21EC0M_UemvvX zR9A3(&l}<*TJX5v?nFR)(x~4!&gg3T6gt5q(v!2``ecEWQ-7$%bA%v8=7Jm-N+a{h zIuCAS{?B{O{z?w-lzYzGVdjjysLgI*8EZyBK>U015?R~B^o9b5h6&=8zcBEPj7@)2 z$S^XVuxpmme@e&c^9`j9_6?UX{ay$ogMJ9jr947x z4A)>P(Jv|V<3!~GM4@b3kM9dc)TmEN#L4ML9sN0+;~c^FFayZ4;St_Ewi~#L-*WPS z293*iVY9%v5v+||1=4>Rm@EG>Qbw>0RE~K%juDG#GL%&(ADW^M;!5@!kE2&SBHTt@ z?OGL;Rq?{ zl@4Oyn2vMXCV}G?-x>oiBfYN-t=4S6?M^`fRXI(KA%Yj*o^)%fgFG%%DGA3e$`Kk> zf+s^Ap)15yT}fs0LZ{etW>7wLkk+eTX5clAROSwV&@}MRM36#-rOoPd=m9#_1LVQj zaGg;DpDC~fzl5(W;M51q6*8x;G_EcD|E2L?mmbL4HkNm7kDHvIl*-mFx}>Cn1J*U_ z!YH1#B-(G+g$3SDx}Otx6E^QN?}5V+;4%Jr+Nx(vOiMw_tF$DP=!z&1>^F z&08$5-RPt3Qp@bH-oWRSd<#2G>ga2PrD;63By}zGOj|`#y`NPmQ&32u%Cct8sA~AR zP}p!bU~jWyIZ)0%Tz^dyao3+!ai68SIm!{)&je&(>#Lu`4mXEbtTbMA-> zb3uY9`pdpL|L9y+^H6zssisA=I|`uV(MR-}p#OB{I-WMkA|LNj@~;wwpxqlQKMO$=1Q242YIEYc6j@X^~L;rZI_; zT^lAJp#W9i{5#Jy>C@=}(|5#uysY2Kwnbisyf{GWj(n#1``-cU;^VScohnmfZzak3>ok$ zlriUizp&QxRNgKJvgPD;`=e4_GaxudX{@V7bAc})=a!Okr(`#JsGn^Zlur>b^N{90dgxan*;!}N&WxW zq^ybmnN1465pO$*7*TAW@j}ESWZRb5r7^PY3PXkEJBS9vIrmNW&Dy=Z~|>P7AlgCf8|QAg|V zDBw`3zPfI0(jy}K2IFqz?%>ep#O}Mbw&~;42#?W5bR|00hd-qSX*YsT-7IYb>> zpN!3%>5)Ryz+@S7c)x%yehGNVWEvB*1Y>}RL?f(RADFqw_ly0%aZ5$=i95t=#Ju^P z1j}gGd#4j(_NrLlo+Jm!)#lj6nT<$14I6CM@R*|h z{y=fp?79o9T7^<3Z-)7LjSgEt%uVr4aG=D!p&^-Won|$-7G@-72?+)9(~MtRMc9Ca zMR){8%AqD1 zeewTc>@B0>Xu5XcK!D&b!Gi>s5Hx6T3-0djKDY;Wf=h7s;O_2jgTvtN1Dwfyzvnsf zo^P%1N3W8uuByK3+IzQD?UJX;7wT73&>$a6vni)0 znK>&+-OGBhy5WZqpf(#qcQbRLkFX)dOaa7Ijs^(J7>44J&#BUoKSX@)?S?nz!uP~m z+=eRm!Jz1HPx)F?jVkqp;U|!r$e~2OiNFB|v%%NH&^>`EVy2D3OlmDw3K~~^=#)s> zsWxbIM>o2_T|Rfu;MrUf*q-c)_e7}<^z3zF827@YpM*@v_oA)-()umQlm%aF^h1x3 zE~^zNES;$_sNYEtb>DOLb88mfXZ4xYnnIc?qP4atz7M_WON+ya?z^I1AR<{^)_Adl^p!o37f>^j+S8Kggt%9t1N zUthRbLoUi}KOJMhSV-nc1d~xqZ-AgYj%J!}@k#0O`B8#@xFjP(3O$}o;%CYk3 z8z#LLQdp!4TJ={|j2-g+62-onZF5@6uLEM6OCNicgUZt75~DjVFZ=UQ_-H{wYwgC2 zFJZpdB>Vq!g$2{aFK=KL#9h2A_k?BJ`xIoW5RE@_`@MnGqylChMYvrsbWiYVv$y#93My>=L!)7_0C?YX_pEPe~{sC zEVvC;@gVlgb!^g%1`5E=U-6tY&VR6b@VapKY4N>)ES>|Q0B@y^FLY5#NhS}qt+X~o z-oker;0gnaZM+e@bHVBp4@RV`>5A>2Q;d4CdDa9r^nmWq8530|6;DOq2qgVp+*5sB z1b*C(5hHHh6=FXhaEH1oy-p&Jl`O{(cRClnV`XW`cjxGGrfYBioN=H?WHywc)cjfW z)MDQ#T#NK=4w$98b_<&Bb!AmIYo_6h9;vGm0B=5C9quhAO)_Qkw?TGxz3T0s3~X{} zXzSozP?D4L^o^I9{6%|A$i*{_4K#fULP7CX6h_JTQsa-sZ;+u_(pNF7XP=E@YSqGH zKJx8t8Z*6Y>t>Uwljso)!m7xSrAcpj>_lR?N#&V{+97mHDhd(zh|c=6vdu1G&>8Z> z#^J+?Oo|TeH#xL}=Y=S((VfASYJw&z-(pu&LVvrA8!oPi34w)0fP&D^z^9)pwkMm| zxHGr_`CvjszUf#)9&p1lDS^}us~{qh#VCK}&S%#tF|-y`UH30pK-msQEB6-P;~+d# zj~f1{?&u&-;G+dyJ}DpII@GlPxJdljj`mpz9b>yJa#(0c z3G<4wW<5H5f6Rs?`Xag$Hx!|9S)cP5)RELZ2Gx_v?%VvqbR7;S<@4;k=`J+-VOMLL zj3pp30BrR>csP-ub!>h1h;|S_nGe5`+C8zC{00s(-H74$$pVx3_p8vVh znX2vIUgQailKEM*UHz~-_R&W+B3Tlk+4-YqR?!(VOZ6$?;*U>eP|@cTO5O@X>MaWy zZUnu(#<;4m$2~b~nahwyf`kjK>rtL&$tUW4Hx8E0y0FJgnK5SHX2ThP@Z;V<#*;8j zJ0hBi+E&gk9dDvmaEM~rg}f;aiBx%yu)7L?rUG*ES5atd$o)~$TWe;LfM1b$H2bqI z>$&pk7;zU_A@U}=9GrdV=l5KFaZp5tL+?;8joyVUvG^4ke_5FD9Iw&k|0ml2VO;6! z$K#E_eOmlTmovfZMC2>t_*1DZ7b+FEVuSl7dDy!p`6mzS;#UuA8h1VpWp6%?#1<05 z(smL;DeV}Py^7X?n~PDid%BqRK@k|@D~&0#Dp=yH^i(ge0}|i#x%dQ5Bp}r1K;f~E zY$3%ge!^=XyHtwXMK9l>ih8^Yf#&lQb}(g90QI=YEZOT|HArRSg#$z6@BVEru@%yc zP7I1k<={`uMI&MN9ZPPW0xM}1$_Kp>Xbfi>+yGQjVaVQr@Z8uKf+2=IeFrH)L%e>% z)+AkI+-RHV*&pnGiB-wN+0#V4=Su8@A{yCxhgx9&F68TwR&-rp-Ok?dtHtzvJK#e5 zqNz8Vc%9+mM()N4E&Aa}zemsa`DESCkARDh2W?aD^Lwu!u1&k6)DeC#TKZvHlwXmy z@4pF!xY+F(jXDmMcMgU;q-+T%j$M$cnm(YjuzH22)y=bSANd; zEnI`=Omh{0+OIQ}Q;fuo?IajN&IFQg`zD|h%;R-Wp0y>+GI^0xZ1y0(#_C0Lh0l-u zj7%Et^AoKx+A;96KIE1(_V4Zg%pXWH*V49i3k|hv9C!`RFL=|@tm>zZ zYRAoHyVLZVSu#^2^kn3>IH`ZguUXtq80c`S|0mRqkR$M9L>+wP32Srjw!JZW+yA`S zvgM{w*0K9cxeyQD4jqs9fR?4p@43&0ueA^5{_)a}7uo$g$pG&s=KHY%WNp?k_@Q1c zCFrY*q#{4wHk^@UUtjlnFySN4mEEq|P-mPT*_)V8@c)+4Y5BUv=m_=Nwc5QP{xlgF zae#+L%DF11MO0hGh&sH88?r`-MXIx*qc!TgvP~bQQ&Gj{iUYZm$NBxozjtGGDQQ&I zzqRzT{ABoOm^FE5GkkWR8sU`{z=AeZHFXg6g7efCrBN6U+?Nhs9@5=Qm&U{( z-7@I^aMBwODcdEm^M(j!y`sOE{F|Uad*tNM&~eCGT!yO+K@)Q}bHUh9*Ju>|(!y;U zAw1L2PiD5d9b4<=8;AAhzVjA*bt&p(!hv~p7wz0<9ZN$o(+T8t5P$a37bC^64k^jh zR>!cpJU1|HnMl`V^F9&tdRmHlxG81ZuBOxJvH#{Ms@QKT;0i}v61frE;%L*^*%p3! zKN!<}+9VFWpljV4z-+0BV7k*L`?mQ5Z&?|^eH}OCIIn?Ao20&f>!_HK-@sw6>gx~b zhl?}75e!g=tqW$&LFU%f~nspPm*M0j)^Luq?SnE!%YZ|4wv%>y-v%EFjY@Z~vw95!x{+sRGhLyMwPd&A@o4P}H z&h6Q2+rFZM^-#I&-l&HNUD@)wU#}LYd;Lx6WgdW&*nHo04oX+?Ef10g8$yxIC8!N3 zUAtW>Hu%Y>Ah@kCIdk;72+`vq*qHxFjnyTBQD+KDM8mXnlPezBkg&|nR&MAj!iIrQ zJwc~zrSOsZxkhQ4`z0bP)Fa2U<|b|vR_NaF@Opd3dpqKDM62IB!VA5@f=OK~xQ06U zE%$3FtQ%g*5Iou7({+oi&J+RP>xt~bnAe4M{uue2en$DP2ip}+I{}*RIwc_LTd`7o zf;AZ3)9@v?Syxs|`!z|Ac@kjT1Cm+x##C7&tX4;QLub19=kZ9v2FgQ~<(YEKp(Kx1 z{(_E#o6;;_P8(m&Mf1NWwJVA^=&@@HG>oRMH$(>GMD?t)q`Gmu<8|w$((nUWX_o4ar3p6vV15!- zB52`fF)276FGSX{lM-I6TA1+_E-OdYn&m=XwKE?;YVfvHKM!n{r zN$Y5o{ajU{!^$~|cRf_i+&qF3ukUX&thn?#$G{fh;463jvZZ*w=uVAbBd1M<$KqR~ zYstrW=30k`CNSEjrfpzRg|AzlOzG-MU@vS|xV{Z`FMWV`n~%IbJU0GEXu$m*Vdh4x zx;Iw_P7c4=k(!Dq^`AXoa!?z4#(c~@g7Gg8aZLM))KW0Abq&P4{>k9Mq~P}!H|+Dh zK9?9ddL^H4)n#c)8Bc!>P?Hey?GW)*cJ~nduH~;|o`gDxOzDv0Jhytd%Br*E9nX}{!|yFf6E45YU-k=htv29dEjwxdsgk(=-zuHbqsJTf zavsO2+^ZiOc-cBX;x^==b?9H)xSMyp44_+O2?W^gulswPTCq~(#!ccW;|QpaGdXwl z+lWAE1I4h%XgQWA>rW=FtT15h!w41L;Wa}dse}sEHgG!DhvbNxik!LcIyL|z{>u?n zLX>&>IOO=SW$42+>cJ9X-E<;f5mm|J6{->AA_G)Hjj_Lz|5B>HncjTtD+Ytu^jE`v z6|v9tv1_Zq+rYY#&=N1DH2D`3nbTLJmMaxar-BU6!+ApgcHF6-SVW5?ZNs{pyt1O* zkO_X}WSVo3;L6Hs35=r_n=a#S?sFV2-SoF?(>8Pi1io_)C$nUGle53zPT+|D1=1~j z&LXk{f{`5>{q%H46a<_K_w=@iWoyHmvW8VvKS&2Y`i=NEK%aDZk-yOYGiZB#PW}6J zi;0c<5y#{*Pnxe92WEIO4pwX7dShuFTE|K4&X%i*A^Qhd&1z|OqgvNz^H)P3ytTWB z1{y=}zu$ry8W~aV>1-|^(;k~mU&|l~S{sKZX^V(nJ}IrgA^TmiS{udSkf*y$?(4_7Y_9 zyq;&!DqkAf*!41gBKKKs2yP-)+DCp>AG?FMkJ2nGlXIm)O)iEYDr6JU3u~!GR4KQ* zZSTH&>!&v`=b8jEcsY`LwW~q+|H)L0l7zMbU zQ@9;zG44nmc@XtzEeTXAL2QUJ%8+m+-0uH{v(F3%U{gEEw+ZF$G~Gk%zu^3D`2Hs& z1YCnvaF?y$ON@o)s!i`x^X)R%S@N|3Y-RN*XW(PS^`7MR^_O9Bka;5z+%xH;|G`}+&f_oct?qopN>z+@ z96cvb;KPlLV!XCVI@{7%(r`jjb2K>Lq>@5_(<*Qq;U}HZt-=%}ZR9)ibuljc&zQ?@ zV-?q{#*qy;}0RPLu5QQX)yy`_Ztx3Af+->cC^U)zVRG@z>e zCE$0P2)pzgyk{P@H3sIbyIe+y^bS1zKPV?o_TD1!_zB~1I=F^0l3n#I>|ar? z>4f%;1S5i3AkVaee+8Z5KN+zCu7$I&Sk_HO+uhMt{mdNHx~_D?t4Y2#jBm7KcI+|! zy2Ay>$K!wX=X3ZX(O(pE-KU{r{^6J|%l%)D9faroHB6o|N1K~}DR{bn`_;PRU(N;o z9>@!Z_`+8;OnG%p6i!o_5Xa_C2BsWD9kh#n=8 zbi{pTg#MBba`GSYA?ZNK2ZE3fY486R`E($w3ZD*5`=e$)b!>}SYzG&GgJs-#be6jH ztWk=v^ME_eEf5uFr*~Ou1Tj7k;(;K<``5ID1pYNBjp>V#5X;)eZkH#n6%0XQq2ymh zcT)B*!}=F}gz%|tny6!LN&AD5l*HQaYJH8?2T^hWy^5w9cmyBRtDvANW`0NQ`8 zFO{w{WV|6JmtHP?7y{Ov<3C{St01Mn{x!PC-vvAGl)@J}P(JawP2X&!G2=>OEt_R# z>#+4#Kny|+`ia$qc>d`8YfF@0Mecs3X9{{fanMRu*2)lASGLGFLP+LB{P?e- z9#!;l-5Qe9+~>afkM`@dfm>_ZKKeJ;KJ|ETgCT+1CL!MYe@Wbb6TyG+D#vQR?N!(8 zq_<6gdy1}fwZ4BK(hz9zc5}bme;uBN{Py*&1@HG3CEqiX@)1~`J+}&g8@2>m-`>&> z?;p1^3BaSBPi37g4R_vfV2!7$XE}Z!F!<^ax1G7;Yi!p-1*u}{-t1@<mDsiwAlUP$JFG*&NG20Npx<)C}zwo zXz=dk2ei%xFW1MYy}g}?y}ARuN#^6BeDjs*n)boLMzk1U$>nejsl?mj+rIKTuSQm8 zw$Ih>&H<@6cny3^@Ilj8H&=ka!@=AA<#e|0T8@Oja&sXWwZqrmwT+ke-zi?XnE_({>{tR2-AZDfzK{4RcLp(`<1rY$r<2`PDC^8wU=MwBT9pE8zMmBD~!>kRzk!m7me_`xjVi0-G~exx|avo0F=ik zqecs_za$c2y$QmcL-x5*zg2=lH+00mwL4j1zz_SaBkS`p%gQC$k%~F8{JZPg2d z$&tQU4}6h0!n|V(l3@zcbRG;%=-pfAB)>H2KNxJJa9Mx!bR}>k$2h%t8kd-YyGX~d zKNqDT%-rss&0`inNGA4O5Nzm;Vy>fF$&rx zn-_apVR|@WdaxkK93Y&g*pr_tmeXHsYz7&>Q%k)h|LP}PvXz)X=!uc+d2$A0{mXuG zOV^HO9rDfd1o9!$B?jel%b;rNdn2UJm5YRvtGDWcE*@1I5rg+n?EtU;6}etkY>j-X z>EH3poa|rmXeH-vQ{l-#g|qP`>>`W#U_Z16MRe61(NBoQbvXbd+s5LLW%pyVkI*Gl z{1_C4kO9cc8t?-GyyU3+?wy7H9|4=H)4J>a#OgsmZFVw8WyBz4`p`s->}ru~TVJlp zl%YdJvT@F}j%N2cDNsv3ir|6Uf-af9ygdXf0(tFPd&?=!xv0wmmcwV60N@{#?n?y1FwO&4E*&>y- zn6ZV|s+>9$WyD(oP zRSIdXYjd&hbrr2TbmTc8bU0-CV>-A8E=qTO|5719&CTNCOT;?aI)KnQ)kxfppZ(S`qg6`=jatU)@_DC%TH;Js)|3+(7(8(3uo@Wsf%?Os^bEmA4OD@Y!j~tu2Oq)- zsBdkn%|JNT$!HEhiVXuj|2O_n|K@t${SWM*=C}q+UGLf>?+$^No6LrH&zNw&KfAI| zHu6WCo=0EmdU-OH>f}Inb)>7mJHNkehk9LIyu=6$1%YBz&#qI~qSBwu?3hSNiKgEs z&b~W=i>LG}(XG;U<&kScoM8zFwzbqzno6>;XffA?zu(|3#}NCH9Ni4b&%VQp`N-8W zsw7sJ^=i&|fszH=W)X1sYm{h>*Bd$NBG2>J_t_s=2vR(NC6Tk1qNZT4*_@$Fg|8h!~JwTG_}%(#zm|%&iNvPi+BwQ6mSt) zO>(}d;37If0*&`<^RqhO_r)`cb(Z@N>&}^t7kz{$ewDq^yd~m? zhd#m3v~s}Y39k@Ll`Ot!o}CiXDHn8%50=i`sWMZeH_6UaZEX%-JFm27u|c-2Lag@^bC}Xr|H99OO@M!mSpIy22 z@SVC%vVJ{1Bvs8=YM~7ouHJ8fmc>YIaVOAJf-Fly~}xwsML_xo(!Xv zZ7OFgE8kPi*IC>*wvftD@Z1#6w%wk;o|Sk9dS~t}X@+_G@9~%)*uH{<2us~W}7;D)13Sr0JhavW9n2|4s6^>&jQH8>2Sti9LGp(cC*XqI2jITdPdILWHD$S zM_c2rIt<~`ui9-T`~n~#YF(T)d~iGQp_vs|x}W$yLoZr+M&>n=w43MR&33sPd`}hc zz46i#@_u$>AsWa!Et_p!iXsgGs*Q|glFokuHQ&$dgJW0SY@9xvMwf;RbLplVlJz*} zGYbOFLtur8s=Li8qRUP|(8oIJKcF!jQBG64#<~y7EQWTvH*i{V6nFcC)^@0mET(c? zUk$vj-OZ|o69{igcU}@WLmD{ovcM&0@_o@!?urP`uAk&mVhU31`v?=v%v$SacSBV7 ztv7ethSAiD>pnbo>s*`^dj_j+{KgfEx~X|j*fIGH&`|4FzDaVse+8a+e3h{!dIA4I zSB$)+kt?i&`^I7@W4=`o!INuLar_BsC~}Q}SnyuPfo%6;g4W5Z`3EP*Pz;7uED6Oz z%UO+i?GDqrmh5@(x@?q#($XcptOE;=6mN_LR&C%nbqNI4Htlb!EwVKT8e8iAk@n@z z)=NRwoBR#{A&gcBBXv?6M4Yy6`|M(vmJ~q}fa@m&ULNB29Axi_c(jE4HvtVT?nX!u zAX(*}LN*#OzU?d@saL~lbS=6j3&+_CLRU-UCpy)#r#-tsv-Y-_bW>kD!A9NQc@td(*- zv2%F*wzBMu9L)fV#@St=&x^Z4jMEwc+V+Dm!<*41>71^g2>UQ~2s3X9kBM`HOTVwa z>yM8E4Zu8ZY6b+^GrB}BEgMlC$J|cU{h^PPP*m-y`?ESu(i)3VQZ_k*X`)uV85}ig z@EG>}n{F!-G1S}e(~am;`aKBa&*yfw+ls|UJa6#Hz_(6Kv!xkfv5RF>>5gmYtDU-h z6ZPzw3Z&K-`Cu|2Bf(<}bMj%iV05H|t6^ccT;IS>9FD+=@$PGcPK#~}D)9Fmup`>Jq{nrGv4boD( z1~T3p-yG8P#>wdoZ2Jb5RX=Ql!3mI&wVSM53{YNL<|p9mFp=?)*^oA;z%&ZkYA?pz z&)A*DX@TUOZAT}Ocoqp{7BS|-B@CljEW@#IN~2gb!${rB8v21CY!ayc6dcKcPwagk z*@N1q?x^I6)T9Blt@rW=t-O~RDjB(n!Tjq zCnaX5^-49a)vB5><&iXh&`~QVR5uu>Ojw5zA-yAsbno#>K2RLdMiYOt%l(lmNTm^d zdi4`cwAm!Hqc?spM|;SIn%(D_pCeKik*@X!3yfLD z+BwEUi1@6^OAC>W`po0XLRqPbp$#7vW|+STa&)L*X5q_9-}^){y;F``2z5)+@K8l? zcaS68e{pNZ7FyPLaK{o}o2OJgfFl1jIpqESQ?qE3=@YM7<2IH?C zLM9e<(H;2GS&3}@Is0QT*ZQDH`&K9W1y*NolpZBZhAHbxCx0{~hLm3}dqQUdvFbJ4 zD1_C!0|YE{cxCcFX+liAZ>Ui$-mV#oMFczrV{-Nv#v&x#IIDF5j}9I5%>xTCN}S`_c_g+c6~D(Y>E#eK7l7F5^K2X zwMSqcnr&uAoA>nz=r(W_;J{5hx+c7pSU0ncjGkLLYOhQ094eo*&81+7zC7aP_Y8sfDxPa(?VXatu?4 z%cK6|zq90!WhJxpRn&BQZ(!)v@&e{HCsx@2xb(RqjH-kI=E`Lvp0h+%Ia&M?7R3Ym zE?L^Y9mkQxn9yAh!I`#b_&cO-&RN_ot>1iao*eTsD%$+tpfEjR3S?ztKp#Q7pu6SQ z$IHysD&M`El&7xOZ|$G2T+0tGG!NQs34C`z9PL{1vR!(enM{EBYgU89!3CB{>@@|@ z)4;U*@5B%>P1)VdBO$jKz9{_=pIW>_>TGH&w?oM(o|{vlr$R1vP^kt0o7DOwiKC6u zK_3JM_lo?jy?9x67O0ivxH&Jwgnon|48jZM1pXo*v3xRhhq}`?!#tp-Rw)74 zq!r}`UeBhl`>WyjRH+e$(TV8xR0nZlQ(%Ob#ucm5>TXAh)GypM1$}OFxTsCl4Q*{} zmOD_t4d@`N#m63|RYzHumS93BXfA)F`@;z%sD^w~nT*tuw$Vle-$GE#Iqz)M+?$vF ze9q*?$)PLI>7q#8t(C~_sA2=4aNDK3gWD?|x!UE5>%}a_ypYI7QeM7{Zfq78cz$^K zoC(G2^;)q!0J|Y+y;_XdwI*9n^D%uVBu-i6f@^I3?67Vxu*&;7?@XS&FGR7JAVNG> zvAEPf)Dy?M&2>`Y7`RY<8syH98TcK?FX=lwY8B8ineL}(E{>rF{+-|a}z$vRo8;p3FWGDgVda|^l_4l`{`zNzc(`?r!R&31L?T%inXtk zzIou69wB;ddf1$8JwwK!A--9Sh0H6%uS|j4E<>+ea#9?5x6IA$H?Qd0J%$a&l!HNf8>hsN^%1is2mq ztunEeCr$xxxiugPzl#sL9Jy*G^j`JLyl!_5E7lg|1&5%N6=%)qCpD}+b-0^`HUk4) z_%&Teh8t9yFkaDj`d;CJycK#bS1Oofe&ORIOYR5OiA3tNIZh?OqU*c0>#U;2XSsj& z{v`Fi@!Rmciv-rYYW%5v8+3>`FCB(GsLVHur?9^V-IV>&ET`Dii8Fi6B;DZ7HSmu(px9^biZ z;khuiwbsvNS~~Ou5}v|dBc3(C|6>GTZ+4$nJH_$Jbw#df;dLzxkeiF%!Wph+{hNh0 zG1{h8be*Q}8|{T}_CrM>qZ8o*m5*b2uJHt5f0t3kuIkmDr8Y}>*B0PeN#ibjLAH<6 z{QIEJ@(_-%YHRAcCL7A<5gn&X0UbT@44=sN6V zAj#mYLc-iKR2aUJsaa=Fb6~?|Ytam!Ah_uIDhXxs^P!`2p~if%n=uu1I^Hex!m8N; zG!9}8ZU;vZ8>IU5(WXl!_D&bp0Wc|+*pfSq$vE8B!ZN$EHm)1^L34Qd+~nA zU0h)A(xtyHADBiLTysEmIz5Qn7PcO~=-N%>`{6`Y2o ztZ?N)w;?^}z0u)oyEomg(a(6UfTDq-vhx5NZle+5Xj7H3+9nurM2BFc(yfs`nOW}M z&m9yBTPk#`Da0gS(7dNuuWpT?JZ;ykJg+?}q_WJ2gmc|?v=vd<7V7?7-a1^D8_XH= zZMRHpC-d7kM-{`i0GC}lIDk>v-cf|!SbY55eqp+$G4L}Pe+$==wl05%y_wp%NB{~N zo(>(Mk#x7LA5(}jG;gTmM?(W#?BX32J-^MR#GgdXrD6S7tW`%$G-{VLG>zmjM+pbN z+e$}s+9r4PC<05dI6R2=i{?Gpy)sSLP}FA$9Ilj zqkp#0`?%L&udD2C(a`@T+{sh|9nVxk_`JMZzgcl>_@^;hcB#-x@ZFS0sb=@(-YcB| za3{93J)qZFwG8U~sDZBPD$KiNGmE*jeS{|32zGEq7+KzouBk{zs*=u!XfRxlD;meh zUZ0N3xjomfNE?no6U7pnp2K4m*MIRG;ZpU>yE7E!EfuNuIOxApquZIW!<@jm7cY@n z@-dJ?sXK@DI-G^;D-Mxm6*EP5&H@rD*WA+?EyxpPutSX=4kBCcjV|I=U)tI66ZB!u z9(9?wjz-JDrUCI*(c0!0_1c;C=U?+E)GkLNNJ!yVSR>>=l=TZ$l;a}77T_YW0oIpJ>SV+{>IVYk`joo z!z3??oOyTgkuKWv+Rip7x5P~>87T;Ur2ZAia}$N`(-+3%0k9LiRQ;i6*c@kthjR!o zEkH|tIC-dYvR-lq6n#-HbPLw|DF!_|BMhxFU(cXylCDa zr!ilQu_Bu_GRvUvPFWPEAb|H(R5`WDGvzJ*+eDN&%;=r8Lx@kJO~ zkCHK205TVsR_`#sFXvcMd!sD`-X!v_^DEr^rL!^0;KOBCS zcE4q}bNVkHw;Ty~(qma7=Aa_27%Fde0hr8pdkt2Q2vXP{@<(_GLWPWntX z95zcOVF36@6Ggb9Ul;-nwWb)FiejM)V`7hl^7H3r>{d8jxP@O+A_o)9#{Jv5_X5x} zlP_bdRV^vw9DLSnhGw;5RndND|Ff#-okGen{r9;T4@7i}>Z*4N#^) zy7c&ftIp@Bk-&MyaYwVT;9|6!UOk+{bAfxOEkJNhjT)&Yj{9cS4fdz2-&xQi4}7rd zxXtoXeu^InW*6EC&U`O{RiMn41UQ6!#}4zn z>n(=u{8eor=m)4WRqDj;TV>(XLSMd!6G6JtZKC@s815_iJ`PcdfCe5t^&pK?fxAJ7sgzlr;Ur>+hTS70;}N)C%xQeAuSTGC4&B`_Ly(s4Kd}OUVzN zfW{>9?}aGeoG`DX-^fBHCdi1$XLXV`H?ci*uj9E4iwk+i(nfG@H^&tOjHPcMM^_5G0B}K&7Ylhxca`un~ICT{pk|5R?U!C0= z`sd3SAxVK(EAso3VS#XOzDMz^`(4u8!7MNZ7^nTFlj`bj?joh}ElrlSp4SKbaf9^s zx^w8Y%(GtL)&9HX>L=zmm$(&D#!5B5LEAw-IC;D6rq`=#q zwQv5L4|r#g@wxu3#r&Q2{HSkLMB&GmT|NA$Mc<`SA1jJCtySsQdZQ;j@X}3Q`>q~F ze~izQ7U84bX`*FQoi&$(#9WTu&z=f9jLUI^ga)E9l-n zkGydtW{L3JZj9;Ma{6*Z=4cvZV;fqKN4>)p2N>DlS_|$TKZrI$^$l&4!lcl2mc&QH zxNWY2jk7TZPr5|y3lU(gUTN+zAq?JkWWFg3q4`95f zEKT%qL_?Loe}l?PA~Z>@dD68gBIB(hc{i`%C;1qpRU@{wdoNYnNBYRjuZDL%IU7A* z5NBmko{~$llT*&{V@@NIA*%8?DC|R8Vn{I3q{QVLWT)g1zt$lPA*oM|_9rlzXyD8C zq+~)@?gs3Oyf8Z{QdscNtjBf?k3%T8h1_f0tLL`(dpkKW4e~!im7O(z65;+_L7?=u zeio1Y5L5E@;NxIfR?#JZNXmCh8b$kZu_IWR{h})Vx>)s6Hh9xHZ**jLoARWEBLnqx z=&Mrnkemq+Tk1r*D*+~_0>oz0C(;SqwjI)TG!rrjj}0%dZ^0Qk8^{!*tCI>lD7l>f zB6)vt`TSP$p`Xu-_k*nDxiXfVrP40(!>t0dG@s`}Tad__y{Uk)I=V11+>na^I2QoP zxXUxsR1ag7wESgdJU0qA=1M{%4aL4pw7yNT#5H&<`ogw{@VTtqGhFe9CnxORuWUJh z5I-~w5qMEl_~uOX44-W|`$B$8#dmUHJ3j~+Wfcigeb6J`n~aJnuszB>78H>R;HLz* zMMa6X8=~nEHhUihLyLR6$aTgT>eZz8k(Noa&*Rf!^1+^p=-~%<&_@x1pvEy`xFr>? z0QNE%Znb@zqA`&KX&%_!tWm5XkX;RgUxE4P;(rM=hR35U`&q4{JNomb|>AYz19iLK|2}req-n z0TA`DsdVM?xf^GHfMHaYEpn^XZUVB7!ycgWYRU6nC)|)58LEbE=)O%ppA#P$a83>-gMjhZPz&`lGf~C+yF-0Sx3^Mw_o|f39!q zv^ap+0#9j2-^qmRP~*yqoaN0GcYo7n(`>rc<{YPFBFBbIxMPv*^5YZUZ~t@+RP<8H zZd9&Sy8p;KFFV1%V3(S?+-!AsTbFLPVz0$(COgjc-~&CyahkT?!1p>~Vo6hY4Q+G~ zMQ9g=p%{;`_4Bsw*p!3XSSkuARaiV)eyaZ5^TQii;L6 z)UbEN(1STIYhJmA*5D>wON}}_JAunV;;-cZ7XXsU^~sq`V?VDVIesF_su`zs>)}rr zDJh01%nCWBoPct+#u?3X)kHHkW4%jagaB}tOXyD%%gm!7m zEw%?yqnD9d*BFhp5h6-|68XHa$S)Fqz=ZtJvJG$aQ%bm>$|FBi^8RDcgcB!U!ZBsv zYKCrOy0C$JUXY(C5;@L!8eqG)j%&A&54cFs;VfT;ZmjPd=4*9X9UFjY%rL@#N-@I+p`5Tc>EzwQ0$0N zNJq~jk5fFtCb=n+<@HL)tM2|L0c^o&^0(!BFB}H8e(xiVz0n<(L5`ELggEdWwK#6J zfNd`gg)=JGy0p%6Ud%?aB&o9l$1N{ZON!Tab$-ViCWek^WwhX1t3kgkJTQEEQ?0=e zCJQrUq{U??T8EIOwVBZ;*{-B}n(@If5jIa98;Mkgf#6}S`)r(*uyMRnuhD6T!PIu$ zR0*X`M&}Z`Oynw+ioIM&#unIQq{CT~IHM}&v0rtI{WfJ4d$6 zMR)?A-mfEEm5@p*@9rEL9Qm6DW1a`^XTc-#`q1-oDxUdDv+=to(gYL)=;kSjl{;1j zND>_&H=aZmuv*IAB%o9qfvpAU%B9LzgQkcj6A=sf7etR=v0tD8QUEj(nJ4{F^Sr!w zzyuFOUXZf_r9{b3KJ>#rIa4vtho*x){L>I_O6q$bBa_UhRnE1bJmrkBtHX?_T zg^`BEuLI}&)T+e6s^9x)ZYTT^%Q3{HWJ>ogy}sQpbO?oQ1phWn8_Csn<^2gw-mEAb zWQe(eA>00=L?M@jd>+3|y9`s{;nH8^o(!uMapTWtgAwJOc5QvkWBS|gMpIF_T&YiF zo+kJ3fp_MbeT{*w2yxPd+wqF3Y{Sw~l86*vJuN2{kLfV!=asOfPP$7boy-$ytXINv zvPkF>AFR|%hA{@ih+9tzC5#iAgX|ush}d~eVxXH?07kJ+o0sD!%8N99MaANmbZG*G zJ)Ot}t&J0Xo_YGzuT)S`qnds@D#7V zM$OFTb$`xMEaI&sxy}{Kcg;%_x$Fzi$-z(9mNcA;or}^Qr^RGquUVj(24o`+6MX(E zbrdlnh#f=aQ^GRo)=bk+U(a?SN(!r1B zDW6J&=&h+ETr=*9N~2k{i`Jkmy82*aP5}d|E>sp?Ot^`;!Lyf%?NhCjRLMZt*l9(f z2yGc`TxY^}U_`F0ylV?QZviQb3bvY3Zu(DKKLZL7w#9oHP7n-naRC-@iQRr=Ib;E& z=bBe&nQ$1lM~(~OdHcH?bEpBk zgeC^$7qwre%n zt0`KCk5uJ%EFU64$t+)PK|$U2bZ$>PWKC2eO3{Xa6rjY1oG+Q^Z9k`gXCJ@DK+azV zx)J2sr&v~D()38wFCRLwPRE2*Kc&NkQd(fiHj`ak` zO2w;-=TKp|Sj&SU3uJ@?mBv3$xCHL}jC!)|@PUsd$`G7#3|$c6PZW{wpAq4A(RY6=tvdZKvG%MzYsoXwI^m+&n>}{ffUTIhVHU`1!p})3a!jtp=M7-f;Dpe6f=NbVlEo zgpSL80kC|(WEQ9vj>Tuveo8J4Yjlo)yLU@2{nY@LSS}`}{_~8DB#mD6>QZmaX)tfW6|vM3fZ-@9%WR1>2;-DBQQm%gj8yZgp^o)#DJ%S7QZ z#dL#zw$7#goPV!+&x`mhYGfAj*PahxoXkS{DZJ>h7Qa;DPrhhI@B6*&z5In^dcPXU z`efge{@iHtpN3w(mX2cYB0fjs>Es8v#Xqww72dd*A`s4Qhvc1XJANH55{Y&ByQWYJKmG@vzBJ&(+3W}xiB8ZG#e|_y~`(6isBq+K$50M z{Bw^3I7FUh4^y+kTeopj-P$P7WTe4mn&uJt$wpTf=4} zN5M6r?p5eZ@@JBKJcFvX<0qe!SfjMoPmM*t!saCFfE88FL>h4D0$!K{E+sXX#97on zt5Vc!ztCZrpoL>1we8u;YH96Beg;-_uLrDX9dLyNaK+_^+ayW zZOiVfE7xRxXk%fwUjF}>8twcB!YBL}{GMdmAn^Li>Tai3pJWU5lVCSfCV=Rt1_0i1 z00l1V9;VE%I9>u#S8jncr*uDax z^Y+>u_mGrY?9~DCYk#_eu?K0y5JQ(nGZ)Q&8CLIjg4cP&M?H>2ff?TBWf3a+m}3(W z^!z(Vo`p(w3Ia0Xk6743fEZ9yx`Z3lzeeB_;7JzXiQ-J8VD9VURd%1i65)bma&#^@ z)R`-((XZk7H}v{n{6IVZ0YLt*zyQ#nl5i@D1yQQKBETjF5TOE?H3nFJy$;}pS>@cO z&R4%=1lJW|x!^hI!eFseQfh3^@#VyEodO^Q0FFBV9FGGS(X7u8pnM@}{COuPwiqXfsZd*f!uA}rXPX$-w|-i;~xa{dnLeUc`1t!!^=j1{#^}VJi#i! z2`7Nz-XriC6c^FIypGP@(at}QdW8d~C_wjra1KZUfDNYr8vt5HF{J@qZ2L7@CVM19 zOf1!d-ty_YnLT1{lqNtu!dEWV0bK0Yt?jFOO@V_}0Eb$53B(Wp3%bj~7HY3Zv45Ed zK??t0@?Z+a6Nm)ZaeN zxQY9Viv78TV^PmDfEc{$QXdc)gDPTxc6VfIX1V9Uf5u5p$)8U=fWX8F{{FiT{Zn8( z$G6#lfW78s07)6Rs}$b^Z#eac5n#x_&dnTP_Wzoj%Bue+H-YUeZ(I6E;%?CWZe9hd zk7VobO!x-~uiE(P6Tl||fFTbL*_k47Q{%?!D78`M^4F;VL;kfqjXeB^JUxMfU&ZNL z3BVAb-r^CzveOYrku+;*K!}8XV`o@AE}O>ny|VK)Fs5jK{;r7s6c|8Pqk(`u`6wyo zOCRj9FoeEpgqQsPvqoG#1A)O?W}H_8a`j)TWMt+b009$26;4ZOBS7;7faa46@wo>L zTty|GN1}q9BBT>)K)C=6faJaN(El~tUY&Y+bt?C@c>M2iiahH7zf+95QvaKs3j6QZ zlc!hC{>FagZ1mXw3(o%c>d8Mz=s$|u{{bCG4jhsp&M6G;17u)QPvBqW-y2No){!s? z#5h4ZIhZ~1LJ5DMHYzA1{!1Bv6)xs`FI_^n4rJ2cC2rimDs!O{h}9S5s-322k(iM8 z@pKsZ0EH*jp#C|NnD1X3s{MuWzKdp@L8*f=(ejjs$n#da9(Th=6M2=81?iW+P8Mot)2OLDb4jP&t&yjEPu|c1)Z+h z2oFk<^hhj!FW3M9yR8N}pzw_wxyq%u;2F?KfBk?DG@qF@#BHD20gb!^x~E>CaApEJ zsnCV9Db0Ula{DPXFL)6fEDir?a<=FH!R2-O(L=y!1B}&I<0oL8TE81jyNMzMx`aib zUNg=D_4+>=7@%zc8aI=sPum93xO{U*ith5P+CW2UDhWWg49xkzigeqaGoVi;fIf{L zdfG;GD=k{fQw0d+&R#8G$~ye*MXIK_UDvkn5|~Q>;)Z$@tT(^|;^n!CK{aCn%*}s1 zlhlIrD4uAj`4)=CyjPqv7d}3C0%cwN8encoon##$otCZI8c@t zv)kOx1UHHKKt%%R6@P$W?f}zrUzz3!7(ZVPZ{jmAk5$*FYo<(NN-1XDYJjw@09}p& zREmML*ZnK)0qkqnJzoj_VNPc42I&prW8o%eiz3uNlh-f)=5Ah2^@(@&W>50C5sa6z z8f!S^!|$njb-ZV4<*V}RCX;mPRPesWmvjy7;06*%DJQ`E=cJ--JKev#yBm}Sk9Ty@ zf|eZD{OiV3=uDRj%EtHDn<(@YtFQzW9*ri@XdI0&;s5hPz92|M8qD1O!|`DNwIX%7 z#_G5nHRgu^xzx^uYo2M+st5G3K;m|7muUS%lf=4?G3Rf);IXrM3gdb+Ws@69W>VJ&l$8T4jEIA z%x3N^9}wm8hHzkZSm7CdeNZD@_q^BDvwOCX!P@-{3(uAd!c!n18uJRZekKX53aM(+ zW*dG6Zuio;xgVl&df~}{L6PHDvBSG1Btq_?(pG>b)Fl@zL4f$o4D<9NcD2HMK&{kN zRQWRywIVL^NfDIeDEBB#_GG%TJq2pma4=*ndqJ(K7>6Dft?`3SlK*&xDZ8%Qro!e2 zot+d2ty<3Q(&Z7h7C#E=Fy5JUM7la4m5)^TrOxKh^AwV3JnWM0@zZRqU-{SDt&6+P zUD+uW(zs6N(aoixi`$ajT?{v=u((`-hNYu?mCU$sj-f{_bawKsYOBXV(csw83;H#- zVhmEeNpVBO(AzBTfOSg6qqnMcxR)dATPM(_a!ggK3t{9d&@x9IKb}aVr9MP|Th6lJ zvdda-wl#M){;Cbe@PZMM_OhxIge@HuP1zV4I!Z^?Z6+o%koQA9tNQXrWmETFs4Soo z)pFpoFuu~J_n*_9-7Gj4C1Lm#ulCvWybN|8kNkJDV6Q3sd08tE)r+;_jQcR>yUI9) zEs@G<@&I`g8{@5fSJGg)JdqbKB8!mvOVNifAGyNXkj?W*pGFC{(I5Zth@AHQK-2__ zr`xp*wYtk^r#V-|!L$2;oS|Jf?$s6jyXG9@0qoHSLUymrca!K4GQRK1X_cUBP0>yU zI_1pE1czyu8G%G~kZT@PJDuq#Tx=ru)6=CewwZxny3j&VF^<+7r8@*SX=2O~1v(O) z_`vD~U62>ScFAzUW1?X0812ByWO&TdT8E;DPSSZ}Yq6zh&MSM576d;;yc2Y9IA|Eu?M$H|SXk1-QKg~K`=!qi@3r8XB znp!jq%%0l2Q%)rQPUy4PMaNw6g<2gu-HSpMi_RT^HIm@^xV2Wy61w)nF}6!%=kTXf z_|3k6-V323*lka+Ir@1jMvfw7P2G8)qY&(Vs~L@i3Y*9$STkxpdCSB^K^Vd?2fI#L z&DdeK5`URd9j@8!`TXL0@5e3=B0SZ0!c9nLqobxrOW>=|D(<92ZuC_a0i75-p{{yd zHZA=_nC72tvPB~hLr zq^CKm6{bstWUt<#Z}UV2r*vv_BmTm@Zy~i3hyo~4Sj*Ylwwpir3(F~cLQ1p=s+&ec zoyT5!VLdBc&YRA|Y$+#WRXmh}VDW{~ln|8E5xGd5G7#@iBsIyH5jQ(>Mz@yQ(K^XP zD!NeKITu}ii;1o3@3zaD3%M7V5%WC}X{5PGRVAYwa$kBHfkK^toJ35*3xXDvy7$LO z6MiZOPG?<=_>Zawfia|(lS4O~C3ma=sq~!t2BU8mLLfR2L2F{crro9N$>5ilou&_v z_3;n)jEy!Ds_;9?WWfj*NS4Mw7v}sx!)`;unh)~qR;)Y6ODS>MekiSUCfkH<3oi3% zVKF1;K6dT1We+$7&0da%rn*ZJ>}eMhCaSLdrm>4hgcbo$boZHRSGw5IV<~MpANR#I z^2B#dyU7UCEDyPCs$JXc4y~}bEUCGLvXw2p&>lGQd0@SXzCNMvbB?XKRC`TJ_pn((>~k!w%HpXIt;3RW!34 z18@uLclK<^CnG)(cz&D^J3`waGDg?7HPNHp&DRPY5#?PZP!?~)S!ltgl@8op+k*P- zF=O4$x|3gpmp?NkAf#P!gn>O#M-rN%x;qxr8-89#7tF9L?FSW&gm`xDdj&CJ5pYj7 zVp$})Sur#feLGTJ6JWi=S5IvaZ}Dk%y0=O@QY+sSO;ji9Y}idGV&iQBE>i_DUj2CQ z&1%Jucj6stSN-+ktkqB(vtPA5aUmi99kNQg8(nWu z5*?2IKH?FUenh~oTM=xJ&=My+Mb+IL6YGp0Ovok0_0gAjQI#WRrQw3h58-CNvxS17 zwjI#Gsdmp0BbM2qRT)`tJe+6w;ly4Kd_umsq<3G!@OM3YKT+zOO%};JzDMnLQ_$bz z3wrPDjiT@j+nyYMq_U*rOGMjM;^2~i%vYBXWlVRF6c_M^Ttq(rkj#*$tKOCBz;5j~ z3$VcbK5ds`?cCswvuLCN@0b#IA)evhR?mm>JmH(vC1}rlTTI|b4ySdPuz+1XReh9( zgCqj4LJd|!hVL^5zQ9=7!JjC!=y`R9*9CR$RCociPTu|LL0?U_$2Ly(#sso$j~ zu;@Do`cRTN1{pO;T7z>jB_>(dlqrm0 z{p_fHkx;XfVvM>&zr!+Bg=AfdM^d~qPy?6A9#(c&SQCno6qex0jVbm0M#PH7F06Yg z+7o*pzJU9jaWgZ%QS667SUxqJDONNu>enxO1&iw=*`IBfy$;6K+h{FjiFuvYs8}7; zsb0|QO`UE(6It?gj+=faT6{529TCl3?MnF*>-*;NLk!e+v*7jOdu{EDKY%x6PXZlx z2ns=pVo?_62fiNw=33nhTzN<_tw2ibCeVxUp_3( z6MtENLCxv8!=5O7$IWvBiD)zu_Y8&qf}U>fAfN?SOKn(dPqGx{9{0i19g5-J9Fo0! zpp)}qVce6tb-QE_jCwm6Xt$wB;sau9!=qKVc-K!hJYU9Je$PkfnCXr_;3wDq$;Wx9 zU`wri$1Fwq)V?)6JNuiH(+O zyKcIj#@fG_@lx77RcD&fIKm6DoCigK@R4YIS;jlM(Mkg%NEKX+mW(j5Xni_(#6B)} zJm;CwKqlt?^*$P35mcb%Eri~LOG@Y2NAAU7I0Rk4P_ZM%Uj|2z>U;C8p}tUafMN$8 zt6vn^@Iu5sWg**<8p~)k8Tqzn^`HD?=N(j)4V;zT$(Vr5*$7+=Q>F>$j z_&v*!WGPo%GzLluKSP?B2_(CF;MOH2nI(x;KxVn6%y7^n?x9XD@7ON1@t@rD+v9a- z-XK!xLLQFI&Is}C4CqRMCuTk18O7$a2KRTTJxkFwcIs1M4 zMlbR+A|6inYuh)YTU$PXQJkmVE~a!x=JCGseF!)ddxs8T5@)SM$Etxh=stC zK?BS-fTf`*)YXSVAcUxTo=22IjCu-#{6WCX7{q6PM^Obp4rBHRbI84#)W z>{R9Lhw#*9bLB_d@kiA1N}7X)4|u(AzqnoH=G`!v3@5gucw)AOD=;HYL`SH{e!VUi zQNub7VPg^v87qE)F5xk{VyN$e^-dMC?&GZ=CQgwjuWirhD=_5FWw>IZn6$v!|IpK% z>-FZAr}J^Ip=J~;b7n+|$1YJ(kiQul?NZc}T1iPd4U^K3wTF;Odp0yP)WPmseGM{& z)wMe-#x#gl-zuf*IJA)6q3nriIPK`oT-yEc4w!r?$Hh^-Qhv`K&pX{yDphu>%ghtS zdA83)>gA_ube?#DHlB;8Lf9I8*1bk0{zD9P>PA6uw+Ou$=F-p|j6EcK^5N(<6VV-A zj9a`vjFA82u(YI%VMWNWH;VU?d0e9HSClLfQM@w57u$}a;`~RV>`&9HMWV7-@9A^R zqG@Q5GI(H#jW^)2h!q~&gS$hHkY5nh%Cw9*QcL)T)X2U;RKIJ<-0w8MRd5Z1M|)s{ zot}Ioq8-IB7kw^El#X6y4CId^Zlhl*1TXh6)Q%G1Bo>K^6RS}F%b*5 zx7Y9Kd8H+beG=kjkUit;S8!oDhqf|$ofe-PpPgpJ_-H+p)$i~I#n5@Z@!_=3oNI6> z>;kc!TWtBzHnrmEgTV&D%EdEgeMhTpU+RVy{^O#X5BG#<>EKVHyH?ziBX{O}Oxa9s z#BI)WSgPUPbr&@K>qIXfiX7JY*s@j4A0@Q&&|{e0gQKYo<`u`Vq(tvy8p z6Mc^>9}(>>&|?(UV`0)O$+;=fh8N^HpKv=4)vflR0v$%jU7|8cVP4ekz0rMqIEaTb zmmm4^S3s#Q6!t~tT}%?AMzFqUDSAe@jzlrOFa6EEFN~-0a_6D2ti?nSGn8XA*DH*5 zLue)xJNB8}&RIEoqtFTIzN4G!FWfH!Wj~~ML-TcZqc!jQN15a%5z~0a2>vrv+V$zT zB<~#V2LJ33n0pI1Z@iU5t}EWg?`vdAo5O{%w!pp(;b8wJtnmBq{+XEP-YI^w(1ap9 z%xHk3R+-U668%zf^;4XP1kN6WD1=0QgM9hcaT4;_DzT%lg%&Ae4?@)SH7;b>KzR^# zZSU9%ai4Om{Z%hI_%~2*hgw*NTac*DGjFa+*Ey6$u9zbgWLc|HyxY4TbHfH}dId>( zQk^>Y44;wDpb^8tR<|d)EtM3%-m=_dATS6ku-wC~ooSW8REv2+vfo{MGi-sezsY2L zE|~uiYTkSbYK0=mh|};xa$G{ARe|CbO|zgcCBGORq*hoj6+$9PtNnQG=UTw_7#jDW z6M@{LeqNB7U9LVHGBDP$j3+38%~o9nl3;;LZOvQ$W8p6Zf{HrxW~N3DZM`4*6-3MS zNp`pNR=ZBdFhaV(M!k*bW$VpW^G=X)-CYLK4NKgC@Kk`i!xb%rvvQ4`5sSBBz>6iK zW zW%$_pIlo(S+Yf|}mBN~sSL{jJVOt^1!p<8MIf&tP_oXi*gShUEn{g9i%x#5r>%eOX zuFCLsz!$R(oYXmwDlI2P{G|2r)lDE(gH4!?pz*8GaCH|(HtjPOPfix;?|gfQl{bN9 zI_*2?(y1nJ(sUWof4Cd53t-yOaETzZp9#naQcSEM2TDDG4IYA}segSoXVc1Ld(46s zzY1cs3hn%nPGoN4X8G|a9_v03zMyl@#L1w~YyO$Wp1XncjyU<4Xm zZcee<4Y|=D_=4lpGEk-9NMTd@IvGaJU%l_R$`Rg5QzIHO=R{jwf0>7&=3LwqkeJrb z%&-9abYlV~tWAO)g=Un-4^018s!?Yeza$8`L~ubeI!II78q01+GB2ZWw=Bs#y!$j- zH!Or#E@-vU8t&VE-Bh+t@yFOp;`21cxhVe-;Zs2-MjD%P0PF1DVWZ7TgEd$OY#Pqy z)p#O`*pQjn&--yon3);vE2wJyoo+0YCGCi9{8|NTy5ShA1A=x$d@GaYjP5W)h&xf`*9G zQaa|WoTsf!&_?|UlCA26uJ{8i5j{&3(?-FN9XBu0O$+$zI+WJZ*9~bdV$dlxUvc?P zWvS!hwHbpK!t~pO1n`C}!e^}GLzN>lN;K(AG9|76+5|a~jc6#fMU+!$&d!T57`H_+ zJupHkhz>OhF+5WtX0F!^yTRGmYmR01XjNKjq3HO+Dc;fOao#HJZaIDdX^IC*ID{~v zP%PxHzUgsjP^1U8Dui0FK=T`#;;EY)Q*!y9`Y7x{xsq+!5(C7!xhgdjhMaIZT1Y1xjaChGIfJeh(~1xM%Y5ZYt&ab$T<1kUTckSHcOsF5V*LPw>3u6~XgWUP>PR`^7P zhHi>~s~Gy&eCcz$8`lzcVvG}Gy@qtJ+-WgqGGER3Ju@FYaEaB1I+@j)JXZ2T*TZbH zL&3f&k)5ceSnoV;X%Q}aB8lhLav~fps@Lq|+EV0D=_~M6m79($gwx7i{G(Y=hD60p zXwkGmLP;l~Ym^zc+qEtWSs88M6IjdocX z%btU|^Qd}u^BThED0dZ~k?`~@J_job;>^&+l*ZuA50bg`WT`o+-+qK#CHqm=qx&?I zOOem^tt|m7SqM8XQln|gRAgp(zeVysAEpYA$HeJS=7XIjLDjUEI5mUSz=OJ! z9aLiMOe|CqF&i`-*NXN4bBbw&v>#4`3!Hk)hg{gYhNhO!a0cg2OWehYXGc$=jNB{V z|72RHKB*1(cilc6%h&|Q<@A9@35wN?_F>rJwR|H9+c%S<#Hlq*uHN<t$;iw*K^MEX&N&+ zz1Y4sW-zT15w=AT!c;fBaxF~R9bZELlIw^^Amh4Q6B8~Bl0PcgpOvv&^Un@18g!2z zR8PH#L5cQzd^^rRW(##&QMLjowbJPMxBfh%eF?ZGvu`^Pd`ZL4%oaomI(Ag%5r^TMDAQWfa5MAB?TD6x-b)h~<=JE1HNsOuMz z@%|`iRO(M(r-sOD+0#YU`#Pgd4@*Tba8(6zTMI$HMpdXwlN2b!BWna3lIkI*62dHmXqOz4#LZ6HWKAu%x53E5G=g zAzX`Q#MbRpu=F|c9EdW~Z#U@94Gls$AuI6Z3zRd#kXl_biwn;MVmhm|z3G-lypAb! zSJy(ap@HGggxL!A8NYje^xWIxLIOBG$ail&w%fN(M+q9Jv?q`H%ma7(t zj_D~KAC&81MT!W4(>vn?Ea#KBH`2dPy_CzdgWbxQhiMdwn67#w+?e=|kC1KNKdImw zs4GIaj&rVOq#e7Iaw34#k@dibwlbu1z!y?}Nks|3r+R_`Hfuk?6Ni#{xGoL& zU^n(=LTuhFyY}}kTH2KBnwG{l`Iv79G31s#(#r$trfnN_H5tN4zcoIP3=~^Im5y+^ zPVI%{wnIe!;it&!IrgeO*WZ`wgVrxj)-FJ6r_#Wm5mcZvsJ13{!D45uMh!c$}~4TYPnJvXE`^LK=pK| zxe&J8nN@-j=vb>m=`P^g_ql=J*Xt_w)hJYz*y*~^a4N{lO^ExWx-rc?4Bq+o6YUt~ z)W&e1x4i9LJ~)$)%%C5wh8c;*Azn}X#3_)nRV)>KWDbU?Dsl8I4igtTMYSf%<4yk4 zbq}Re2HI<T9%+vsFop`Y-OjtB_AZX0OhN3M#j- zM$M#-zCU}wE{W^kizNAQjPN9~f4?X;VYTz5q77DXlBZid4K*6WG` z3VoiH+aa4xgQZaaKx`g(3HAH>umGe#S_m_6DGybZG8g%=IS)>y%S_Fi@BNzxxCuX0 zR|l3gUD*AE=d()p_JMmx^3z-&M+-H?b>zBm+qX)T2+th zWLl0x803#6LX02^eK4Ls1l{3Nxe`Rkq0PPTNiaF(P&2eYTWR8nWOC! zyYr{H>42h?%u^T~JbSEx0}azn`9L_0gAVa+Y=%vmjxDhMe1K}i-LeDsN6G+X&eW}& z!qbqNLO5a0?w#fRED5bo+E)b*KQB}}IxxTA!*!T@ErIyhtzyoL(G_e_N?YcvXk5T& z1w5pno;yB_FwDo;c$&GSiNjrgZ^gO8gd0YU98FYDwn0kOC!Szr$iI6=EDY{F4xz3r zEmPFdsH-8sk;Y4{FLWzNof}1N(iHWCRH!aJNB;GGEClWQh|&ipFb%pOh^h)S&}j}b z^An;X&gT$YSY^U^Cg+s&6lH@qIMUY_!W$58oryg5K<A({)|DC z1mmbrf*Bs1{1+y$DHd6cCuhRAlCzeePDn%y-cR|K6wN8Hr1!teT2B9>PY zzg_&aFWwZWg@a^e>_v#5{aRDPPdtkjlodRN~|#{Z2Wrg1s5v=mp33-fxER``+Tc_bnGNHLLF zwxR}VV?gTKR)MUuiyJ3y`*Sret9V8KBw}Wq)e?nVzRX*VvP{V#I#WgpQfb22zS;J# zl*YyHSqaa{f@5W>?CYd5B$m}bb2{h$Vdwd%sh?29t1S-ySx7f6Oa2R+_gg7n`u>-n z%!p$e8?hsVa`7i@rI*Iy=Q`cdY6$M?QNQ~Vib3u&9k9ko<^)2{0d&~((BEq~G1NZ7 z%DAjIfT{8+{yH~G= z3OFz7;gb3uGUyFW*q0HX^}7`_!$p0ENLJBosVP5Hd|=1wk8_$ZR0FjQ%3qhO8O>>g zKkG;!N)VXUrpFx4!FM>n9EwqJm_G}BeU5XCG?mDU*veg86@*KJ2 z={}Lz91(Kr;Adr0w0}v#R!>}WeT>eqou=w5(Zpg)I}m2for)Z#Fi%!g{*Zr7^i#we zSJgi9(!kiuS9pl*_~~8{@;y$GyppfA%vz90qKE92S5Zt*aCtTAZw=9A(fdX#cQN&9 zH1<=Z`0~Y0!Xh86DLfU8;TCZyilTM!&aBlHMm`4&@q6&K*R**c<}M}VF@sDRmAgkN zbjU?5nbs5OyG*KTEnE2_{8_n=O~Yi;SGDnRi3=Zp!ovL2N)5B``F6_T){Y7}?XBbk(Lke(<-m8V?r6fMKo z;J1Z+`aE%94uZ9vw3NGsFgyzh%-Id6s>8w_#IrZ7X1;|(Zr7hQevLz}qa^`;=S9~) z(1b2`ZyoLW`dyGhyJb(^c!qWK(WWi!4l6%=Ui=e}%LPSTX)f6QHd|mwoaRPcQQp|& z`8WLJmQUCHyeoTSr3=Ro<|`ikZPjMv3Ho$t7KcPSv|=?DG#G1|*QYLF(y(`E>t=kg z&Lqv=P@e9Xw3F_Qg{dAr<Z-9y&A*48jUznisxdK$x!3Ho+F*XOw14nu?W76 zJF4$}?hVP`?@3#E(d7Kzl+pu-ut6q$%QfXM;d7Gv!=r@eD%M{eg}fdFc>p)oObY8F z2`-tn6cof%v6?~7p(XDN%I|{ctq)i%*pE-cuhL<5NiCSRNbrE+NH5+3_UdcONY+dz zkqHSapbJIUjRS*|X1V}i{6h@uoM%B)Lk1V|u~o4Ch-PTUD6}UY*$QeWm4{rb!K5S2 z3F;$YYXwSoLC6=QW}O$ZR`*PQo^-nzb`=4*W`T^@gLU1bvbgoH@pd!Su%{304{q5C z+=pE^;0(K&q<5RAjxbVIo1)nY&Kv@f;cdpdPDN5$?Uth(o~26M<+Bt=iEL=aZ>G^q zgTJM)z)i#)T%h}FQX@>pSS1r;+`v$<%$g%s zvFmi0oiqco72q9Y4Y&>^xxULzG-Sj5A}c9l{%v_cPuu!&Dmm#ql;(rqU~EoHJjpVs zpQQ6jB_|dlGf@IUe|KZX{O4>1H=b#> z9N5q#dKh%M#e{qX8X9t2Gd6#{&p$yPv(0s`d{81DJ?P#{%8V z-@n`cMC2urdhEk^FU6B*lHP?g1}454t}#@1_^t%6LB~2bDHHx%0n4nbD(5z@yQ)GZ ztGgI{G?y)Q&B?3plsg}v(o%&~TitHtLOo)MJ+V4t+vfOm6e}phJAb!nIVA=rM3?g| z5aYC8Z8iQbLJvtXwI^WV7)hBx?_1{R)~E123T7HYlPv*Aju5%7%F#kOT`30Cl49H{ zDp(+dp9GrkiZf#51b0>CD4KCY|OQHj5j ztX$MWbp(#{Q1vSnd5$GEoC6IX)(v@bUeA2~W+C@O7srU8zv39H^%4}Z4m9odx~*=# zbr+wN$L3HkDicYr_^?iPQFf@<7qAZOH`RiCkGJC4?DO+{l?O?5bH8T<&({+95Tt71)(xQme3*R$C~U<)+4wsw$5T;>#Pd`122-HGRknHV)o|L ziE-aJvIV9}YSYZgIlabFyQYp(5`x(QAfllnAqB2P5pPAU327&zL!}$OkAlO+V1bZ% zZiMlYisM{$b9j~K+!IJ!GL)+>PpN~O%gUu()wE))B7b8X%PREEhzYRYg9+5>{Yafd z1dNUHt0eY=5cVlWzEzt0ck9Fba%)+Kn>uH?Uw~mef;7lgct~*RvT;I*fC!%8!1QX5 zeD0}-UlM3Rz55-G0bvx4Ga}+}?q1wu{oR&|fG|KcfMm43>I>?Yk?t&)V!pK{Byajm zLuuI_n@t~ieS#Wsaa)e&OoNm_EZUSe_};PUB&oZ*!v#$E1t*mW+@Ni0E2gzpjmxg6 zI`(1KmX(30PXp6z-73t|V6Xv=mSw5QSa)`1gap3TGF#zL_m6bXC&I!y))~tKU#yL5ZNX27N=$e{z?flX0)H@k-Q#U$Qbjc}TdI?=d8 zlZ`}yQrTjm>7BI1EY#GvHj>5G2$N;}^pIT@$51oEX|a$ll$=ZV8EdwE6Xe?~*MmP^gjP!4isuvFwK8PX0>GA*e}QO9K4yet45D~O(y#B8?1twZ8q!zzbJNLnfeE070Mo738o1n{&aIw3%9C^ z!IAjja%?2D&D73Kl><|rj9z?JzGmRI^-cJaXLuMADaJW#%9I^fgKqj6G)dqV?yt^D zo_-=C8c<`Fe+I%kLCWh9;bH~^?|DGh6JLrQb$OqXw*tt1hPej%5j6`%4SDEiaQRq1 z3>}@!R*ILYiaQfJPH91R)|+BUJ=Fak!&OL{tQzKK?4qW?m?>CT6NVrb2p#`1?G`g8;F-Kc9L(DZVjptj-e63|oJo`lNnl zm#cu~poSDL*56UDvs1nL?WFik7S$*MewD(7Y}Rqsjm=W$_uzITUfrmqLCahPy|-d2 zMPR#ffEc=G*mAt_Ieq>05x9Ddzy>@!Cn4iVT8TzJEW@EB%Rj6sbL(o=+_zBMX!1WI zwG+-mEQ1_LgX}!m6!RGuq2+8Aq3M=`-YOScsZcv*#EyH7IlNr&lp;izJ-y0kq4BPx zFZG1YQk8Av5nBP4CG;f(op$CYq6y98!+h1ccyjzNe8Fu7s@Rha>Tk8|P&Jj%AkSQR z%7PL6lRvdTw6BwgJ8@=?auCei2qtV8x9@fD#uwCRtrdM!uxA$@XAfK8&JR}HP|bL` z63!!^`z3vNZb7J{%HUiBH|(Hz!|8Gn6sAnnwAmi8J-bxvOr zTjcfQ<_2cBrX3DZ>$rz(w0)Od-^P7waQo_V8L zt>1#8-HM*;-hD?)ojtENb3E5zer$C#o58vX`#SZ<-H%&zP@lJQDr->#y(Z%WT0y@H#!_&hwuLdK`4G&jXA~C#RkGx%qot ztpt=!HYHD7aHYp}Du(|9*JMmj`KZl;0P=RBp4p^d0|ROPh~MeY*m_V23J4Z75Pm5M z>W|LSl;Cq9+qj=SEe!VV8RbfIJ@T!4xXB=qVVoC|N?drFB@xiybD@pJ=3AE4>wiAJ z%bdyPaoA;u>^^O{EB88Sv%eYARHX@#h_EY4cw8gwyiHvcGo8TW4IDduehP~lUU77P zS;s0)kx=1{*QbrB1b#x@H2$tO9>eoIY# zwf6Pf^kp&bhHweZ#;-um>R2u@0+ z!p^t|Mmod8L2CQBZT|_P07c#q3%Z(I=Wd2+OQZ}PE%`DkHPdHNqtBkUR7AKuI;da9 zIF1i|lospuip@(Gbe-y!bE9!%<0cxa(lrf@<~y00nQPps!YRs^N6YgD(|_zkexr4m z87axyJad%O7h@8X2>u=%&@QEr2>dwe`<3EEpC*1AtUvrLhwLgAYs*UK7^ACc9BJ!H zJy{j6V^}5PmER-Dt|pmjwA&DF&+X@xhM6WiGI}^puEYxdJ+qXI{>T>@2wD+^BN;}T z2vt2Ub*$7ltlDmvt577ZYR=3u#iPEw`IOHDpAjTlHbKz3S)X4zg3K|!HrlVK=II1e z-?jPrAzi;=v{@=tlRo1^{^T59Bx`;uPZp0BHCsNjtaGh^~@94L2l$9_bC+IxglU-nY5Xv`Uy+$n~v5mPh=6phzvvg4$hc zCmeQ56z`p?Y1eO51J`e9QJ&**wVxl9a(KiL2P)z(33s|zz_h2e#f)#5BVx}_+l>#o zKAtDdC41qr$En>ALc17#Hy#pn-AD(Dc;a~H7n0)9FlCb(j_iTM%B_P$Pc~b@@v437 z03X`xVG>@qUE?~D<59m;!MX>uY`&V)Db}uE!U0cVrvlzqrx+vBp`0T0HLWWO-&YsE zVw9aK^=`G;SQ4S!5Hod~9dP4SpXz?xuw%(RGWeM~w!Y?J6+&QA6z&|qT``MZPJXps zG}V^`9?6l_?&hC}(Q}tB3xP*wY+d}$LZTB(#6gM7UCM*9>U^4@Cfb#ev)sbXuwW7O z6XwmtsSoT=wa_e8`^9kLu@VXO-Ng)?g{ z5~UaQKS;XraH#(8UnweENU|>_A(Wk%`J`+Kg(BOe4cW3A#!N!WTG_JABwMm2*_jd9 zm$Az_wlVhEEVIwg_xb&KpXdGDd+zIfUgv$zy=MtviH^G84?U^78p<<;KQ$!V29>U? z>eOb5dD}qc7Xk4GvuuIiu+PY!;S_mFcc>9tr$ZGOyH!aGA3Q{(G4Cz&L%(jjrHu@M zj*GK+NIJ6YXALY1XU|zR>hTEob(Mdi;mQY}m)0&>*>#G{?Wb%T`h5Yj9LI%r9~2@I z$V$hUvXwV)t)wEj!9PaUi@u_Lp0zXe1-V{FND8=oVc zgC#R%)wb_7+(t;L}32GBM@xge^G~We3#I)ocq>Js8Xc* zqwAu*BA@OcWpQv|ely zx2Ivxw2g>ZFVT+miCRnj$8!Hzazu72*QXNacI#EfmGK&ei8JQI7J13fFAk0p+Tnio z^>6!J`rbt$ot3-b66k9v^it5ipG?01fhFafvP`RbZJ4|@>mR95={@H4hHTM;FhO3v z^h}Gs%E8-Ea21mhKw~HUlm`294Me)?HCm##H)!BtI7TW-Dd! zZn)ZQy6xIxm}7v_)4@^jK5v+GrHc|q-{b1TPH3+k6w$4)oc zrEcBf7XpSI9B}*#3Am?ueWdLUhY+vxQ!xr(552(T!gTrN&e^IwRN?COqV z9m;hJ{`?{##CuQkwSecF2qQoJkmcPP3;@_|C?mCmPf`Jocx0<35uP+!qWa&wBWO#q z>IrVWx`an>KRRB;8Knm*4D7}d`wpP0LN7z_v1UmqE&8(+GS)WINN=1Ush7yCCvJ$7 z5XM0bQ(2=q%;Oaf2siWZY-eihi2HV2B$bq2lO{#@e#ZtV1a$rv_Vk&@x^V^oal&%C z^E{A)InMfGehoKukVc+Tht^gq{3jU-UtaO1ShzXvzd$R!$t=J2{h1&tuz9dlehPoBJe*k$CJ1G9g6yfBIu-$>9`wk4oe{zb(5dQ4!mmxxV^GtW__IZ|0i=g9X&|PF zi4-#8(_4PUxbU7q=^yZ*1;i)!+wX?x>V!tHu`is^#1m;i`?QV!-<1R|P+2jOW%Q0U z$zuS+UNv@i;$*q26GB`C9LH$A5$c4S8hbD$4wwZe5)WCyyj({CZev(q{O2lX)d|3i ze73a);UMnUQ(BEECG^EQez1-GniC4*WW}iyxYP+@cW!O~Ltid-WX$~DPvzpxd!6JX7f5-^s#X{raddbth>eK*u9O2PNFp%gg~V{E3VKD%5Y zPlD;(C<_dCYU`Yt&xmv2c4Dby9gwJ$G)c_oD0DA%M^xW$*Bp0KBWU4Y>QJcrdhWn5 zID!-K`@N-8vjlp0srf&}NU0K9BR-{~bxQV9SDJNh<6K1bk6urDunk9nM!p+LabItd2x~HwGrwy191m2NKQJVA-VEP>FFixl^Co4sr0D?!cJvdpyqLh>)iJ;Kn zcSF+d>(1#>>^q#SesuyY`+u-4^W-UnkAmIa4IOFxLPC-q@%wOJAE1Q-wBfMXWFx{pvI-JrRINjbb=saik+PVlxsAU|CT(g)$o`y_bi$&=JFGWv zgd6BDmbxx8=|2$qbSLL%q(|^kA-b(JjApC7_Ag*dA>ZDMatJc)Ou3U2N`K68W67TV z+Rl)<_hQ>iyR!OST&tI7!8es^woh*%BWtXqv9uKZvH4$H7kM@b?19P5Nn_-QJ;dRF zoum}e2n#AMameKblzRa3)ZuRD*lBhV@|9ehp1Fkav z>SF;%BAUbXt-d`E-#d}vMgR4;uM~USZil}~f?&ILiLsWt#yQR4fb7&C=xLM^_jZ_` zid+!O?xER234)g7O*D7k)&WcF?)le5_*EV?ed&{jC!}dt zI=gqhpnE5d6ZC!56BJ>#Qa7y~eD}~=36nsRoP>L9IaH75e&Z+=ZIQ%@#V9GTr8}Yu z_nz(@m5w%h&0ebiJH&M-#7m8?dBV*Hs<}*_ur1(+ycA>FjmfM9nz{pgx=r(+RoNEI zvb)!HgBATdM(IjAuwnlzkh|K2LDS!j9(gZ&-M2|uaV+kaV0!XjWz&ehvv z@aL4ee(Y1e`F|{1sdM4y=3GV8`J*f2isqhriIqnjq;+`T0(SCw%1qr(FX*BLPYEEiZ{#$%t*`6ntiUR)y?l5r z_^y^xO$dz*Zq@H4B;d$3w?IbK2A%Zg^yiL#mE!y4AUS03!3n^$D@HZny9y3X_IrMq zt=4T}y`^49;qmm)tC$a#md{WzPe(};4IWxuQz=l}uyu6QqL|i z`Bf!fRM!gHJ}?|6Ejm116ZSLt5V3|KG7Lu-8f9lKpz_$GA=n*2W;0nvbA>bD| zzV*1R7mNcgafmC~o*7*z$@GV!vVgBMZ!ah2u_dmj*+S%#i`Mu-C(B>6u4~aghQqrP zycA2;gPl^@7zldqlEL3i z_u!X^z}|Hq>%v3e2aΝ@oIDI{^d;txd9M9|8E0tL|xM1irdsXvQOyJF;%fT^uLv z+e1Fd6I0ceLl+6r`4`x!Cj2FF!p6bZ0{$VO5hOF}0Hcdtf|b|X0|H3}s2Ex`d1oq{ z$*BK8*rl~7?&9JIb+3BY2e2VWl*~Q}*=&I%Tq%F7o{hR2q7g(j`jh(V?vQ!oRNEck zBaWj69eZuAvI5VR`GsI#DA5ybM{cI!z2&9LQgQRo7Xix>i=1c~1{R$APkL)u@!EaVbU8n-aff`S1yx-fLkD``=G}DqX|)@30b3XXv`BcX?1DGbBK!uZj0(U8+S*zR zy6%q5Fq7)PFimFeZa>9G5bPzX>tglB+iobXeU6vx%hyU=OH6=t(POUFd|z>|n6vvU ze@3dZY1!|a?`5Si@n=7MQKzewl7eeNvBPVCQ`@YvTluwk>5Hd|)-~}sM^yO0c7m(q za5dV=(3?T^xe5!l9H5Qd%os*I_C+PQ38;xLHI~v0xmmul7Nv2x#Fs4i`nQOT*~sY) z?}#(DHP3$myw79|?EVay^CUq4kNC>s?6bV%`6j0jze2&+-o?Up*K7C5-bUSZhx;!P zI3Jt!O0~^a{{QT@T9e-^KYmZLh{SxwLwlZX1sY+zp2#xBY@glbvj7{xzHw~{{BLt) zs-vbp0Piu}mibeO#N`BzU;Hv&{LRU2fMW|KD>`!9v_G`n@%3~Bb%LhXv_^mT*P_Dq zeU_4YR&Go+*WFEAeT75-P#RBMG8@UN!f^ejoJcwJAQ)(1ibr%oFWZ7d69}%anScVM zf+2RHz_V`Zsc66-poN|>ta_<8wPbzpEEYSWZkgmd`=&mm&f4~sgAFO@nhO<1OlNOO zr?BMGFq_ZJf2-E1Gz{$c#po8d?n8|W(OtBe(La1Va0|qR+J-GB-p7_X>BBJQ!UY78 z#3i$J7`RXOu*l8*WbmKWDCjAI={rhxvmfpm=VS<=zJuh?QcLzT7I8R*X74OvKZ5?P{ zR4VMNEex}mDIOsBHpunL*R``$G+O#uW8`gXr9t|MwPuL}y1I>T(Ha|Lx97vCuRYOU z7RPVV5m2Gib_v-k)E2@v`&nt)iG7#7R(+BLn`v<$Ph$^Yir`*N-a(wjvPnfoGtMIm z`Yf1PZGXTDj0rDLvw!~fz%j|JB96a1?>_%B`a(yCmmwt}e=Y{!uVdOB@OdT#c|T`U zL0$X{sHn(*1%4$^!zZn9b}E6qBo!KzT|Ir0^A51{1v zVE+oao?v*oqZ%HkgA-Q=rco;dj*PdH+09KnX!^16#um64+r!pJQUjC`40L9oAuH|} zH$K!l2^xNP*r6lDF9zkh6WX`_5BJ4RzIg{P1?uKQ)a%KU$g8V z>rFS^W9PUi0Pfy+?Evf2=Yw`q0wvEm_^x2xbW~fiV|NJ5T5hsofEMdnV3CTsd|C+uVN;D1C2@A79#ivwyzZTqQLn^^?>qYJslv zY-^*M&X4_KoWj@aT>Gyr@1{bk9ZM1$q4Q~oov|0lLc7X+PgyFcACDag|LJN?$wjP( zfN5z%(ny21Rr?pFizah2y2psWvq)HN`Pz?7#cJ{gXTKM^804LnI+d+0+p-XF)XAc^ zp>$`#%5?0IDJOP#{9^ycY!Q`4Dvm^t2T>LZ28;oIdxUi!(%&Yr5(xwx^I|RcS|-lk zC$9vPn^Fz09n^&tt4={+qeNbm*Wm`$kbDA7EzBg(^8pyX3ne(zpWZXtf0nQ_y~ ze?6+QWw14 zY56^=PWJIJ2k{i=Zg7Q;8cYZdb`qZqqXveb&NshB(Z=EAU_;38yj`sK5J#+)Cca{p z9(z|Nv8GmX=kP`0Xm^~4!HLt2TVcCcfwKqtT8{Qr((-(>TbYS#Y?h~iT6u8O^S$H} z(_USwM0pJ>YDxS+ErFT#f!~oOlJtYqN16YTMX&!D-pNsy3R*Wc*K1vfdE0uRmf28o z1Gl%m;jw_68+-Dj)tNE9T-y;^fX4v`DKa)2MDAAUgZcQot>`vE z&sg(Gc1y0dd@yqE)%E`cJ^O@I(l59IEoXmnnRWs%4DB{ZRkNSz;(Zsc0VvO=v2W%aF8EW4I>iDkFB0PdW-Pw9`&XvDu_uQd4 z{$-Xc>Whvh`lmCRofK4@YsYk}H49Tg4SK@={*q^4oKqI{Zjk9};fTo=1+UfdJwr*G z{WvxB2wVK(Xh#HXFp|IB;gyu*-Mb{*dYqk+zAS%>;v8*WkI4jMTw9?;Y?BMrP>>|N zRedirLVxVXafkZJ1KRgdg-HQ()Cq<~MdUtBjBW(;W?t`}VnN~8525L^-IL6z4lml# zQqnqfXG*I{V&?~T9Ji>*f#%N#+A zvaJ8YY%5plrf{*vmoU8NP`~UkDeIQ3>%>0{sA+>*U&+9#p4)sC6KZ_afd?@bCVJY7 zQsE1{yIS3nFMZxY1?+k8un@GRFRgIfW6buIzCK^r%~ugr(iKTC+vPx~yhTm>Nt*Fk z{U{NY?1wzkR8S?Bh}EN6>SZZRmf6LY6vS_twa;{q$bhE!FmomHs@1f*esa0u6WGej z%e7Jtzh3*}U)@$=xLbIabp$xIvzvx8oq*>gK&tWw;Y)oA0op#s+&oikjUwy8DHD*( z%h_0J4jA@_mc`Kd=uQExtghtzb()7|ik~PPnPXd{yBUlhel4%s`&;a~tp`+P@6D+K zK@jke&;TPFX`R$FCI#=0+h?E|`?99dJDI0W^UFiH<;8pt`##+kw)8u}rsEkXOoNd56_D=Gf z<2Be?=}i`PCGo!*<*Va_hLg-w*nRq9IQt+t255;4hY-nKS0Y<*UbNWqt;ayErezKH z;ZtU&DWGfBSYn`Jo%7K?BE2pj`5@=+`pT0|Upd(aTM^jR* zL8(jL8e$O;e))~~A+thv(rN>aXtoWPwAX~vP8Dl9qVhiM? zzFTiz42FDQ2pBwh{L=K=<$#V?uXH1Vaz%1O9`(d7vXQx4mY8#a{|KF%O|URib9j^wVw1>5qq4G-7>))9y_P_RyhCMTOct$LZ;nlm{SG%)7Y z#UI?*n?*8%p6+p+)rjRTk3TX7H)rbmc(jgd*&oqr@>0=gw{->AHhSt%D2MR(vg8i# z(W?w6tC;0a3C{ovuaIqZu%xi3MKV4WT>VMxz!38^ge;^Gr_bjRhSvEkq-hiMT(V>- z()pC*>o+HknVWVyWjN42u^x0fa=Wz=)D%kU6N*=Ml+SN#>9|JF9D$F%IKa{Vtg$rP zWq9#zS}DHiHG~ngtamaH(`Qisb>odwg|!a|hBBY+92>#HlZ*M8<3w<*jl^m>il5Ld zvs%`&AV)hk+9aEy^7wtg1}Zxvv%C0?jX{YE9_Rs#xge|S>7fs0H9GA40Jvd57j8NN z)IwIW&3vnnE{*<=XGadXC4oN5RM3I^wMbAU{!+&-MfC#0e-a(lk`$1Acv1_)0DKsz zuZ45_U()lt@y}h8x9)3XWQD(XGP@IbKszg_fgI-aJ0|*K3m?S%s`7oq5Y2#U2DeDZ zY$-Xrb~Joy;D1Uh9Vv->aW5(?azHL>7G!|WTp4sqXL-NOJd^7Q;j(%{Yl<75XuV54zYpM65 zWfEc-X_(A$_HE6h4m$X&ugKS98Q(``KiS zpyz1oTB(PT+~<7FyO-o|=WD()O3VLz{&T#bY^mM5sx`aOuirE?pL@W^zMg}#>48Ht zhueV$=vI58B$Pe01*bob*r}azjo1Mr$Ob81anq|SU{iY9Wz2p;1M~OOlk(z+KxXF* ztd42n46u_k^uI}rP7+^ItJ1Z;v(<*a(c_;tRixlsqMOBN*{4iTMpZIn^iI7bq>MTl zcI~W|3cU579wuFQL-7Yg-3!fh;SHK@1`6y%{_I2M!TrkZ+;<(^1y^T7pD$9%^cwcV7s7V;8gZ-!W1q4iGf zUG5geAqKm$uA$}gT1f9JzdIAj9ry3DSI|P2pavL^mL$6EBlm@pX0-p`oeu{$^Ir^& z{@nYVgrAawLR|hdWE06f!Q_MJ={|9gf+@=%_dVbElk_s{!?u4$+2Hvga6-+5-<(yS7tW6eK1)qR+kPV_Q3C%x(GDr=CEG%JJ^uUB}b;Ln_MiHyc{x`F#at`z4W#xofozvG{ zTL1PN8)ic&h28SpUbn$r-P9*Ak>7~dg(0LPPdMv~a3^$3?CdfiyuTqB0Z=JdM zzV6D_SjOCwToE8(D6UqPzJe8Y-%3PRx-PH0E(8M5oJBk|`zQY`puS6YGDQcYi3jJl zGc|HK#`tz3qYI_#)e;U1zC+3P&b;qo4N~sJRzvS;gJ(WeROK4F8G0k?k@2%aRM|#$ zFuj>V?-QcWu z`-3Ux&6-lN0XQ>%>UT^hW-NJc%cWyIo>3FeBL}@KttLXN`L{yzEWCZbv;(C(8~)Te z^eVoqD9l+C(ZxJBEh-)Ncz+fkr^hPsmKK<@?&%ZOInTUfu8l;*uO(2(vG>bZ-PGI~ z>^pp!F4IlVsN!?Vl)YWb^a04NH?Qt0h7vAAvi7J>#TmX@;95XDf#jkL&YiB+d+b&S zbVBA#Bv3VmQu>6^(f28tXAy->EpFNH)x!^Z)W}?qH~Ej4p%_mQlgt4!DktT+{U^J@ zmcY6%VmIY^YpFNV;E|6_08ewsw}*fXwjnS^WnzNKhBJpq=h)S3X&^;Kj(zYICqUe< z&}GAt{n(}cGUfSgJ7Bno8UV2dN{LUJdiIB;+I@~4ND8kkJhaZ}=6RKOC}s3eLcrKK z#J3bCC;3gzkWI+bfmkzE5X0hZ%91pYF{ak;`f-|{*zlI#Unf;xGhI_b-ft}sn8@?< zuB&W2H@$vP;-;^=1aeNQ2POeS-w(+zpZON}#v7Z26W0tB<7 zJ=)L*b3oRdL66QyYh+woVAcR$HZ>|Bg`Rrghrsu*!9IuC+qtHk5lz<-2d2HQp5D8| z;DOdS=kmGzq0&Z?5C%l;mAyH53ciA1Cv_!-MIy|a{| zD3B{2b1uzWJ7O8V@{RSyASD=^bU1bu)4;llDa4%5Fr4lao{~1iByQaTo-I^Z02Dy- zTacZ-(=mngf)cC~rAb;&1t-t};nbEHtyBh|+WGIUz zUHyD_mcHaWFI@c0b&+noziaJmojwjx_6sn)(%9gO6~LC=4~pG+Tus!B*$#ZJig}Gx z%6Ns$D*tUverq&h-1^1PoT+ME0=y@5xATRt&}5y3(1rqt-U4&x5AmG~vh+C_>0)d% zrZb>}GSP~ny$gr=(eE(`jhw_~6OFFA7W)^54O-sc~s zAa>qiG_c+y#>eKm$b$62_ZdMeXwB%W9P#8S)s+>!>f6rf20rv2#(**+9u`-4C zTaQ!YyTxPJi>?olMEw5KJiqYegOfOD6l|HE>5+GC_hc^110J0F9Lb)dIPx=$@|U+d zqKrLs|IpFIrWA%ynd)X9t&f28t%Hh9JadNqwLZAHsnbFKm){cb0qu3Gf-ipS(V#JH z#a{pj_j>|+X()QwNKq)rJ8~~VOsIWL>wR4*dv|_)`xgEoQ+c_YE*b6(lZ5$Q&w)+~ z*GVPQ75>NbzvwH{^}x^UP_j$&S&Q8O^h;|Q;oos#jqTPheqmIdW(TM zhR1=o>332l?B|MKo2t3CNXj9qQE`vDgTFh=;;ION=?3`tz4A5zu=hs&fvDr+)1Qc| zMSa&{yn?_E{p*W-xyA%6D_gC{9}D}jjcsN^KOns810Fk^eXvWzD`#G#cMnzA ztJz29dBW^22haO10nC^KwVXIA3eWalG1rEET5OFUB&&!S)Gy?so)HXZmgB@+&KUI$ zV%hq@9N04B8<;utSa2DH4t3g?W?Zw?W8zcdlsYHNLpKsC*~suTA9gc#aB|@nkxhjC zyHF38{#B8wp2F{>hEetb+}%xxn}wXmh?wGzJpi3gkCb|_y~ccMfvtZ8uBpYTVEr1I z)0;XquwR*nKWvr)U5gS_S36Meh3`-A6Bv^P#agY;${3o zrY)VK<)ZBIKB9x2c>P>?J7xKWr^CqHyWUdi0J%IXD$)t2(6`?E66Op?9iM zm!JJBT#=36NeH`MXrD>}j$)&azaSsV7s|7wVsD0Sv`2Wb9w#G#Up-!h5TqP+^JA^n>!GWR{`Isz?cr#N9e)cLFqS`(qkPF zTEDvUBH|9T2Wx;V0Ox_i>TNptQ$4AvF6Ua66G(W{4`$DATnmDo!$L8O%&+!RY#|68UN82DbMTU}%~IHRFhOF)tJO|!LdHX3Q!Vg}vJyTru#1Z* zA_hWbI>=4fa!)*gyry#(oe2jH^`e2J!Y(5$9abb|1czE~`gXX=JSc?BBmaAmP$@D- zW4Ug;$BSg2LfB6%yj?ztKc7^k8VgSz{h0fUss_lC&yhe{Jy!Vn-v z12Zaz!_@%P*B^*GVq-nD-sm7sKIXLQW+%L)drKLCsrZR*?P3a5`mG@CIR9riS3*p} zk1RF>#(RD>Au2uz2)e2NUJx@az3dN0q$K0SYuO)dvVx4MO;nxLxKd$pyqW;;p6Fc* z{uQzVahYmDTRAXA&}Z+Z>~q6>&5G8r`&D5mkMtr~EYq&;FOzX^7&VS-PX)NM^(&NB zKSSoMCS@O~Du~KGS|JSXYxw@d1+GBb;E9+T$zH!Tu6~`~Pz1(*Uux!d*{%D(h_ob9 zQi?eBH`kcZq4-J{djeB(9nslOe?4rqjLa;#oy_Xq`kxE+4?W7}gViw>MM_bnHtF)aUE-aSO>@fMGr9zAQlhLEmIJ+16}^_^apcuPyCc9w4RxszCO$J&bM9{SK&qdk_RzLKB0HuZ$WKa7zWLivoGO zW(cv)lq;@nODSblso=-^&zHGg(~*Z8`h|Jc4ADX%wrfz!v3ZH|grUQc8&PNV;BgZuMu?pAr0&I>1cIeaSFF>el=vW_AWuWm zsVEV`&Z`+xbxiQWxBA2?Y>4OQf9VbU)fJnW8$mvMmsgmtxxFyShugRFeN>WQN|6N@ zXi_W3Yr3Glo)zn>vF_v|0S@v9R4(NxYvSJ4o^#5;#;Kmf+r>V|)%oQD?*r-@81P$_ zcaJwM+$p}MKJ;?RH!pd4E$(5D@GUDwVIq0jucv(NSrxT6ZSf<~@_DXnX39@Ke{#)V ztt*v+&uoi>%KlMAYIHH$hzu6u(`--X+vlUz$C*IEl3txGD^HPgFuq7-ks0byU+~7F zDud8q-%iEWFmso)%1O;G4&QOSS)^ct{IRk<0A@p(2atH5W7Oi&vw`-|(TyqU)wtrv z6DzC>-NPcv?ruRAUDMdU43+ts@lNS+(Fw`b0!X?ERsH9 zt2j+12XO5_6R@Y=;}qg8@WbksY~O+wkGj&vjHxhvIAmnmZ#BI%`M?BpX{Ki-4QEGs z9sKnm%X@aZeKaRARm#vw0N_qbxV-0;geM7I32u0Oi)L{Hh!aDDfG#=#ka=I-oNEvG3?GMJr1*)L(69<)5_+~q=Y=!+|zV=c57eVr0--M9wX z|L^LdEbnYDH$E%f3itL>qa^Cn$Ph{?kd#p#_Jcd4^p<13uYz*c<2kXa9RD(yVMpXO zfw&rx0>wh@u$^7xrj2YHuN9PNg(trQN+C(k@wu;Wd}nyoY3=$`u#mA9v-TFz=85Q5uz&nWl{Frt@k25kVMK)kH(C%l9}!dv^% z4Xb;;6Fp=XQfg#y57~~!Vs4XNkL5&hT_TIcJr@y>vTRV$ojRNQ~*B^-PD5KvY?Y3tr~>EBs>jlv8tAsCv^=qLDH;57pI7XE(rzWJi#j@L=I z-sjC~-=H*{P*qU$M^WcD_khoL75^)~q+W3L<3`fJ&Z(Yz^2lp15Vy0`uKsuMaxZVG z*KWPSM&)Q7I5>1yJbzbCy`B^~FtI!wWO{sf`y|S1Flq^N5o3I%s`%4f@dI&4m2zUEWE`SlqUFf#Yu|KYQ@ z0GWI>>(315q38Wly@z1{xK))=-P+wQ-JfFLe>X1PeJxGUc&$dTcpXac`YA_|bPyef z6dE{B2jtuS8&0Y#rQQW|+ouxU<`_j*xH%UF`D0^}p7GYhBD_`Di6!`=F2R`)@>3*? zD@-v==pR>$Xv;|nPe^A!U$Rg%4aiI5zHssZ(lpsvGtL?UAqw^ z8b;c9F+O#AbL;GD-G3qyoK0TGmhxk&IJu&u|CI4s-$s8}pEi_^c+s7)a7Yj=>r(`B zYw5HQMA6wj7GH8jl-uo{nqOe-HjS>7AywU^N~n#o8GSGBPEXq@BR`E`wah3LFLrHk0bi~6m5u04xDv7@|BH)#QD zu#^b^{j{b)(Ii}S>Ddy%auolhV8$8cnR*mB_ z!lXEvYU>A!m!5DDWYy+A5L#oZ?~N&@y&o>-+0)U}|WRqpMlSpEx?Af|g)1_l6y@0%!;P=nP*Rn2;&vxgO^E}E$D z7tDpV2Z(y*1ScS4N8Eo_SV!j5)JGmL^Pfy*$y`p(mT1=S$|M(*MHW&Y6a<%6nvUT# z)qWTX2bud`Bu%evNn3SVAI3R8HprkJf%`X5^bW3Tffa6YysrRn7@X`o^r{0#iq5NAT6ZWV6rw9NjGY58f+ zzpWnzpo>n6tVMAtIYDR3MUsr74Btl~hCRrNu7q>5{*gL*4>*+SLzMsMoG zoi*_6*u!UuL7u?LmgSw)$(qdOHtrNz#tW<&^zdQsgU2oK`H4#{^|1;P-nHf(07YHrLJ|qQpU#`Dy+`Ib1?e5nPJLcoVX!>Q~HSYt$Hn5 zz`;*)fJ3)xH&i)PJ`5w?%Drc8k{QeDT$jwBy4WhY=U8B2#P$rjv2JOy)sKpIfQ$E{ z8b-GAEkv1Idj}?L&eqfs;O$Hen&~>p1jbbnoX|(p+S@gO9dFGXK^S@QWe%KOS2FQ( z`5OFzB7JL0ofhdan6!-IXhn=zd_B|&zp;1A1jRYuvu^)2=OR=2H_R{wDK-Z=`J&9# zuORT4n2VFkT_p7J9WURbPgP)%R<)p|M1Px@tg`_C@L*x25(*U&I7h1wrf7d>Uv+$&vcXk9!)b zC0EcRFZ3c@Br9PLb;N+q3u3oBZNrK4O*ZsnBg=}(-6#L(We<(YM7v+STc&ZPwO&ay zJ$0r1iGjVuG$;O)qU+Y3!T!+|)wwJ|Q0D5ysTi>o7vxZApDEa)#5*(c6)HZ{wL(ZM z#RDz?3MOi}4Zc`bZVgI6-|%tO%ewFuJ*DW^8EKiH=g0apfNbF8I_%OL0uB;#HevR+ zyF-IZUgroIQA=K$_@H~`{egn<-Jf;+5^WmPd1Vy0;&7K&U7STI-zKyrti$Zfu0w{# z@-1J1pbS*>LN@pZ-}As(lmlPpf?6mz=%GS8F}Kyr&CYUFW0bqhx=GV$L*PeB(%xRM z3`6-);=)z>b(uL!Q>im|hl-}cWM*nhxIQX&|3^4#k+saZN&tYkhb9z$RbCYWB*dm? zE^H|BsNTVmMb}F2Ar%t=3I*=S$hwj#g1=g{QjwCtB=~Bbv+>%Vs~1+jS>CJLSi}xcMjD7!0(Kf@S9+Rc77DBWv}U zJ-9nTbfVK;Otgv%yO?kPLHdKpJ(cyEdzcr)QzNGj7H>6gH-(G32TsUEJX}av$2szA zUP)>fvmURC`xGMQ8AqP0yn$t={n}lb7%8E&D0q%vNyBTd-ju6?1S}zppHWsg;J}|p z-RUokQn>+=maWbvc|8B&EXyC(^2yVUT&85V4l*UHn^g`7nb}b)H6x){w0*x0X>yiY zJ(QYW+nkuFNwAnq50VGFKcQ$*p1ibD3SN%a4f*+V4{^+pD{DpQYS!%v$w;$V(f{an zQXP-K?3arjWI2^)lJosI&>Z+<5m76Dq!wKkrx)j+=)4vTyP(Spf$wiEm8;Y&asSm( zVCnrVS`t`vo6u1!+YXN+{~a1%IoCJW;iVjJt5>pgINvnPB|2L>WEN;>Mp-p)$vGBs zjJYKcwzHJJ-ABLlbC#8mGzon#( zm@eVm5!reLD00RdlsNEwW=^=#4ea7{e@3N|>l)5G^VCE}VejR#gR97#`h>?0%#O@Z zNcYW?pq+#PYZnUilleCN59w>AoC{HL|HkyTSLnU-^gvNOEE(H(Wk zy7*{v>XJarRK(I&nDyUI%gejUYQDNlyslw_ZX2N_9U)hXFyWPQa%11BhrMcC+zoAm zcjZI&KkmoX`;(~~d&hW?$-nqHxuR}YB;M6|LwL=t6Zi6Tk8+MT2HmH3zA0)XI-mbK zO>8|nQR?*k@Os%-aq)TnrVk^b7I35i?eEXZH2@lSH6tz}H`?;$G4-UxUH-`TBa!+4 zN7Q%6v-!PYx3*esQJZRwmZJ7flolpW)vj54MQm-Ys-kLyT2-|-5vyuejM^l& z#7Ynnk;LowzJI*`K7X9gbDndb^PKy-?(4calu1|6&iY&TBe(1I|H>>TwDeviC!umh zIif*QzGpA=r$QRNaw#a8kK_U#ej(o;#LJDZzt+TSi!lJYr5BjniO*~Uu5URJ@oW=K zv1ZLr%%_6@-B5kGC?pEqcd5r zR9z7VyujGrTb%J)QH_|ByD#-bG|b?c=Z;y?Ok_-@$3ktUdZc_swX`&#V*)KPw!iHH zYr;`uPoH|hfNg=6=8GwTwI|-&cRXz8)+74uQeAj^6$dAeqYuhx;8KI?eUhw(%6EEq zo^Yi0=ifcGa8vHgEM<=CU7FmziIMHc79M1wW zgy;wxlPd-?7&7`rh6L_C3ho&1D^%r|X~!2{9rSqf`HnLlIwqcM%hHiI?p_e2Wa&n3 zmulNo>-b$8%epmNI=ej(d&t~zydn)PLPxd9g0TuG)g&A;_!D|q7HcdQ`<>h;TS zpBhCXc z&r&D6R4HylJfI!-_Ru=cPWVU9Sp~G_Mjvus@W+(xb&_Nb)oq|s>()JlDd$mEfW~xS zStn@OkMT9<*g>39IX-_Wct!+@`Ol86oOrH}3xEf=ZkgGo7A1;qxr)r`77tB)60E-G zH2U|mnbwvkPiXg0T`t3+Jon_+GgsEd_cq=yK5^>gxy!#~KwPuDT2}i|>)e?yP1W}M z8^!qybphc=dDTVrnJY`X+S2!v4#h15?w)YqKK8s2P^X6^_aUzkac&Cbzn56!CR)1> zt?Rfw0N1SIzu8s2kpXnM9(!hZUV>S2&B_h$F5V}ryQjQrcnt2Jk+V^+j}?AO>L=>d zq(k!6Euc*OhfWpE*~vnSLLN^o|C%12vaMH;m2bEiPqYnTa_Q1v zzlM@X!o{BN_bt%2$+9JWb#m#|)5tJiH5sH!th7MKb&VM`sGx$cQl%pw2SWRX#@=&( z;ehFNf0uH2rw@RWzftwkeVE$lXkrM&`Oe0JbWRM9Y$RFXysgi1RIzU}Zzmd=?FM=8 z^Ftc-P4=Ep#^*X&Vo&%JXT6i(WCpsyxn|zZ0(`Vjv;U;HlCiFV6@a*99hE;Z)eE~Jue#RuFWE6zJz%8@ z+m`m{PqthEAyU|b%KLura~Aexzf|+KFRxak_Tpzt6Jd=PvCU7P@?V&R*9$x{i5!1Pdiqcj)j zykNf`#)%KVlqmWnE0^|PLLOjcRH97fJFXT@%2PP*lQXH}*xT$9hHU}z0}~^dJ3X_w0}3 z`H{;c_$cfew%n`1ttc-E=eNBWCvx)_eMgU*)g+I7$Ns9&Pvpnxj}sXN&NMa;MtR|QBm8MdZnl@n)6ybmR>CZvjU?^ejbHkrnj`2$B#_9=vmlJES z3eL$}lps2I{JK^>Y(Fyo%YDOHkw=B+d$ zt9jW6qyDKywfsz@K|OB6U_%V}osq3M40*ln^8pBEEk; zor&zWI5Uh?BM*eP3FpIq1rE2~U1u-@x#HkAAr?=+$c=tGT zvvfIt9N3g4??L~V%;az0uepm#d|lcVPZCk@g zzk{O3%)#hV*IyDLZ~4xDa&~gvW4Y+|lfE?wY1~-xmwZlrd}uv;`c>-jUef+*!6vXz z4uqx%Lx(qu;cU3Gh0_lcc|+z)?iyIpwH*}S)yCBCh8ERZ12qf^Vd>_lld=CPwkq37 zTW4(*m$=b(7VcQ_w#%2E)RXsD=Dt+9n6DAn0;_xH^c?h5*Cj-Y-i6&zP`pq5!e+mq zb*5U|iRsVLZIQnAwpX2ZvOm$!?Mh4)^tA5w zm<-CDOo~xZD$yn$5S~XF@Ph&rb8uIOA$Qz9Tp3l#1K|Z%0!-V2Fd@3&?QbO@<7Ozx z+aGh{o=_>Rv;o=ZRMQGo-|@sSd89!;g9};ffVX$-G=)ElRcD6Q?+GYY{b$VQ6|(fPO_124Pj`gC(TwK=g@#hv0Ivex;qo#!`s z0)qo@Jq?(jf2Lpxo3@{<1pcz`-6CCkO!Ms)y{|5$YWvZuD83JlH_J*LTA9$ych}6i ztyvj)vgMfi#|3E5vRZEIZ(L@ymReL_n2rI7Xd(ZINwy1M`nw@>0%q2^hd-n3zKO5? zhA>eVe`|bvy?t~3gAr83R@X7&z{`42qCRiRv?;iMX1<=InT3a8qgtA(`)#=CescYz zQ~6z&#&^7FJK0Inb?-?j%87rTfvcyJcY*%p;PSAasJGUBp`hn+&$=y8Ci1)_6Y&EEFctv!hqn@Me&yOkC6Dl_3|51!QPt(bs}%4a~oo@d+qYR0T8 zmW!F-ZM?ixeYj{xlDxgZH%HYDrVd5Sck*{zxxy%E;Jg8iW$|Coe~b|tafppjH~!n_ zALB0g)p=KL3-AeYt=BhKSvt?wm^u++7=#S^)&;m8e#L_Ya5&kZ3S4^j zbO@NH;D0=1l?^jXYyK0KSHA1!&N1}?pc>(0@=}Dw3-= zPZ#79u6&GO3HUs-bPdV6mK^K+AxokH_RbOLcyb%@Mxt7&elYCH1~xubL~P%t43v*dzI9<^G5G;mpb9r>d3;Qtr2<5j6gbG3*BcA{8fIfyV|WTjO;r)SB>gaiP(W$AMIIg90iT-0=mU*h(2;&=%LpNHNyCbj<(oG3dBBM00LpQ^>% zJcL)J99xHfKYds?no?5yJbjY?d64%6$~yw~Uddr>N<=&6@dA%kh6y}fE>}2i=34%r ze)=gv*`>QdH^26}YAHHJ#^N;&NX(unlHyS@;LI@<|oV(n=K8RL-}_zO!|I zml~_FkQJKk@$N~G204N_fq9j)q+??&-@b2uQz((+^Uj@&C7ZJqShE@nkB0U{9t>ho zsv7bE!g=}w^x+lsm9`gR`Mvw0zi~7*SN>dR^`v)H+_`yL_L!*?)FMk%F-P?zL^fw5 zAE5VKz@68@&{f7xdWzJcEL1PeJ90^}Hu)B#yG=$Tn-+?i^#S!TYNw|YQK2|j;*vD6 z6^@grGw5#5xl;MWD#H#LWhN3Xu*efmznF2^BE;j3uyqdFn^ZM$W-cv7y^>e*`OjPt z?BNcqo%^)Cp`?5PHCE3_e4&fdYYk7m=2_f^;WL_VDyC$eHe7GmEIr8YEamIC4bOS& zuPjBhnsZ(edVk~wOfC}9&aU;I{8;pp-q`7N(*8iQN62SGu-(r|g4wgR zb8W{1Vb2F4wPaTK&3hW%>8;G(O2*M zP3|8%yHpTxpm5J)TW_r>TV^zXxP?2n&0rfUPXmWs zyl=glGp{RT-1E?K53ZphGHrN%H0FCo33|(Zh6&%bKg)KuG{JN@WPr9Bjq}Roi`~aF zsUSdg8mjw4t-BgbI*FjE@vl_kQ)w6=L_W5(>Nb?RUi;-x#3cLQrqR33fl4yBFuh&F zwyoPbXIxxc#!S$!pj;U8^qGrL^f|}D`o}f*J!zM^2w%52xz|`~elB3=b}Qp`-)d2_ zh4e`mxR|Q4C_%-yHQ)MCdb_4*zY^D%)S|wwe4dJg4Y#3hhXF+#@qyv0!b(v=O2=nf z$C|ayQd1jx(^b5sb;O8+;g&|U2x_f;jQTVr!^w`=u+dmK{0a&KbZ3o%7A8_PpWDe< zP*R!$eWO?Q;z!w4r^VlCX-MR-?-hw*{d3*yvn0xm)_w#-3)cXXg*cl(JYblCD#5j^ zqQ*QfVEUq|nw?EsB?c8Qaujy`-;(vvkwD&0!4QB>e%^BR&+D5uS?aIz$3s(ng=q&5 zi~;VvdOUhFu}BuG_B6xj1GBKAEuHEI)gE#&`;3)Zf5+{9np}{%<;VC$qo?qeExF@4 z_s5XH%oeK;n(9W2T&werA99{9>LmIBxTQLye*e86mfj>7Ln(9lkYl)5KP~(u*vTjK z*rfNrqr$av;ZFN-O@Dcp0*hUXXl+fWyZz0-J%`>PG*$zLKYNM4L%BR|rrl_{7&zp& z&^PgYzSaGaGIHLXa|gbm>X?MvchCJN0v~QZ0O?0)BnK)-tN79HZ9HBL6#rEpf2$$y zdXnZa-`d+qL{w$B=@*Nm#s9AF{4zK?U~G{4OB?k1w$5?4SO6G+lRCkNMxP4iGKc=Jbf?C12F$p|L%%};I=}DI zKkXQkLCk;C$!Q(QFofPd{{-uG#a?C3RzBF6PXu^6zrGh+`}byM|8@!)^e-D3@XDrb z;h1B#{JAjdSoI)vq(>w#4(l()VTZ^(%u5!R5t%#WrK)j^NSiaz5K3$-dg@KCs|o35 zb^gh$U6dVdmbbE)J8}LZml20O{7)Djv5|MzuAeacGw76b)9%B?g3hT;+l29hHStLO z)VQj*ctf;ck$2Rz3+_uGm%nn6cYKvSJ`_Dq#JzD;ncxuDw@u^A+Njv-9;eyoo zw+;n|)!`eNi!FzCWo_IyF@&Bz4W7-`kr+W$>`-B~Azng{5QDwhs5t z_eOS-++_bovC5WwFi^t&r(v9QaCj@(oUyLMNXB{jbMizp5K)v6x>CUe>9yT?MrR#S zmHhLsoG8_BsN46p9Mygo#fJ>US?8Qbjs)66LIutyKQwHaN#kVILkUqq(y+wY;r(7g zoP5|xz-}R6>ltHO_}&(;64nCU(U4)%z-_}>&@Le4>k1^=V2fvd6N8@JqdH8Vl({XV zo|pxBP~*u4Dg7xiHF_K`T3xB-%SK`l#iAguk%EdY8Z@f5so^DxUW`3* zOMFs+ijs1}TcY=pscdaY;}wNL@}w~IM|}ueN5c5Tk=bN~$^1~Mi?}~`QPzW*`y@TI zhJGi@|K+$i?uTCU*DE3vB$>Ui?GPyT-JZ6FFvG@$L1dR@J0lz5LmQxer}no9X=-Od zYD@&(?rRuhVPixq=dK%!Va)qMS=auh# zPjvyxzlqe!bH*O~%G%x=Y_hp2V_c-75ymp9bn~hAL=x>nj8shf67PDC)knfW{-Pl& zvlNwic%1!0wq8Tp7!agTic0X7l2?i7n{8nv(zo!S0o4s3YXH3uhaWe`M_fHEjpfl1 zVHjmR7C!TPZR8_7EBJ+s980}febn=KOalZH&=P>L{Ety?kNFI-diQE(lUf-CSg@!gzk^{!i0U1k1k!)CkHVWIRKE!vO=j6GivFTE z5d)sePX=&;N&k`XT~fIRqB$sKuDM$yo~mz9bT?$$Kkqw&2>e8ppP_lk4F@`Mek^#w zt8kQ|XJ0Mb%*X~hENd_&NtJc}xc>Q=nfQ>LX8g11 z3zJ4})sHO?&}}&l8odF5GmgmxJhC<|Oe_4h=7zbQw8R2(E#CM*0_mh|F!H=m={ zss4|!fPc8v{;DZ-mY6>tP>51(WA}eIO;hJhyAyRwzpOA1wkk%5+A6b*1s?V&Gga5( z*vCj(za~66DiNa}k?f%|aa=avYTqW0YwBp8YN>;Q?oLz^dc>K`lpv5{HEj4fTf?RZ zhR9C}o9vV(b;^gTx=Lc#0}0#vMiZ@wIVoGeu|@0oTWh(amg}f?*Hjm)u$ShP^=-~O zB5R8}J2NwDXJLiyk&0b~%L+pU5f(2`qZ)Ol&Rp?i57uk^WE@0*vE;~Y7Z<<>6B`FX({UeghxU(ERm zImK{pbfV`~$B}RMSErP=VW{lX$o*;)wEN66G*J0Nm`w9(31}n3uF)XV+y76nXJBd z|J66wZMGf_M$?eH&L4}$mg`Y~VtoJ8LBHx69yWDh-kw#`9OI1w^kZWgZ`Rdo4orZUhe z@@(`iOE0+Mh;uv&C01mGy`pXjSW2~W3m~ilVi;!m@>i*12V3|;#{0&eC3MYtn5!Kr z3yqY-WHZh$yY`DptvV)7>9F|}qYBi7?;i19VwN$ZSO3y7#F z4_3an?O4tREtDE|k|ZM_WE)1B*sYvE@|!H$8t@krHE1Aty)DNDGWTOI^px>EW*#} zRY3Yp^@Ap{`)~afsxKN^D6;K`uX;b&*Tp&4qz-CY3FbcrOfBFRhgZWy!xALJ?ytO%L$fWyUo#k~98s(yyeh@=jtuColwP0J*r68XejY|069M zl9mN`$IcL*uBm2)ThXCfh~NZl*wI*t#i*FyemAV0YWW`A%~(?w5l_x=w)EUAVa!hL zAZp?kLWp}RK8!e>)sKE0qQ9Cnu-3bq9;A?(R(sB83Kxy7`S}tc*JVx2)p)b3MhsLH z%T#{eNGYVMk@(?A9%_3$zW_7=a5Y~VwG~S^nAlIU)3AS?`Y0U48b|hE-U>H<)~UQA z(dornC0hy2TEnPL2<9=jCvD~NP8P~*+&u!ggZdDccqUVV9y&wz6S7_LOAgxQimEer z_b5uTci(y53oNaX&G zp=x9Y^l%*DBN(v{vU)}=Gn)m)xL^e`R+98?TPi$N%h}!R3wrWf^){78F2ej4T_}?2~tP- zD=P&|p($T;bdyS4D!ad@0@w5X$5Z}4Q`mngO~ zI%qs=W?XJ6i$;L$(GD_?s^Tno_Ha9tH30cj_G~vcDLv0X0BvM_dwcTWM%{f>di3H% zy5ckZ%ai$}^to>>OadIpKOtIAg!?^;wDuna&3G0soQ9}{yw5ij@rOYq-*W68R`m1M z~OOP~GYGL~}S_vT`n zd<8;2k|My;XQfhbb9hF;jcL%+YaZGRI@br8VV>Oqm?0#_wwbL%&;irlPDlesgka@+)DZdnf- zkZ&gw6KIt&#orEK)W_E1o8ec~F`stR^)D`bJr~bY1(t4*7tKD*5^sJMWd;9};0Md;Q#H?MW*|N{i~S#FPKz@`avr zkg6&*?^wwV1(anRc%a*p_pg9c$MXuy_?MVTfTH8D9n0qZ*CFA~J70t>7%QW0RQ1cc zB=#O^-T$GZqasg_dM{AxSMiX zpKw#QME)LH818isr33e@2i$=Rh^@x;^fG~(a6%(9AbW4+`N{r<5)bpK`5ecGaj?Cpesj82rA#>)+Q2OSRgWp2zKYOKUC?S!(o(c`Dk8mu;4`<=RQ40AGSS<*9nE)d zQjChdSLdKcmW{xYz2`cXVAW)Jk-nMLAtaidm_}uqsQBAj2a?=Zs`nIhgM0LbndkOH zZ6m1`1H#z3rgThvo~5fF!(U17zmaXPQ+^_}6i|s-Nf)&wb$Rvb`MrSL=LFHEI}_MH z)-N(b;z~?#9<`^ZxrGi#h0BS{@a2VN&@a8AIgRz}=(MrpuAnZSJ3)#=ORV97i^4W` z3j6z`Ul%g*pik7RIH$2ZEKqO$wxQ-#K^heXyUQq@e(Gi~3fJsUd0&+`J|!%aTCm21 zH`r^ zd}l!bRa#{Gp1I5K?;`Qj-=5%O#wKJ!HT(^VuyKG%^x&9H67^#%Rg=`sJ|O)!CI0&` zxy#RL)j1T_H^%W!VemEwjHh=r@`{yP#Q&5 zM~qG}iN68ea7?l3sqE1_#%J2=|8FV>*ag*D)PbXqz4*r@GNIPvHZN zo$R#}Gn=TU$qhw4O&?B_v-iJ?yY`2AF!DI=Y0j4yRLKMI9l3*5BL!Kj+2+17ciifr z-fW%0AUS~hr878ap;`TOXTUjUEz7#QzP7X6K}_S-*pJ-N&S=eQN6^##R@FNx0Ep_*c`-Z|VK?O7}u$S~x)RXzLpTCdQbHY7Nn9mH-)7kru zqqZU{Mh@_rPJ6#9HIN?jOE@|NX8)tGZNCxNR(aR2e}Z70>m!l=897J@LG&!Bc875y zk<7>|!J6X1!0rWe*(wkxiol#7Vbs@=^ON+`B>1teKDKTYgk!(p9HWESVb_?E;yA!o z6wI#bN$1gMPX7ydz>18lKvqTPH%eG^(DjdPx2u&7!?=e!I+8W3I>=t{hF+l9mbc5w z4N~!F&TIY(aPs4PVcInmZ!|o6aG3Ff8ynf7lvEY+IjEWQ*oGO%CdaH=DRuk<(8z5?0KELUX7I!s95)VF7>?uTSvmO z)Tu`PwtEfJ=KZUL-h$(~b`|6NjT(FTP~E|ibBJ?ZY16Tn?!Ezj-y@{u%i4fmKu!E9 z>`EI@;xBfd5%5VuiPJnF5pdH?Xol*C&<_(_kK-uA)zo5k@f(&0u zM!$w1%iqZBU>TdcB2K6)&D+Pc#VfEq`SLih1=WD}d@>Q*`Hn7RU+=d={%CP-&!e=Z zuYK_Evo+%$Ut<=1))%*Q5c<{gc=bi0mi`I#ud)}t?Y@j;BGbS9o+KOQ7;WDf2CQ38 zkFHr5Ng{CRb#crb1|U29;}Z?^@t2L=tXUU(qer+zJ@xaDG{3}Nwf;QBsYVMsteS7j zGW@}R(mujOmUR6&dKid(ngL3mddZtcj!{#|W$#SO^BS3-Osl<6CbaF0dcAJqt-)zX8Y9fPAAsAfSd9O z8m(f1j&Pe7@!;;X!cp5)p6^tMNtvA3(~Y7YB`DyP&o=?XqbU<&??mqN_exF>d-j`8 z_X6z!B3hO{fabK#God2_BCxX#BBir=&yk<|=FvTcEb(c;VfA(o;ppnL9;0KL-*MZe zY?~{ePFb@*(*L7e*`|J>adcd0bzTc8sH)}t+i-0b9@|v^^UceY&$u8*`(pnD++~(; zuC}KyUzIk&6#T@#@@$1aLk3sJ5_w_8!f*N z&_e1<7%zz{NeV<%B%Q)zx6+5ssKR;TFkr2)q2(aEH1>As02NVbG$}xCf?rXo?CZer0u~3+PWzsi zUnfuY3Va&(u4?yHBS$KReDiz@p<;r5zKta1NhVY>*+sJ@ILsK$D%0nTitR?Yk6_-Z z(eN2?h$W*7aVoD-w>^GYFD7NIv~P6CeXmSr2SQ#lhh#Kr=zb21Z=1`G*qrcSj?kM2 zx)zu4G43m!x8s#-A>6Q2{9nZbdozzU^zBAJo9Q9!p}H-O(T4{oStCePG-n9MX>W5v z1)HS=1)7@`s8t$@p+f%eic|+g^mqFIsmu{yan?f_B)?k9?Fez2NDC?+oT zHu)2e7l;Uu+ued(fA{xb@B{B10gBpq$NL%Y8e7&Bx|yi@Y)<6_<@#2iZdQOaNc+`y zkPywevil3h@yr_n9YFl`B0@|=Ke}xUD`3Myx?OafV?)}LoqI+f8aIBX19^+L9kb)@ z(8SN^o5fN`|EK-qw_(3PcV1|46o`2HgHujIolORyuxJ-feNgPa7v5$}J4u)kTs7YO z0P2nBMJFZ+(=YX-kz;Eww7mQ6K+BrP6^g%>K!rH@U<<%+x$ zrUk{Qm18{om96OO7sLvD1T9eLvM{$%nV?GqbT|3GNBFN(K3v@e8slj%>?Emp0C)c;uEvuYiCq1hW4)5S> zLw%UhToKn(+;p!%%?oRjI!jLGGoPR}fB=QOVFhiGX&EZ;ALd82Dvp270a*=mZ5>^G+-QKRJ<0z* z|9!iAVF;EA1_o=W zv1#?LmRBtw{gbMY-2i{dkmG6N_V*6QdSpT|8s(c;RYmVnf2sDmV4k2v=62A-l&&3? zC~%rUd~Ry<^;f4M^zyEDGR#VaLaYrn^&28d+Z*SScGjVe-5bsWCZ>*iXXe<)iTU!&AstTrt}Y1<+=BRe-2aYO64BH>z>!~2wV9e>uO)b z*H1-zJo$qfwfN-$^JEYEr@JmKAbv(Uh^w#lXpG+YtRjAK@FR0P7CmqHJrX_4NdByy zn(*SW&0q~V806LMJLo^?6E>|>_fBie5qu@B+T+V^T2qAC4l~uAAJe6 zzSxJF=gBJfs6k)azh|^-?>S{QW<4i%Z~!t!;AqGU9-(u7x3p7*1XQ9-j-$r$W(Ma9 zlXgibZ6BKMXg|7RH{=nq&onBT&wRR7kyO6eG(zNkHaP=D@B_RBtXVcb1m+7(HGkiJ2l*q?{ipKFj6yU0g!yTH+1px_x) z^{l#^D(Zax1~1}BO4UDiC_OjDtEx~u$IriD{@-`1oP`w5p@h#|vMElr=REbDoqr_u z`vb2a0GpAIm=SAZ1selC9A-QIqtB&ri@a3IZ*N8guC1`gCkH>{(oLx|J|e%hSt**) z!?LR|NBpKm_!WpZ5gHQ~dSJ&{Wyq`eLRHs}9n2YAKA02@pGC`$UHl&e@F8PsUHS1Y z(Sn+#LtSTc>w)pqYi(LYZS%|I=~bx*5c-b)QKG8Ea?!U<=+AOqo0;bfYl+vFBhXuG)&t^n<;*V9YsFw)+U8>|o&^$ilKJz`OTXLxXouLFjd^Ioop@yhb`71Qz z576%D2(%3!$8!;ISv3BdLU7yhQQNYLo2?!gmf`-tA%KC0n)%O~_FkJ$8*OcE>=Hu0 zH-Et%n$8)sl z%GKd;b}kzi)sH&@&7TM{oG`r=Q!l|RtI=$UeFt{_K1Ubhf=eBYL8AD+r(KfDcCVBzaxwXvdt>P$UH^Lt)dd zQ+7O)>eup)k^q9C9Fs@tp;bzuy!dwgnW!qSP=GE7Tz~#_*W2|(?l<+wcxzv!3U5G= zW=0}$KeKHm%UpCXKK4E?Q!-e5WlB|Z$U+$L285skfhi|+*q6bQ*)qr4XU>wrb=655 zJL`^iSUS*&j4{p;b+;57fge#uHT0_5)eKCxG@k8fNvmcH)~`Krl&7)n0N`3 z7$t&jmSvveK6Wplh7d)gH&NNy_!Q8HCf&HvS30E4u& z7knv;2Pp|#q}DZxuCPrdj6Vjd3~cNI0kfe)t7VYvBoEgFYjx?e9$*21Mo#M+&fS)% z)1zGJ@fiZuveA4_4D3mV5l3TDxSnHX#PK2UBRS{<4@sr6bl~pvREMq5GR+*~I}+f? ze7HP1tZzsrjQ_ur##e!HM$f4I+93y)>CR?y90za-sL^%UH5}3qcM@YZQ*Ypg>M1qi zyx`!S#V&+|7xVhr-PdjBKd(2Yz?x_wjHui26dtTQiaFbEq$>4OMcN}Tvvv6J8%W)+iF5I`K*aaq zjdNOs_M1m{W=GR2^=(D0Y%j=lWfISNpzb~&jtPXsNHgUW?1)b`G7CLYK)a@l91RGv z16VGA!&;@p$9_8TO0p=SG|H5tkhfLAJkoq$vPIxk^88XwO0Ln|43?)Zqv~6P$JUaCFKKhl4@z@n>HEvA zV((kTQ7Sm!5w17$5cz^dgA)hY|XULC}|bCK(}3Vpf4k<4AR^ZMzm_g(d5q~!yFus0x#9cbcf_q6PSWl@vE8@Ir1b#F{XsY(U+cy3)D~Mwt z&1K;0bGiZl)2Z5ahJE%C=()JSb?bFyb*C+hOQ`a-)sKU8n&TpbJ-lFM^xg-;D1An03Nw-87Dj$3a?eYBd&%2}Nc`xZnzU;FYe^M_P z7DJ0<%)f%<$Y%vGwN+@Z7%)LEjnbvkx>%9N$NaSC{)?TlY`Kf4K{uAkLyTSaKtzt7 zjIQb~=Vg}HoH+5CYb{r`n8Jm$E?KjxTtd+iXi+pf(b(uwBquY_cy`V#8zqNw@9MAmKW>CwyBl3!SR!Bu?X4s~ zBrFSi`-5Ch?Z~!^LA+quhWR|=);pB5zqf00$0`&7t{CUhahJJCjazKy1z*Eo0KW0U zPI&RL+sXU1m45a&!FLHy_3aq6d(gv$0r^*otCxkn{s*-3F7bqZ9j6pr6gdI$0s40I z;L35@f&_l;tD*15&Ga}<98)5N1MlvUCH$i)TChxt=mo{{8u!-cYA{$M`LA@>CC+&4#(de zM(;u98LRXY`nf3E`FM=qK}nWL`PBf2q+)5qCFtw5r9kF05u71T9(M=#yhcckM~k1# z6U?f{sC6TkO_*_%CY8}8S~4;FFM>cb%la?KM2G>v zp~48IwTeVUC&V1-fK#^>m#-~PzLv(_eYUqEyl=f9S$f2!irgqmz6kEMGB!$ z;Z4w6AOnEVMd&jNvr_4_{`tg<0=?EB4|F~RaFLmVxrnr5CsBZrVcmd8jC9m=zosG9 zge3fIuQS>vXT3t}?(OsOwCV@KBk+@EN0|^oi|1`385{Jq>;>cCv7= zRXEm!Q+?3r8r7&IEWT38Ix4b>pNxG0mc_X)c=egw$eYL~Tm{;3F_UR&4h zS`bR z`D9W5crxPaU#lPWKcRv6_DA#i7gz(t)EUXKm_)dORXj`?=u|!g3}}9FQsX%PKU}>9 zP#fPDDEtFTfeN%paVSvS3KW9VmLe_g-V`ql5Zqmh1efCO?(Pya6b(*rC%8ji`u)F| zH}htay?5{K?A*Qg_}Oz#;Jz`#aN^zU?x~+r?c2Cx*pVug`5Z0NjUMjpsJ&@O&uM-I zf|Et6f`_qfiJ-~fT_V>XUQNzDi7IIJOMLIvb>xMT@KL;w5czX82r!~StY92u3=hOS-SZfkcSs6d|p#au2=f#;sG0oe#-{!vHv9rdFDMpnuL-?_|1kA$ar zqS3Y3y@;33<5Dp96$NT4F?94|$GX3R{iH1MLCwMbc9k}`#xJWMiVaB{Vl|7b9xezw z-le^EAbFHGL_Jv=@w%-&`h03Z<2c*^or{#bz6#Cl@~!0uUUrCJ+S{VY`g@W@>|jv) z9l6dE#LFL?g;%2eK1%+2XbMf~gYCvNL2o7&7=yjD!{3ee<5(+>KiF^I zC#UPK3&+KWH`IKVG}d1W-+_Hs zuggvu`5&=Aw@)C#9kGXiBUFE|x=>ydUw{=J5@U|udJ<`4?|BAABkU1dXa~4%W|_0p z-a~*J9CPJq1-&=-DHux*&Elv#Nk56L`~!D=ibt*EeOXjU?E}|wp-Tq_*uVwoH|$fQ z{3toJZ}73Lf*(zNT|{o&qfoeoSJeMVofmF7CKnb`&Sl>Z?Bk{-hb;B@a;KI5(B)PA zP(o{vPT;L@Os$Ok+xFj{XQ(Se_86SGjI)UE&h1O-`;TA@N27#p+@J^ie{UOzZ-B8Y zQV-iI9n0%;F}2jR!04G4(Gpcf-zGyw7FJo?$Pa`=Uxb@9|SJ= zf7HQZt)SJpliJr8`)Lhog+OQk^IV`3xd#Q+$Rl^e>twYSbUy4uqVEIKuBuGaS19vB z2QLpofZ@3{JhF2R5!sc5Q0kQI%J7}+oa|ynkRz@-N#u4DB}@2RmD5H}`v(k7PiGHi?E=;UlqG$Jv4Deby-zK5rsfU^6{vRuJANNbYn z5G9hTWPMEt6)v|Rb=J$?qwKD$n|B$e)uuG|02W29cRnXyZhdofXONUMNpd)D)%44= zyyd&G)iQlD(Iz~5LIP!(-_`)^uM+LQ!r3XwI*)fDh+I$IxJtTAmk@8}%qSgg>eov> zJD%9dKJDmmlE@j;%thYn!8RpAAV+eI){z!>y8eP&t;wrxePT3i?hKSYjbe@B}2H} zO6W+|`_-kl#X*PP10?*x`XB>zfTFxhA-}^xS12&{c0SmrelyoZhUx&_!|n0K2x1<| z4roCK-u@4HkcXT~Z@(%O_GKdApDAmw-wWrG8*sUBjQX~Y(!EBNpPC`cYVw-dR;Br30|_0W$buh2n1P zhd0RB8+-u#bs*vUU1!O3hHPi|OMLT8l{dQaa1p)ITbIP^1-$Oj) zL8lj`PJ|+;>}al%NV`T)c18BSg8#%DAJy>T5iEOuk*a8K^7cW53PsEJ*da9n=jZ8o zfr65wP*NUGQ?3spQKaOk3jkBSALkv6hFF+TWKy#1Gl=#vMf^DSw?_&)9~Gp@$)hs9p(=z$C!J|UyG35+j}hdL z$&lTA+K`dYASgg>3qPPLNk@fjX5mlX1x^L$eGLR){E~w@=|sr zvs!?18R@fQO*;t#Q7_k5OZWS2(HeG#767VbfE z+5&UAc`7om{v9PMa=*{iG>34wup$+bG_yX&T0~cy~mYAM$KNjBsuI^=3Jnf={e~r;^@qvZ1Y_Lw^8NFxwOV9gBull(A%PZEyW>bGVYR5;RyfnjnCFJ^DF6?d49#k@FTf=O_ z$`vQKoQVMyvL8iS!grbvs4l0xA=^+0zXxxmq&XU4r^b4-pDL}dvA_A8r^G#k7?hK;gT3GoYTj0vS+mT)_+|nwu8sc3fA# zXmmK>2fNAx40P_nR2l{pTlPWVPRq+}_&}SqIHhY08bH&3ZrDc?@Sbuh3Ps4*`xRs6GF`fUZvBWS%RQX zzH85A9!alhrcA)%Z6?Dp(m*fQ8e6o!wS3B0Jjb4zi=Tj1nZ0PmF8@Rh@pX=t;D2bx ze0Wr1AdsHfj_e&bk2=@k8oU*Sk3mGqZ)6#U3r4RC)^+GyX6%w2!G1%Pe+x_ZueuX} z#+H-skPQPLV76A`<*p!ZNk?(X=~$uoORv}$f5uE_JrqmC#0tpIgqDs?f|{;U6goY) zB)05z)^?ku;vPJ|k1)=7aJ7jhL7mPT-t)>$cqA|>jr~oP=pFEo zy14I>_QGiTJAnE;bJh6YnQQNXCospPU3l(JjAamIxH4K6@nKmZ{W5^nCDl~xOXHIFreVQ~7+cgQXW;-2=BEVMSZ%!I0cuV^< z-NYf70SVp%6^K8G*LhF|qxE&oQ*OYb6B@v;o^taD8-(rMp0*$YrQso^f8_u3wsH{r zX92*ig}37{WcXS?b4gxRkgPx1?G83uAN#TaLVSo``QZ@zraIEF%E15j+i#qnAJJA6 z#uNYmZ&GoR`t#5$HLnkwq{JEd_nL9QS5vc7$mFt@?OpSEXGkqFB<1^MASM74g=PK1 z>nRt3*R%5fyk3+)DYqlrK43n(qd`Cs&oU3Jtq#Ps{#3a?ieqEr+$Wqo-D_b#xUygT zrn{18*ulOgJu#hocxBPZ(z38aoAN_XW_dZ<`Qr?QgSX+9c)-)HiN*EDQ1`{Iu|dxo z?s}J_bN)u+MvPW1#7j&dHXH|t1;<|b500_&_b zs3;mKO=G-q7cE19=1SDz_qeA{(&@pib%E5plyHRF95xW*gqpd)H(OZ5baN0C*M|lGnxTCmf7@(GJf6+8OphcfyxG^|T zK<-L$suV7Ybwm6Q6qg5N=oZlN;vTi*sQ=J7nDJY5a-e`-32=(Laq8z=(V#S$s5hRd z zw^s)FmY?V+-A5ww`YsS1_!9X14Nhh`FGF%CVB zf`hWSX)_6E>Q~c8q|Bq#hF)z(p5~FuDHo6Fp?UEpPzV)3a_^3FZ#OsMmCXZ*k9L0Ms^UU*BNLnP#`Fv)PAHT&4`D^e5;3}f1v|8H!a@G$ zjD>t6(kVx6$?QpP5muI4@z$y;@H{^E3bO)};OSU+*Z0#g`js``T<=fwYr45BOw@s( z7!>{u2(87J_#=Hwe3Aa>m84!wK3B`-wElWaKJljE?E}Z|XxDb9L05~BGS4D5Y{|w6 z6Ukp#4?5ydOCT=+9!FeFNIw#~vNCuF-cvJpL+&*!f;y(GQo-b|t{Vj5tqp7#_(TAZM1a$p-xQ#PJQ zb$~XW|6`Nnb|ul=GRu<#a5^~ojR>|VaxJ4gtE6i`VUSA3f#5`AtG11XNa;@NjPDBn zP|Qe-6k!JwfupFBCNY&BF_YHPOYQ3J_3%Vn-k&Wt1)jCB3$5&<)#kc6G|^3%S*CC~w| z4cc*}1zK$40eDX<1||O7w^X{mx};gXKQ)L*>*yQDFTa_(az7gI{JuB)WLfU`LMT%r zcKOfwu%a8oL`76NBTD)d@a}pp#_{L zworFL(yTTCYx|#91n7inWjkex*Ng%IZ9f>Vnef@#F55h=0;1s`y;cTF=)Fxg;-e(^ zPfrJcWUk~J6_9)C4a~jxQE=gcHI%07$~$eq9MMJV=TySv$*_xtxz58bnNJYvxxbHO zhrhDKlz0_O6{`|TPwGy9d@Cv?$g7##_rG1V({BYVMQ~wr8wwaoPvHfN69Z^&QG(2z zyix^9qf2cLW{#BkJ(#CL=QKs*?HP*TCj%oX2ygV9IuJZu1VkM->xKCIu=_FQtsI*iz*0dVxBD zWnWb{PbRR~K}X2pMHirRTEP>^;O$$=^RE1of2S4-HcR`0Ce0a#p^%pA)U?}37x11< z)AZJo{SsaVGA|vydmsCx>IHJ|y(?>28}F$iD4A_7u_bVTU$_;Yquv`-iYxA4Gp1dF z`_2C5`@5XIYBz_ZwBr!>o%wBcPZU%MDgtqx+9Rpkv~q>#&m$H7t`4{})RdBzO1bCJ zAg5Xgo^=}>Q=iu3l|C1~ob^(5jlVb`)3IpTcQF#uEI7kF6tGg+kF#7bE;(1zmbCQp zwiQ{w618mo#&O_EY_y|kdVQxd-aqxw)7>tff3a%!=8f$Fs*hi|j&a(``^v-nS(#iD z055rFO73pXODse6MGpmwH$Gy80>L+{C2ZkME1BH$$(;@0>#gUv6j-`jtL0TOST3&R zUN=m7fr{nkaFLi_aimS>O#uIybzWbQp7JEcPyNCXxns`ZU-gwQNZpJOMZE6$EOwpU zOFx`|&{x6cJxcr6vwmW;{({3;^UDHSu8r@6jr@)8>+xoV0Y5;_4$hein z_ts;wJiPpHegb`l{TA@o|8)A-Pps;Po%tL|FFTfOt?J_m65Jm;c}Qot-=Ix~{$rM5 zNYPx8(5J;^%$4ah5RcR@N12lhRfT7l0uE9EQsC{7)>5LaK zcSU5xs<)iw6m$j-4L{hkTy7v2ay${&UMr&H|p9bPd|HgF2__4Wlxu_Ju!g zhc`p%YFl6#<$0Ab>bix?po{5wjEF`p%B83899kRTREC9j4$i7@42@QHd$p|t3pP@} z$fYsIcW!#lWL#sI_t@|_Ls!kKI9G00mMh9qt``}ZFsr8Wms`^`gAchx*ZP<)e1-Rs z0^4Uctq$j5bc9rGR~-wWhgaf#-2h5xTZ7jAyGHOKWmVg2()xm!4({8q@r-A% z|1P*Lsg7|nmj@rkT{!;vKLnqPVy8ZRe1Y~^K3gPrzoltyw1=fNY_H8lJ@Q4OqC(K!q~5{tTkya{zDSK9%=i1Lh^&1tUm1{ zwG7jBv2)6KdDPMjbQwfLkoyFu@FXnxc9)pG1#b*zbJO7g$xG9(4TecfoT7O!u z+0a2F*|uzlN#j06isWC3$mO*k6R39&;2z2+V6F$iAH|2_G$d3cU4Z;%SL!V|5VhCg zxdSfdyyo=mz_35(-`cT|29OwVHdBsUc>FHlQ4Kx9cd&;XkvWKDx6m#bN;~s5(>p|F zrWiRCbA{I&G7^85#J)#b*A>>G z!$&~JnKolnB9K_uA&kX`ZCEOhTUZciFT?{*1Awa1$%A z8RpL7wRL%wMCO`U)Jj3>R^KxM)}oXhCe>@7n? z*}NJ3K7h22I;fN<_aRt1aqt9{;Y$#V=+XHBeg@?71uP$PNiUP$I3t!7=g>J<0(TyC z6H`r$onBYXjrF3{ng@tS6;aK}j&%-aUcME8PK#~@YzXOW7(Ufn6K-NeuFb|fLvcP zs{Y({AXp*{C5|13V;@Jpa-)?V=0iTx$XeToXI{>o$^8L}(J%uauL%B*Oj~?`y-mupWUP;GJJ>q|50zCublsi&kDwK7Kx10f7;ow586NFo8iyyli|mX_9vD2 z(E9z8&p|%7oHuoy4PB0%fUb*9_@@W!Tk&bCl;00Q1Kc?aWcLLBz9G=YZT}A+BLk=5 zzYOdK%nWp0EhWF6PH5NR$0Z;czBuL^-&<<*M@-0nUMs}UV=o(-V{x=kj>bmomr#`n`|FYPgYW-!&< z^Oy-~TRM8NtEb=LFMKus{H9ogZ@cLw?Xed@wHR|s#A`9E`3bc1#6QNg3^76AibF$_ zXogLyV&N;@R zM07(n&*TZP5}6+OHns+&YZO2^fJ<$bk+LR%|DLFI(F59~DNnjGv535!ikOSPDM{XyRN zQZ|Zi!+?MbXRqx`pN2qyrY2PcuW7{7vBfFw8aKoKd`}!0Cm%dc=;?j5n%T`CUT6}p z#DCkursvtj#Rn};)?)kM_(4h&8?nkaM_h>C6Y}Xp_$D0}_3c+uq`k{~&j&L|N&O^3 z$Dj7@3B|EHnF5HR=ic2Rc1JkYZO08G2kuY7a-`BbqQZle}tddqX!iEvSPO>uqcFQMw8;h%$md`9W$h0xI3Dndbth$B-y<|l%ws~Ev#kkT^bWtk1%IfMoBFXya8%m}i9dlE~==wJ) z=b;W$jx+pj$fLne)4Ce(UVg5pEg2RWX2UW_7$+e$_0RYEFtmtEW@;@#@&EqwRw5(h zM1pRb)HI96e}$SmdhNzkY9<8KRlh5ZXEZG1zyq0n)n=FL*3}`L8T#KP-jUJQhGkT% z4HJ>kD>T4u02F>xcH-z|?2)$e27THGzu_Dg)bO6(kL5ZNv?^ODC?3GKQB!R;`bnb{ zn_XXB!z*GtHCk7;PRTBuGR$^3H9l2XQabUavSy)Jmkk=5WI){etLRr9TT%tcs9d{a zgY_9x=*7^l`vG0Z3cKdI%>1u=K|KhqX~~JPCBIhy#J^7m2hv@!z;a)VZsu6-L(vVK zYC=J{L&g$^VcO{r#I3UlAiYFuI~FT4IpKU5PH4^{`8^9 zYF6#0ij}F-A+V+9CIC=!N|~y0qW~+umu9~e9G{;tg2qdmNa`5mBv^>hOdSU`e=F-r z8+C*TsTZZ}>ABn=QnRAMyU}rDc`@hg^=uQy0dsTdTIF z1M@M=oYGGAhKM<UjScX6!$>9L@wdR3ooi{GgePkU54MBt>k z87y3Kij}5uV^}YKJyX^Xu;y>KDmhWmQveQoA$1~F95vUu-)gSY5<3LVYr?fn%%i$z zXQq}D#ApgNtT;_cjKiwgAV&h;4kfa|u-#6}4HZE{&9;e+{!bJV`2FEZJfY$*B$R_z zp@S^<@5uvc8FP;PO^Ch1!@CKh3s{`z&51FThST^b-eaaGASsV)Jyv`QHt(K_a<0Iy z)D5Opc-l-rK6&_w2Rl#j#QIsqPLnaF>rAUZ)hkV#8|dgR>3!hdwKbx+qE#At1R` zZqkwuo(r$0cYm`^#IAQ){ROz2%D7vZ!8&T;sgWXfFuYl`d0`4!PKvF|G&L?x9@jO| zTP>-Ey1axH#S0(J+UY1HW`a;)~O$ASc1-yJL8pI-Q_@+$xHSa zrc$7p){3EY)9d2bQ)y!J3VA=&G?a6|ZwEHRhtqxC()WaavuG#e3}1A$d>=|za1)o^ z)H|EkhHLB8HPpq^)gAOH*AW<2vw2sVX*@m|E0#8`;6HJ%0mayBN}GVvXT+%mV6iMkIC#JBEN<#N!_!i=2*?MoNQcj z^74x47VI^7c$t54j;3A2&j}&;F*I5#PN|GHJ~#@f?+nx5JGmAdz0d)T8JopfK{R(2 zo+%X#^ORf^kvz6B0|%cR3~%rjR8(ixBpW_`|0;WQk(tgDmsK$yNm>$-h6trDxJ!ge^Ruu}~UUQAs#_llENU z5O->ETy^G`oC)rFv89->d75zfoN&TdGwz?QtGwlTHTk8S3&IL1r@Zj=a)^PuzMOWX z)X_jpn|f28TM>sEzq7g-(s)QI&0~{n=rU951Ld2G|H3G>RA$(vxN~grbt5Ju#BAdp zKTWCJg1T={3maO@=V8-)Zq4o@!)A)2KNaCqN9jdQ&8KVMo~*Ng53NAE92FHHW4c+P zsQgTT-c&|2iH60U13y;3W=3glyS!r@AVx#4F{i_RHpj>Dp-wEVQ$19{? z8Q=R$LPeo`s(|}mX*zjMvt2~RWQ*B3OxQ0Ghu756*m&yzFOB>{(NJIPVpQ00KE}}x zAd0CN4ik3z`qC?V_SFSSJ%LnrN%iD+d^)wQxZBEh z`mgfLe`%FRrwVU5yw0V8K~Cf4XBd=DJ5G-^V#=qsiwyLD~;<ih!zZWR}r4}yvv`ZNZ4GYsQH zL#n4keETUJ{rt6~BBqf>rXWsk#px+AHU7GK!FpB7=yOiUp(?1nS+FGo?5y`Rq*bmhk=JGZ@5e1c(iq>n2utEFq-wp5mPQ#gF8=ff3+uZ$W zS~N3IeX5;o^-0e1IY)_@(~d6s%^$T$p+PfGtt{`0EiL#Ni}2mn)i_UH7JW{zZcZ!^ zk=7uF;>#?I3^~*mJJeP?;9Q;lYvL22lLv1@B`|d~o3WI24rGVtzx5S|8pxKFg{l+f z(K}7diC27W%FLtu2|3x$t;f(P%KxZfiB(#*r(PO5tI;!G{5y>)K_k9c+LMX#_M@d$ zo`_;x-f0QbbYs^ENSD#i_eahBbJcqB78gkqAfjzVp{`RO3i)vta@qC zY~eiDFP0N)JZbEaiQ;tvfdtLhE2{~b@kbxE(~m~-(czk{f(9Sg%zY019nAfAx!4G; z^=1n-@S6N^{cB2DR`DcNe6yN5u}9g3^l9ePMaeoO;E`rcN@TK? zc{8XtV4oc%4=jzD>wfcLUq|oWq9sqGm%v(%ojt<9ki$y9xh{ucDPG3C6%2E<__l2X zcjWhv6NcBpLxj4CWA;G~o7hQgE%VFtnOgSE8x3sPg*gl&O5h*che=C0JFq6D5>zodc;%YxU zf!)OCQzceyAI{}7r3MJM&i<-t4RDvz`972)MZN3C%ay)0m0WC`GWik~;gC}I4jUbi#)&!k zOkL0{zr=88n_XpTRaFBK2WZTJKmVDIg2#Ym{JLtB1eZoU9`fVtablic7yBAzl-RR8 zDoTxAF6e?0frY>?t13Rm;ZOrx&Ju=8>0r}c_N45J1I`l8>Gz;8v75?zT~$bU!fdpm z6@P(o-^|ZoG-&30bZlm<6?q2)08@{)Qk<59RB=#3>K#T)zmk6Vp8kz*L|C;< zjk+j5rrn;y^@nrst*O6CLUp35&g3&|fx ziRsUpl$4&J5-}3cn0i-*!nCv}*uX3dWGrb(S<|Hia9RTrRb~D5-tMiQXp2=(vsPCx z_+{$Y9Cna=&G(M7W-l&y=hT5Y(`xtU`WmPX22qkJ371f+oB1_?UC!G)p+sIZT&g^U~)hpNo!UK)A;bAZhr0dx34(Ds6mh`V%u_UZ~Y@^Y$^n+RC!|IJeKn< zF)LAf_K)j&Ti2ju3;Ccu0jBFWEh~T8_0y2edQ^bF@5Yu`XdmH?o=<&5l8F(4B(tv8 zV8&VM(SmAyw~_0KuAZf}#kK`T`mlw92Scp4MwY=Uce5h&xtyM|%$$Yho*aQUQv_Ey zifz2PZLc*iR@t1N9}?94U0M^?re(Bm5VY3LeAAzu8Ohna5ObU*VXrO2jJ_kF@<$$p z+w@jQ@u>gafIg#n1)iQHU4JX^^H|#(gyRvIn@}hUCwk;hL5T9rpu9F3m zA;#4U!J~VwxdCL>&M~moS!4VUy_Mn()d|61|KlI|CgCJI1>Q|vmV0ca-`_lgTV6|k zZjIwBbnj-xR+Lc)&Ah%KmRHP|_a$5_Gv4@X!cap5K^Li5FIm+)>hbdvXlV-|CTy@2 z?}i@GUBum{D!n2KFC8*Mq%}6c;dj{fd5(y8f9Br%t(~v-;(jXvCV9aGv$APe=pase zLSl0Rrh{=M-TStw4-79LYX^O;aC~TV30*0qx~eEItF*l`yC|!v9IdNy*<2w0+{;u0 zBflu8y3qy`HoLjun>~tQ$S~`XucU_i`Kd}=xNQ77SLfZdV0osV3)v2bAQ;mo z^PTBg+AFY;pYh1a@z=%3K+)FVGByqnr)5#GMFlsAwRBjlUl4n_p!3$tcPk-3IiWfz zsJTD+vp8h;m3{UlMxMi`$$8Bg?Ln=(&EwSGU|Z3GL)tDmZ^-S}j@gXv8COjmDZSH8 zr1=BygKNhu@EjV=eP?=G;#JjgM0%IvRdumRY`Cvi#K-oB)sWa+)Pvb?jZ6oB=;_|f z%;$Fh%BHrm%Idsw$@TjDyrMQ9J6Wy_OSeGW(8(Z@taoF41ybyJY=~O$=HnafK+Js; zOjUTHOKshh)z}lOk|9XoWazZ&8{0+QwhrsEag^}P0hk)3@^+Jc>w~H1w?K$waP@0q zgY)=lIH^El-Lx6lSO<2twPw3+qL=b91lS4)jCN387_qtjJk7TM<<;}m9cw#BH972H z3=eQgNyRTtDlNFCp0uLU@^pn(B7EX%S?7arF4 zRI!3>XL6tgnsX~j^D?Q!?;wY^V%h$>E zeB#s;hGM(c@ks9=p#u>$8xY#AeO-?msy4oo`P0{;h^?dolxLnsVb_bpU@4T_!L0Te zE$_;bbZW!98ErC@GZ+VrVLgjsjbv6!m(3SVl6PfGI{gqA)ug)Tyw3buI`z|;#gjgO zYUPV~OR40V9K;|xBi8%!VoOUyKCRw`27t(>9qbg)C;Phw-}CHC|C`kp1^jwFxGA7K zFR`Ai9heF~aCAX}FCJ~GQd*>!YW3jB} zU(^n6#*&m{BErq{5UFW?XT!v)_Nq2s@qTCf=$@Jl%%4bTKDAv!f)VK#*ZN%T)C-H- zki+0fV8@>(ciZY{IY@M84Dt)&8JXnQtF~e;5Qimr<2Ez9yt%x%y0WgE$*ilHw>wd_ zqqroT+gC48JPXl`%K)ndCKPtDk&(ErTe|_d@wm1OE&P^0^-k?qEUV*S zoLDTYaTbg9cvppzz(kb1g?#=8Mz!RuUiTKQ>S#?C;Yrvs$tV1!`4}rmWM}TU)$uxxrt_B(jFImI%S`QPi!k`9?%BhFtEmQ!mNVxjv>1dm z3|@V;`=*b}+AimXC5iFVlC5;>$X`uBrWKdM+tz;nw70ojR>01bck>s^X`_{>!~u~f zuai}_2%KE{>*dl!DpTyWS~UQ`OyP>v&qP+T#gV>3SNyZ+SK%*9_Vw-H7}}mz@>L%` z{AJ(lthvRh`57Gp_!~=q1#c3=;wi#wF>{uaoXwOk{kn_VGazyj8TnLEt6xfn1_o(B zjF__^_}jwMRB-BH(%;Egcd(@SfY}{UwTs)+vA3gES;pv$>?cPV@dMY4FXqVrFz;yj z8$M#>R5B9QP=>oUM&v0Z(v(dpFC`VIX8x4Da(7=L12RCp1$)(u5#%M4%%53bTndQ| z>aR)~RqzvOrV`bkf!{)U97Lq_m0SHsVXylxqQ|gbm?~V2~vSu3eQUb zD%(6Yl8y5G+?5m(UPDN3&dlqD!N5VIcwO;3GcFsjaQMRmbma3|Gm_`+HHdff5sl8u z8=bha`biTeUj*(h-wgq+W_Ul%x%z`@0l&h5VfYGval! zf}vQ}TTkjVc6&{g8SU3DvIp1tNihX0&%PN~FfmpLFjnBFbNSwh?>bRbnSV3BwWS6V z9$&*)(-~$85FgNyn>~0*@_AmSoU1R)DR!76O^i)0Ys|cM!*f@>2#voSv3ui}iA9e` zYYz+dkj1*Il}}ZeA#H*t6HeYIWPdEri3srw$3w-|q`N|U+xvTEJC^k=B#h~8Qbvlt zNSskkuty|4^pHnTrlHAe0#-M`Z9{H`}g_~zp}%O0dQJHBctVqV5vk>aS#&0Vs8yurc%); z0wUh9WU2L9OafmNjImZ5Zwsht$a61|uR@UWU1G|gg(nObQ!F>cS+W%DM(#Q1&~*() z?x_`jcPJjv_+^z_78aL+#>DK|E*@MzNEt*1!UJE6RG;HTR0a;&n(yL9kf)Exs>&8g>{}Gc~f00 zIaDJdR3kl9BRW(gDO7`G)NW0KdeqJh>86d>VtH3CvK-VC2jd%D12j*X za*I2+KYZgX$^0SdLbrrC-;`c`vGS#>KO|h?2f}vIxJeHt~Hz^rI= zl@z`4@Rxqgknl1ha!Dfcg?GF`jJ)-M(SKVO7%Aq&qj}KxNLDjQg-CxRBxgYXh{$t( zyz8~(Ad-%w4&D}zx1mYeAJIoL5tAP3e=ysQNqgo>Jh!Mht^9pjRr`Zc%jfi;2Vb0a z@(FhL#KO~pNY8xZ28Qe2uoPz-ZBvihe~|xr+49pey$`6XIHGnAEI_2D_??vyrw*^~Y;FH;gx-4lN4@{= zTNJFhx1IfsD%c+TXG6umTG zyyELPN*{InHxPzI4-ng`sjhZlR;;*eGw;+K_+)nb$39B|mJkqM#Ow}1gBhA0uUQxq zBMH*eF=TKmYO>4nYFgXNAyC zFIDWj>_Vafc_q2D<*PrIWn9_PiMe2h!Q&|i7kPT~IAagG%AQOv`26=dgeJOuoFU<3 zPS^yXnZ@&eP0@IA=*8&E*35-+B@ZbD3Xv-RZMZLMBh&8SWPyX?|8G(a8AbL)l6NPE zmsAfg%*;3M3ABF=FoBsdTYq!LQJEE)IDA`RPBSH9F%zDSMbs9-mhfI9CzP>v?()@& z?}W}=tCgbr*YldeeUp?C%tbLzX?R@kRuIY8pTD%D1I)_9jrZnHFEzypcse!96Rq-u zow^T_&{k6>nqS?|85`RQ-I>St+q1Y7b-#9pzWZPweWkd@!&B;PMBr)_?kjb4IgpDj zK5`gsyWg-_Kb*SEv%MdlJ2ZDIv~5qC9x|B^OP%I-)Ld(gM9)k8P1sq;B>mz|Z_#TF zj;zsU7<_H|Z@aUiO!SU9aCh1n74^aNay4X$Se4$;5udecV?J><*0WXNpf`$8uG}-^ zxgIT;XzWJv+q+tD)yQCPLXc8-yrJZu{xYUl-TG2;Za0m4^Mu-Z2Mtw+DJqSkMrYR@twjm7WJ_(JLuCun= z_kjU|HK*veEgwX4E94AOQQ}RYnO=XwC4A1|@uJ_|_D1V(gQyovK z>}Q6a(08?}ks=N+&{+nE=e=Mfbu4RRBVS_);eXq)33cHOoiV=_3Uk|^Hw&}v4*dOh zs<(#c@OOIr!Afs!u3oI4dt%){Vhs22gzKbA;o6Y*bv?69z)Cm zfa2MPtkDn|Uz=^BNNkn9_Lo+QqQ-d6?HO90mmgzXfF?YpHV=(9b~AmwdXrfoje{jz zYCQUHjHNc{+SMUi{aNYE%VH1~5s|h6Nm^`oX zjLlxtkPyK~#i5P8jC{FYYtFn4iC= zWV)cy7WL3{J^-aV1ErKAgu?yPVhmK^I3U zvH3XSH6DWuoTa&Cw{0uxy^&ob9s^@=%BvhIYx$^JVOH5@25lAOk~dv^&BfVu6?q^Z zdz@?9vioz}H525AMiz_ScX5ipl|SzW{9#0QvT3j?G3z3>?+k}2?C52)Gd_)YO&H@) ze#svnVaQiJU$&GdQ#%PH`b-8Cr1L{}FbnBQ4F6m*{pjY(tO2eK%GVO}@Q-)PUWL^cOq26cyC zCcJ6)SW=y0Vt-Dw)8#cfu}{%cTCtHVFw+)EpZFnet`veLP{Y(i?d3=(L}hL8aA0l6 zv}5ysSbM9ew!Y^N^otdW)8ZCrOK~d{hd?QX;!@mNC^$ivqS`~4UCJuVrZx6 zbUI>;sEpZ)y5zK@G~*RhL<1x&@pJbDrt%7l45yv$%3P<>)I53S?ltQRbZu-*2F|V4 zUOI#|R5xerbxVms?{;gJY+r>s=l);IhXO-hX?Z2L zCL5vqAQ%;UO?%26|ZN4oZ>4vFXY3c z)>N++BsGtE-}~-QZoi`}YLdi!isr#mE407w&zwu-qw&tBd@JQj?;LR)51NFo<| zzVC^WIX^BkSAV)T+Y|oNc4daS5YUNl7~HCFJm4Wn)586hGNvKhAv>`)LU=l61kAadGalKRB? zCwGhPebMUXqoZrl^R9BNm|KjxBI$rOg3+Z{RE*sXJIk}b)~V0MGsnc~eY-+L{h@6H zz+ZXlS4w22VE@7mah<#Ce^fI?V+Y${ygILzeIZS{Qdsw7<2P2+=yhiebZK@?U5#l+ z{Ms$B4&U!T1=+;iyIS0nls%CVYIae557v0&+z-ijU16ltu105jykJ@KDC(K8@1%g< z5!>dJjZAYNbm=Bm{l8+^$mpnngS*!7=3(HGf80dRqr=LRSlk&Cent6Ry{DP3f*Es; ztYz7Zdw__!Q=f1FE>z)546O#g)nzL0TX{D&E^5AZkL{s+UT}o$iBPLW-(G&4U7G*# zZt-Qq<1E!RQ_6L{JVYfy5*p{jNx#}32zYCPufs=J+*bvBtE)Wge%Mo+2;7Wnb^Dwc zCHSudlmq1}b5>v2P7}x9)Ws&V16mH$vxfAVyQt)R1YdOh2w9#;*AlWBA00o&04W0h zdQTVZcg%Q;c(=JPL5KQH3yxUVYOHmB7-+UuQ5b2uPi$Ad^=cJ`k2;iP=CQ$%n)KV> zbmL2%J}V{(gje*-#HqEX6I&906lmb@Lv4cz4hTkbs=3@+RSfGSzLaI8!jrOG=d#Sa z9CVhapD}T3)MR-j8=4317Nl4S^Bts#_MUi8VY`!z;{o(ZRFh!S7xhI5N%&;9auO=R z+2U*TQ(~D?`2JzJfEwSLuAsVNX-V%NjX3elZCZx2k8RhBSWOdooSCm%SoB3X`(?mC zVXKECg0a#Ik@Tp^h6KSQ`Ec^HdePZZCq^YvnnKihFHM&9J%7JkbiR;Q@;2tlrpu=) zSN?N6Va_bGt1G3gm2P&Zz`UEWS@?ydP#oN0URlQRIL%te(BVt*DYKKw7tU9VW8r0N zJ0Elou-VOC4#xDWnV@;Ksc;J;%4QPcIui4-)m1ao-%wl>3;9AZnbqXI{Q1|%eUAT@4mlPO+^tJx6=kJY9}XevISJ#npv9Ma`E@Hq z{uWWT`$0*ySzGjdia6L7w>~~2D+iMuAZN~d1sg=TN2xl@F{h->A&^}yCQ>iM){^I3 ze5F5b0=BYi+>I^F2C&iAi>?&N!uUF=*xKd)-Of(t<0nEd{ZiPZOR~8CIR@Xk2yoH( z$;0`@ZbmlfARyl1kurwpJJ1~tWcRG4xy_MX?qIKg!>(kp=$u?+r z#<cFr5Pg}DOVXCsD_dk?9CpGmfc7@o3PI8z6?Go`v<6*J zq-2UpQ&ld79g=FUlCIf+JWps+*FV(9qSuLSEbxpQMR{;D2#?kLdLy?+9+|;JDoetj zjc!O;A6NWq@EQd&_yi(Gd&Uth&svF=fKScnbUp^E+t3SQsU)J9?9}yBYKRU2J9p1(Qi0t^!5z>BQ+k?S0fe#)I;5cKz`4%IPeg)@$rl^Oz z=Vxxu*1s@3n=G~$!X7+^Vz33#|7Us&k=P!5_H8ElpNabR>e=mlt2;{a*)%m&_3ZY) z_?Z7Qeev@hih1_^|ME)v-n;cXQ?rFCaQO#xLpw~SvT-AbW;ZsYS%z(Q#U1aQV1ciTiXpl{mJSIR$85L|2gVf<#v)00_E+d9>oSCN~ z+3`UBzv&5&+qX``hrJ#1TmlsfB@zvsY!!mo+=fsf_n@eVOaDC-T3ADb-D> zUD%`X-MK`$s~TYH+57I9Ho=xo{jM^N`gE^zscKm`esMhFc0gDZkJCS%F;wM;6cQ0d zQ#>)Hm~D}NFs1mE?1$M;wEui51i3Lo&s`G1E*lX%-t+-PmvyBS^)bCOLcM}&_nWRn zr_x6*HrrgLt#my$Y3gRxIqRLvgMzPX#74BkYF4_{`7(KzTIb(o@TAj3r;hOPbVVs_ z=U+hSXJsVaPwK~CcC2=!S!dd7WJBb2fW>3unQ2_NnG)FQ_6*NqkzHqh4bFAfMseIt z9nC{%<&XIF_;vGwc^sbC^l|*eS@e0H5%)ap>KDh&O-}y^=>?FJ7uZ?NQm{Dbf32`p zrS*YLMfqO()T*ww?wqb#hxAR~*N%iDPl_zqr({9p*N=L894HZGkcvT~_Oa>2&j;rr ztZ(q_Uef|_t<(TTQ8vk#5_FtO{1REPhS7l>dWxUCcMs`EvY%qoE(|PcYu{+Ep2_$D zoVnk*a<#S9#W`6SlWCX^{_Poe>>(9K!uOqZ&kfNxqt@R@e>rQskB)&!g#-*dMB(hU(^p_-Ya@SzFK} z)rT82&EaDdPiiH;y~li zzrRMksi7N?q0LKz^OfAv2D z={aQT)fzM+Rr)`(h%b`HO>3~^QvV?eYV^$-D$du?3sjw0w6LV~K57cA3S8H%703l33+BNFr8OytG>Sbf`Y>@nwW{^9O&1Sgg4>=h=W zUi%Yg+>vn4$nHeWJrUSLS}1y0`K>l&#pfe#L(g$5#SgPQ(D56&g8;iaihztov#`4JH}`W?d_8k`JU9(kl*cU=8{KCvG9%yNpVoB=d` z#&hQ5jGX>ET#F$nOQoPDmDNeUvgDGV7$?RgYYUOk6!gkAwupQ^i(e*?pmyqPEjw;G zaoujh(O;IFch4w{FIs%9{zAC$p0UJ=YD~+wqV$eqgzfX>;S74oI&{_f5*Au9bplNk zpF7}Wrp)#(`w`iIzhh-=&mKF@-B9*WmUw2fVjo^^8(~pe9ep6Vp~(sSiLG9g`tgvH z?4A5o?~1y4is8swsF%+Gof%#o5v|F)6Nnd-*sG( zVWD8$ojcDIqKSF-0xG-$G5YX*9SEh-yRPd25Fq%wR`<4XgI9GzeB7^Zn&3E3HZy^hLb(xX-d4U+}YM zAsVB~xBPEemD8ZQk)VntcH4RgCey{oMs$>(0cb)EU^^I*;ljA4Q zt19Pcb%kEnS2YCp*N0JiFPvw^h;;{#rG=G@a_WE586H)>j?GnGppjaY^!Q;mb0Cpx z*vf78XqZ`|0SVECIUI9|_5J(X@aHyD$;)tT(I;X7T=w>b@U~2(|<)sF#7X{hA*?fB6f96c zG}CfdeGS9Ov-A;Vi!yJ&Y?3gn+Y_*=9<$Snu_$@t)-D_6 zT$X}r^*>ljD!*_Z`6Io`F#A!ix_#Pfe$p7_(vduS3^8pYHp(=1^wh&>7hTU47@0Ge z)(TZ#04}+%x&BvD{6-_z_&+?T0`%m27h2wO)zq+-144#GuZsnSb|ggDi!s#n^weJp z=}*+iz8g=T>+re#KGQ~%t;DXP&qLQ#$#UX%`0g3PCA31VZ@3r_bB;wjNjLN@sCnIF zy|RC{*7u)EBR|(_ZFl{l!h)qoc)|#TyjYDavqypkl8vruuCVpiq#OINOxSY2r_o-O zi){TUG$DBEpZ01Q@seRo@GRFYSmcENR$f1PQbGwC;3H1>Kw5vHcH7!k#lJo#eU%d3 zGF+!(z9OIx^{&T}6^mkmp3?89I;jclgw4Ow4;!{#;KOh)XCauQasI&q$@4Hv;`UUt zqcB~GRzt)n`P1-@4)Pc#o;+?04{R>-hxseXU>t#>Hh?0JRAj;RUJc@$3+FGWxy6U) z+EtcZX=$^9m_=LN=3RrWFQ$Kc8A(^U2L@ycQ`_WBFB!N9dwsK?Q7bV$b7K zG?-1?OrKx0Z#!PXD8Y1;#Y&N78B+g5-Y?bADV~rFHMNVG4}6gzycMz_K-yDd2Md)z zP1!2L>_o;x>4#JgQcEtpI#!A~XC;kpfv*EiEiKL8ZKF`!UnH&n7!@z1SLYt3M7cv7 zq7TIMCf@aoR~(AaBo{l|cuO2-PoPM}-%ATZS$~Grer{qqlAb;bAby0v_{m!ruUevb z4|}OdvT~!{6|5HE{)b)P0G4$YW`(^j-994-qVv4o#k*CJcgtgUGdZn2&3vU0NaMD2 zY6aHRV;}#}RreCgSTf4HwW}>BUxl!R7rzm<`?YFpV02jE==}L(O>lxd;p@Sd5l(fk zA#*=2%5wycoGQliGg98^w{1et$t%W{!k~D&*fWia@e*9do_2mbwus--O+K;kT`aSx z!G~)I9U5KPk*}%f^`Ve%mRN@XJOaD$h%sqZ);6BdyAi@mt7QRJE+C&=iOg_hR)yX z-*KdMt~2bXg5kM71i!Q)62ChMYE@ks-`nYT(V$Pvwbkz6L#`IMh$cd|xoxgwKWvqGvs~CO~qmb`Hw@2j`9B?}M z7^is^INvmt8YitxNDOSqH}N!49}KiNu@*VD<&7CHon9A|BfXTXh@PxDLXKJoK__KC zpV1sE!6a;D-fjZig#C2p!*bWdBAwuax>7)Y#L}gmMei@`qfA4aN~Zq5#ZEHmLL^RZ-ws>)S<=P( zo@Kwuy?;{{V`q}$m)o{fdLmVOhTuLBck%^=brQ+2_+N1?Tjo}()vp~;wave*pjxhp zDE_F?3^cISfPOV^BC||QAvsey`@MWg?`!bPMG*yb$2$+UOkwxTy|U+(M8)7I65Y`# zVn4cH7(&nan|dz={Nu^q@KlzE;}akO{H7yq7G{nRIo-7eMDl{8u4g4zIO3o}te=&} zT>7L*-;VO&VQ>!H*5bJNwvywR{t(n9oN0KHW@)7IV!~`e&xNYQ-Jqdpc;5Z1HDO9{ zS3skHytVIa!{YU!%;9ovnF@9tg7VTivpq_fEBgQ-Pt8IZ1{MLfg`pK)-pD;ixH}V$ zfVeEV?Yxsg)XXP-a)Un8%w$Y0dozUR%>ybvdPx*}k-G1&SBM?S<24vX?c{by5N%x2 zem#s+&Q^ME~5pxhZ z4u?5W37;6ruUwn&dJp*N58d14i|Zre$&5#cr1jsksra%-Ur#uWo?otA@x5#OB%8bd z8BBkoS6m^kgOgPW`*Izl7LJ;VR`0ntuCG7Dt|G(!Wz4*!c0Mk0EVj+YtJ zO$;%8130IYhbywTgJ10xvgc#z7H@v|XV(68ZrJ$%k1Ok1#$0yKpAjxT)@TnKYpSWb zXc~i}WbVEpMNlj0hVXsV_XXjY&04%xdd%;EO=$0LxsW<)wa=fJ`!sUy zQd#b9l~nay{9?ib2f`cJ0rl**?l<(2Dp?od{LcWojq<=T41v4+|hTrc!zjup4`<>l@aQzFpMbt?Klu^%d!{>;#A5$g1sx(>+`9=9@LekKwlf` zinK~ts=BvKS4ghIL;s7lbX-t5$Z8J{;!$qA<$~uRO=i-qmp*bEuGX>?uluAXz@-nJ zmQHD=??v|`z9QN`l$V#a#fpfON?$1CoIIy90+?MRAJt#6Ox8Y0i?~zUl0{5_2L$n2 zCw}bk%<{4;+Phvq1u0n{TGW+z&!_IyY38@gnO=_p(BYUMyo$8p}E&idCpYnwSsGCvcDqfqJO^^T67_*GG1=$RkADx0}mLb>;p z04M%#4)KtCOFT9akFhhe#f;L)&ihzH)a;EK0v!IQ4DS9(f4tL~*Wg#|*!d{W6{XZO z2f_eS92*__xRl(ZqB%gyszXGJCV@F0NZorrcx-ofvZuLg7Tjv5rq?zgx^62nxFGJG zz(E<*wG)3sdygesJ4DoFVQQt1K3Q|9aK>FS$}>xNEtq ztqTyq93(LC&bjd zg>$z?*PPUC9uiw{0amfj0-I~`>DPT^%8Ev~+29_fCLN9|#jk1g`SS%IbFuOL`UH&lXc*n`B z>xu5E9S{~+>xtcVrNkR=bF0PeazE3!{N}wF(0ao%dfDkOEIBDgfHO;cK{|59MF}hb z9IL!n2>uHBuN5maZc=BF%7>N%^W(tNSmg!9T$yR^JXO|+ahOE2rJWT!qj9Zmnas{t zdYR6sQkPcuLM4$A*E3yNZX<`8cI|S_7cAAjf04i04IP#-`u`n*BC0V3=~Np2xf^PD z{po_RPqJsb!Kk3oybZiI3unTLfLT_%ZpSO&jyoD|w6Vcl=l>`qFYtSU8+P@?=tK-}L^&Q%e7>jwO|jp8w4`MCJ1D>eB zr++v1gwz~;J^^{f9Z&hs1~w2I7FA*L=Iq@nY&>?|*1rA5;PmL%eay*bd}MpF@`TNe zWae?`h_sjV6ETTJ%2;=XqX03Lc=av{1k1Yr+P_Ah{Sk7(A?jD{fLz`5+g^{Yb*}t~ zj$_w&mR&p-Jz6=W4a3Qdj8S7skWRW0QOSl9ImOY@Ys&O>*FcwBvl9(^KdAc8>wDpPUfqZBTE2cf?lg^F?gdnWn$sC1ef& z)p851Wm!AA&j3X|+3zs)Uq${fSxGN*NId+_xFF+eaQpQ6){Yzbwj1^P!V=mLShqvD z5u@>-*KJ5Qp18`eauedMc=|%v*H+k8oa97x#bYTD%!G>kIf%EmA~}dxdxDXj)AS0W z3Z{4XAO;+BABW6_a>s&<8RM*I8k@h#2r;A_;jxz@QB^o&NV^Z6(ZqsFXCWTOp$*Ie z0(gf6zS$W}gMNXX8(V+4iB`m*y#|BnM{k4Br}{m)ALCkbgkjum{d2Q#a_)SWEf_zu zn&LQ@rGvh?c?cz}O>XQhV_w6yJxeVBWWRFil#Pqyr*^g)i2;^}FPx~#3#?GAb^oZ1 zQ|Y(PetAXSyn30yebp2fobs~R0uoX4+bNb}t8lKPRo*2UlTV1|?Z#HE4~M@3pP!Zx z8;aoMV(Lp89zOhHu-|sYzHb6~4Br`?vb2Or%z!4(i=xs1$;>~aTNN53De4=cgg?gz z+z)S4M@F$7RXL{CvaUg%UBy572c_V(PqJ-;okE7xM&R3IiEk7Bqi{rP`=0(`3Y17A zCWa-N;Hib+)o7LhclYZxnGPK_Y>7;B11V z%al81up4P+i6j6_FNDpgN|9(^?=cYW>E9pWq^BH>Y;`yd4QwC~8ejk$axk+Amunjd zM8(-A`g;BXYqpvu-7H{1n1`-j_WLu)8Lt_tepg-aW7F@re);6MFVK4RGPl1J1Rj*S zu5k3|eJp>udd~YqJdG`#nPaYeG4sQx-WcvV?q8jBoZ&ehrdnKNTH^cn0rnqN-^E9+J`6>SmF!8~o zyA1P0%R_1hDXALG{%gj#9-eV9l}&0FEN~9dmv@VMAc;qO^U0hEId&z>POh}Yq%v*P zLe`*PeANo{jI9wV{=UV9xRjyBq;jT&rD(6O7#Dr38u^wtGD3cy#jWu0(6;jyD87jF zv&s!llHpqIi%!be^MA*pZ82iAzW}SX+eZuC!1@=~Y`(9QF*KR%OGkrgne!#njehgN zrRo*+n|v5nI+x!-C?Ba`fe?JCfJij}&fb&7>{0MDS~D$%Y};TPFT4&%h(TBAl8jVYO-Q|&^D}5omgqk0 zAMPk8MD>oJw-*xU!2x7=$Q(Qs*Zq8XX4Hr7K7Z&E8Ow}5Hosp=>@+iq@RJ_M`b$Ibxe5?o7Cwrgo`%C13 z-?uug(#vJ{)AeI-7PhzFIgjyu=MqDgh5(obD8!|(0M>4PF|!@T)n9A~9knMTa=$HQCZoj#CVrx*^$U@8+Q=)y-W8>`X$5L^cGT_c70n3hJ3p>ZXdCQ)h=F14t zVyzhWxJKxK{h@4_A^FYKT|F_Xx9i2$$2|lF$TG)HB#M4;>q378NpRX|}8&X7)z!fdSI`^inm2MNMXul7*EY%fb=fMd?Y^p!)!`oYMK>PE`z+2YtgfI}QABoG|uvz_#bp`pf=M#hnM>C8C$%5cY+7Cz6;oc2N%W zUuYy^17ayaHE;dOkX3Y$v&>)v3d6|m`j7q`^ol+uB9$phqpQLHL=(S$BD%(JP+a`@ zjc&oymC5z7(w;?z{xVqNtmb$FT4;|~3lAI6GZ%f94RSH^zD6 z98BJ-U$*KL6VCsGhTut(e4y(!89h1hKCj98%^#0UF~>WeiO+uB8*b`G8Ek$5JtR)Y zvVE97cZ{;YRDnMa(t_6lse z_%36-p?#013MtqV+`%aaIJNEy!9wQPN5T_3U6bRYln&c9ja~;SB-T~ zm0LzC=tGq(=QSYOwB8S5YfSAq1LoIZ>uXyU&Pf~SB-=e|`_GNz&1=8tZQN)-FphoF z#Z##tCJ~~Dopi%9%&2hZ$;_Hf^1i4i{O{|{x!lp;2_Vr}d!)9j-25(O@8kIX^6<`M z`IfOP{^`R&Au3-8_S7o*LJ{93y;4Yy$}@ZC#XpGtX%!bR=SFJ(zVS2@fJ9Et!~5#u zJt!{-ZF@%Q9G-yyb3w2qZWyuH)W6&muZH`hQOE^d)B_|pfU}+#mTm5MsNWPls*&%j z!=KHkyYA7+9ZV$Y$5>TqV^q`mUsv|6~j)`Tpo?+>d@;X zc?udhg$%j3jp*KsW{A>mcc{25KAd{?&vAa?g}3XUlAqb0FqjIPc1uiqmi`)GTV?ks zx>P1J`0J86wuiD0nK8?0nBX%j&N-<{WKaTlisx2rTTJF?>agbqGcVrzO|XpHt0RSm z{W~8FP{meu-p-a;2$z33A@BRyMf5eEYkhptgOBkG zqusLxG8_Ec+)ch;z$IvxZt?!lILDIdVhvYo0LMJk;39>%FgY6&vf)s?0enRDcI3=n8FexvgluJi)REvw<<`lhp zx}WW(Y~nQn#ap;=vlqiIzN7#w<#)9`S7GmHOnKp7=^&ZyKhEaVG(1x0B1Qy?JJ;8n3#?{HUn)SpDs+jZ}h3Y>OR%x6Mj(&Mhjp3cdTm zN1{yL3y?7d-Z6%Zk=m)IHy|2A}*gvPeE=({~OVh3dbHx?^2c{WZzAU>l4-?dyG&hiLpWFr!|yieM(*52`aE%?O7Di-H;Cx6b;~a0j^p-eEb*Me*bmh#U}|Sq`NG{ z=&Z0uErroP)%;PhBLE`H2+GH3)mMCvVw&PyD|w27&rC~u^Xn6+psIyV zEzfvQYS#2*PM6gy%ahOSFhEiD?gPpm6-U2Lsm@lay4Ef{yDf1~o!|4V_Ct!0_!OwW zn{@^c`2Fx^WC|&;uU|!DWvQn${Qky8kHVIFP`MS){F< zS(7fdSjLn63Z$4M$4_48rt=qhN7$JsBo^tOfmciZtttF&pfPAN9V@%x2C=lHzyd;u z4crrXctMTB+Wqc)HyduJPW4BIZvLvyXwP~>(=9+&X!n|A1A9^HFhjpcrUCbuH~Mmb z{g>&gC*Mq&*UAF-Wv3xvhXQ*CkDtt`_lY`fstk5|9yQeB(#gsK=Ta_sz93H>SkwzsLm zr1erI6n|5VGc%4&`@7xg04ceC38Z8(^e_f?YJ_YpZx4+oRdRove0|`{SKuBy28&}{ zJm1f?SufGprC_ie2L!_Ra^}pY5}u34HT*K)~Bx05u(HLod?}(>3Vh=im>uRGx*r;zbB4O*VHiR{pI?`>tWIUtD?Sj@@;;{hN`U&DH z4+(u2;%5QN(r0r?H?N+L2cH)sSPE6oE;}`)M06^5Rtv+5e`-fR9nC#l_bWWNf0YRE z5&hu`JtZP5*S0RNVnCc%6mOX-UBV8wsbGkGo(Iot_Xy6lPny-jr5ci zHADi~{DKuuO6qs~J9>4!uw~nLyJl{@GF2VB7ZhkfE z$wBV8o%+@KXu56wUAiaY`89SK<;`Q(MX)gKh%kQ7%U<>@=jPh)T0WlLOuVta619i- zal#O^OjZ&2e@Bw@@6DHuw`s;lZk&X!yFu)un&~ZPEd5X-yIdgz$1YS@zKYu;7afGP zcyC6etV#WtDVxbEBprK!yZh=K?PM8`n|})}$TUl6xjPF>*BkH#N4!{|uL*O*TM-qo zct9qhJHP?M+1QRmiriZ9}BLrzYUuf3&Y925_ZtufDyN^YPq3P#uIw)E? zpCuZ5IG~?V=soVCgZ79Al#pTZ$l|?0nom}WJh>5r$8|s#XCKPDn@FVnUt-~w&GP^! ze>&p%PxF2K9dzhMNgCQK?yW+cb)PRbf({G2jBy@Q4F!G84MA$nV0+a?Ppy{O{J9PCkMo(`GjYIvgclnlmeNK(f=6A-^eb94(G;s?6Y9pBwQC|uD z!>7a82tS|n1ORB^kB**q%{B6|Pq{sD(^srgIcqHAlp{LWza|EZj6-22^_O_#S_&At zby3c-mpWMMR%{b#(Wv!Y8yGP?;D~13pW(rDdeELNKlr1Ak)u8y-*Mm##zjU>bVLmK zs|Q$?I3tlW1E_^jLJ`J|IRT@}e!A}^KNoxwe7)Tn51lEkU2e8$7DDnZC`TqomlA>J zf&~^-QKF)^csnzll!hu^onLYw%2maH2X1sxnzK))oQkmR!zjnq|inB$`q0lLKg==k+lnGVgAY6%_Oik2_2!YOF9d_C-f6GqWVl_>}tw&v<2fF3Y$3d{p8e1P%&VSXLGYtJMEdb2O&A9 zGkRQ-ScW$U!AZ!gu%WUG;2P%80Z)7f!JUy-s~O2qzN|3)Aw0Sf(MUBan=DA@rh=Jj zUETZRrfP`&&$g@(!OcLo;-_1&Mbmv|jP#8Rsij_oa|L; zj@OO=dVL6Kd0AH$Q>P_id}Al?>ImKgb^N1r00vC?yF@d`K&U+)1mc1=1-h^PD&@Bt z|EL>qabZ03FL+BguC4#xItA99?>h!4gMT+D0+H$No z%g64zYjR&&tP63k=!$jHC+xI%N6@tExgGBx@~<3E-^$}xO%ZfT`(THkpm*C3llKlv zS&vL6V+zptd*u8SCpmWT5NJpkc0Jpc-p0|vF(ZC2I8l~~DNe@^kOta>ycbOEWox4@Fxg|EA(jX*4 zdGC?@3q;!`8~KTbD7LY&_Kn2&W+oh>*`3|{ABwx!JVY|aZh}*vak3W)sqL}ufs8=A zpj=*Si=H8pTwGJk?`~{R8U!Ck2BH99JTNqY1E}(5mRbqJ+-l(U6iuXGbKL6b|m5?fZQJ28_7F6%UZHF+0C!iFWzD;hey+H|w{%;T!nZe-+7z7$;snYB{Zg z*z7L(el0H)K2KHFJ+m`>emfh{?YgEMYHNXwE-$|)JiWpje#2{cwAi1Y>QrVyvQ71Q zStE+vss8j*)`P=G{S1gR!N;E|9rqN}qZMxaMo9WpJ%lNo`&GMfP(4Prw#V1I95usQ zy8`iOt?sFNK5Yn9%8M|ScdwxR;-C@OJklyU&PczChF{_!5^f3O9$LIAAjRcamh^n7 z$r*D-u}L<#5G_Bm%=e zQ98g6NDJoo_8P#mfT`*zh2wEO$`qbm@JU&@E&nu@HlA~qbWHN+!I5xsa6OD+7?S@tQei@uHRCiLR_GNzLOgZ8U}P~@cOwiQX#ls z@%I!LhWo@%VKes%{UP*MyeXX;jvmP@kH5>9cb#0sHY{M8KDC?7I6VqoFeVv}Rj^AA z`mOrJda>x;!gh>1i=n;BKu2oAySj9S>kQ>!W5+v=Rkl9nQwiFk8SF0o<+H0>=R)y| zt1`(oI4Tu%VrulD!p7&bAgr5>xY+4I{;;ZA@VGsE?>7)tLpZ2QaWu8*b&bt#s4@l=iz?v7_=9ew44a0w#)aZeEsAys9?-Py+wV~zF!wX>mz+O zQ6dH)8(WI>H+QQ%mDyhx!x0Cujon2_ys5#tAtV`B%Y>ziXd$xLWT9PEcR8DfP@17 zyx^qJjL_!|D_5=EX8Z8#@bz07KyTM zu)w;!JJ5xY?!@oLGgau&Sj!r;Es*}4 zQrUd6#rwTS%wOR|SOj6?uKgIO6jLOC9B4azh3IK>tkv&feP2^!yTVov%YPHd$uGJzCsDfVq#EJa^e#90Z1Rvm0#h z2yMHjBa1U-_0qlcp$dFqj*iO1EesM6o&7D$6t?x>Q+*-#D3T8-`-1Zv7LdQHqffTW zqGr-o6?AH%&ecys%fJ5X?#CRr?38C=X-78+<{{`u-#=dS)x-@L*W*JouqlO{CIO77 zYxGA~?5Tg52>4f@Kj_ZZzX3K&=g3HxR2D8epW%dx*cFl z_9Qh3-_rlHd>7Wh`f#b6+kkd0lt=F`-jo9l+c`6PfLFN1Z&AL^)wrog+kH1(4{)Gv zOfj%qdPn8Y*+&&?@<9`l7!7DxkDVgMf;X0@9s| zC@CF7Bi)@dgwir}cXxM=bTf3r5YjR905b#6_^kKyyPhw1t(kl8Is3QcoOAa%d+$ok zFYffdF(`cEEu2&BN&g61gOR9EP?mFTXFS?wMorw32t{cwUD;0Q8c?~?Pwhx-m zB-yY{x+Eid$#g`u$1i-Ses??$wg>L16bNOB=|_7dpJnj<*J?SU(=}O{o)HeE__<9c zJ= zC%K;rt(S|Nz5H}l9C%kWqtNH$DS#E<87TtvZR1sfa)3bzTaZ2}&jIg7N>I9EQ@DF0 zb;rKX@R?KpqmwUBG3ylX1LxU(UG855oGzNqisB~zC|mf~3w z_GnGln6mk&WNAhI1OZ}9t)bJ1VxJwasq(S`R_BbVBeXk3`kR|yuWj^~pb3GeFSQ>Y zak`&c5CtBd-waNC^D0+g4<#qs&;v&0V{-r8*zZ}Z9B(KZnqW-M$$t3Q>tr9GcC~&!VGL#0Swn3+M=aLD_ z$*TO%w@Yf%#``?@!QYWH+#LQheecHb;_ur25EY1QnAtZ*eZ3?X{ z4&70;K%Tn)1Po1j;)K;rMd4*`UT(pb$#y$5J=quN4z%d(8K6Wx4j)#wHd~g4ls!<8 zv%hoKe+eL9M+g~oTZv=$=HY0lV<3(PRoab~5xxG<4FW&FGFR|2(>GwOD}JJxvto2? zJXc@Ry@B5*#@F@$?_Zq6SIi5yM0}PQ>eGS24}L^~Gb70;d35OG%=JeoKc!!t>vD`! z5u#s;n#N`jYj?On6G1ZmA%E7dQ$53wHfjLqPfU&U)WX~rFmG!B*0|vZBKiwPAnA$^ znKl5BD&*9j$Fgj8{ff3d4NvNU5fnktK3k#x6;gRhbVfoCK25*e^tc=6!gLBZzQ&BrwqyVX&Gv|7U8sff1-p?)0;V+f9%#BJ=n&)`bG3w4N_7!(5TRd(e1j@P19f5gb&h! z{RFODg*~z;(ShX;QQntz85}-1L1HX+(f5)7Ghpb43vmjkG7ro2#fko$2eni7z~5!R zOGdp$-6SX!aOntu&jNP&J$G?w1x6+W^`8zFJIv|Ta)iC9AA(ZRpZje+i)JcWlKv(;r~JU7P~ zlxbViv=mt0EW{QM5~|36q}?~tRPxhw>~zwZTTchXozibA)lnpY@>o<`N+hD6Pm2O) zmRZ8-*MCGK1E=t@nFi^u#qNFaGF%p5Y`vYg!99LuRZ99YgaBkt zce{09jAMGiz$|r1PMZxavS^0U@4n%$EhhPQ-weF^A&LXpywL%4Iot$=`$;wHlg??R zABy%NUg~K~-1x_zRdv_RGeolg_PgmZeDbNMQG&Jn#1kmCuvt`uVO{NDUoe#01AF@I7a-ucY0bGslQx6)wH+l>~PsZa^Cs*42Vrdql5I7KmAY2Qk4o=Mj$jb z*!4-21Eq5**uFtWbl_vHAolQ$mKb#YI2cCxQUAD`EWzXQyI4@nIpz~YFlu*%yNG%SqCRyizqm(t8}2!tl7QHCrRqODK_P?Es{ZiMNsvUH zJ?W|C;-_bBuV?~)rxu?gX5o0g-M0|=;qcWGv@Edv=O3repR2!H%5DC)7xY0xM~(4Lb_H*GE5b*1S`ZdH`{Fc1Agd zZoN@lZ@Gozvqpd@4m&{4l0tjx92VqJG;FpE^r+7lV{Q4qiLO}%K}5OXU(EiybFy^r z>o7ETC&E4#y?)Q-vi{xm90XDSVpjSTUCY0To3%rBsEl)w?e#ZC6n;GqBWtj|jjt&BKn*YV=GdE46)f(k;xk-GM%=OF)>wDd5KpIJQgA|dFy=j(K&_Uy6<2=?Y-laqPt z^Z?=v*xCole|9DVhV$+7rxHWmecd@~wP=AWFv)R<#i5@_pX>d?ncePX`^FSo+hq%f z{&#NxRCW-?N+WRTcrW&B@1BYn!ggJ?_v*7sQZ@;jf?N{UD zUt8dhp)ufluYw+Ukr)$w<@#+KQ*}?K(`P7kY!i|=A2)3fWy2)%LdJEE!{061W^ZWFT=yq93X4ZLr{L^p%k4x|96yH4Dqjv;Pw^b9tg27Qd zvNsYMAr5Q<7Q2!Ha~nQA`#OtkJU)1?7r!N0ipfdrY_8+B zLrsCfu5%lappqnk?OSKbES9_3N0l0W7oBQVzf9FPZ}^Mx&w}R z`cnwqfifd~i3zAJDF0}^g8KBC+Tfr5Y7=d72!lzC1cB;gne5!>+ms#SrrUyk-n;N7 zGMy^O?q-H=^f;k(2I?w3!6b2KkKmVoJ2~5k9ozd!mVjZNy{a8^Dg*|Ah%~YHMf0Z? zLgFVwx2To4w?IjM$izm4xB{^S>8Z^U`1053iX_wt?5E<735lV=xruv%PXBa!ol1*i z*Ul@_EU|O3+`*Q&j50sR4@4>9{f&gKt2}zZBzmi_rTt#5Z_H@{5U`OTM9Q}M37J@%blTInwGkS8ZCN-;-h=VH?Bs)s z5a(8A$=hS-i#G4pY_WHcvk%l~(ep`V6j_veJYsL!Cux$H1XFx|ZBbEr+TFhw7oQN4 zO@VI>yMzrlRz(<|oScP<2}k)x`1VA;$tl|oi|FB;GXv}!vTeZ#ph;CM51K#DSqIMl ziVWULzCM?E6(2U))+HLh?f!)jaB{TU;2e$^9@j>TqpiDGZ z1#!saYEg8TAJh4;O%wB7> zGl2~&o^Sis#juNf1z~fA+AUN%!cp%NoEEs_Hcd&@%dNFVM{j}MBN4trrsR~3hgeqE zBeHE91_NV(;^M#O+p0*;Z!Mlp%;BH6nI?}QhF(LM66g_;$G4pmYai{PBtf3?4^nq) zZ-587BZ;h(bc4ymEnD*45asAy5%JROzqM?S*CK-uW;%6749+$z{|!alDjF>!NPX`= zc4pp4W6tBQc^N$Fuwnhr3rFhpq|H;Swwkaty0ecIjcI2AFV}y+WorKM{Cw=3En?%t zeg@-Br16BZ;jV9E3hnXKE~doz)Cj6qxJduj9OV-la6SLKN?#bEO$il3hyy7vl@r#? zxAq-pha8ja=o5}(8O77y(w)4(f9KsWz1BR?>*bk?^OfpQXHMj*U(4@^LHr9QP}8b^ zz!9~b(X%lFMf0c3&S^YHw8XOEW%4|`HBUKv)i&dc=8rzRl#7*}-W~6BIm~~u56pxZ<6YmC<0#%4lB~9u5tU7b% zZefO#5_d8;f2{E<5gBh{GSQZ>`{Uk=Ek@DE^HN#UEEL)Pwonew+ONAsEv_%+tb@s{ z(R;H>5?iRlYvbyi3@5LTLG-1d@nAvNUw!H|Amwku$RA7lMF9Cp$8_u?){c3iPm4<_ z#5A|Xbau^G#E6@TaZz>X)5z1~-!*ahdk4mrPmXUKJr*6uJ}zJawz6ZQavG+ILdvQd zwsy_8!}1;kfH&1ke;$^o*3wEi2WAa<^h9g|%v(42jz?Xb&c_@Xjv)`A$!oBa#e#CN zA6m7`b94QRvmoM;_Jah&!sx@;9_OC;JJ_9V|2zk91<)Wd4cvC$mIi@7xfU5$Mrb-z0XxCG%32F=z&b*f zABlKV9{EUn3n)m!N%vbsF+A96R`B)`7sl}5zJVGM^3rm^xD%B~41+#9J1%^9kMwM6 z(r+4bC)_>UV`TazU4s8w zT7C$ETN?dI5n_0;O#d<&*7+WJO^<`Tf8W8zn2mU|zlb3@2O^O4KqvRd&oCN@AKcYx zC=U;LIe`?4gvt{3+>9cgxPOy`3r(ph{A00S!kWkX>?DNg4V`weU-7<23FwxiU!)*( zHzGmtsMBa#*z<-y{uQiuE6t{-=3($#)eQ0eT?PSq{o{>;$Sr&T_mcP(eFuy`UzLb- zP4@bXy&)j}`XlPg89s(?mkfpuLpez}bX%gcjP!usIu)_xm*5WsPPs^7cyx~X?(HSZ z^H0CNc~>e+DkpQoL`L3cBR=j6v0#7zC6dg66i#<47cL3fCVn?hz_T~@M-K`?zC50YTz zz;}<3=Tj~Q7-}ERGA?LICfXt{Pm#0#)PXa8O_?bh@oayijq6hbW|zL;(mut1t^RT) z%Rh6XGp+zJfpxjYD7MUqLGEBzVF<#|v8}q;gus|s;1N>!@wFHSlAjs}IaM14&;13m z6F{3S3ix33SH*iCqrXGcS;Vx#XSa;|8PWa*Ai&o2KawNih)N6(Y4R-wur7aHcPL*W zi?9w54yxtmq8|<+8YJ2eXq9^akPDonoa?EPM8`##zbx-pLISgR_gKGJIKR}Fa}Y7 z>C2C4paaFfY>p9$2P-J?A7Hft571+8cywk3Mg~g=;|jw}Wh1n6%Te0DQ9|(=&GQJE z84EPUDD>|mq|W1OpvLtWB}Vm`JIXM8RF8ySgkVG~<2``UpXI3@1hVH^Y~~VDfT+OT z>(KG;XUb;!0HrR4&DM9yZK9$afJ6hgFb5K51lu{x2h-=BgT*bp73*$bxnTF|A8%-x zJ9e3}J?bw-av`5tjtm)CN{kY(zBHUM+WNb_H7D<~4caLVh84fp$N;hIPT z+Ba2)xq-9r=HYB(`q2&li>Beu8jQ5-^yRP7;sJMxBjRgh^x!Ml7;ZdLV-azJfp@>G zao@)O=%M1R7^>6cpXmo)W@5M(-KLQvUHRauS79e|!O7tgYh3h>o=bt|Z9`@fw8F z25-|_-x2Mv3P0*d`bG?)5mEP%zHJ)zdr{y{lq9*q$H1p39Td0@s*ysN08 zo(T!fSm49gKb@vO=piDcbI|Y8vR~n6n4wv5+gB*SIe0sTqr(t057n{mwqjwi=zeYvFLr1Xg~um6lTeK;1FG(r8ILgg}2VAU-!>vJfuaRPlWfsyKJy zqEOJ#o9#Rj$KH>A$Q>uVMvB*-}`@oK!56T11Cm25&r)|fgHB|w53?T*ugKmo8o-M z<*m;7Xm5^LC&_VhYWhTjpJXJolavA@z57_B8**AGKqs zm+FE3L(GR7-R4mjD`PT>SUjEnWh+g8@pGS+Rbh$)#2Iich3=FOMl0eTWHx@Oc6uOt zzg9r66`-}JvWh3mhLYU)@Wx^0Z&M!i$6Dz~Jq9|;vp=CvzleU^40u8O+taA`$^Z2) z?Mk}lZ-}a9f!2-_W8<$?-K%RIA5J39X<{atJ&DtOnpC78JTw3DagOoy_ne6=B*LD( z`akuheNU$?&-e@K{jem$(A}+J>UmFjdTKC!@rYi^jvQmit;4PW z~5NcLAwCo>Aoh)ba1*slG-1>#02j`QaL}cx=-*z#z&% z=gH%}jziV3H7HuUQo5tDjXHVpz$x$$^`qTatU7_b0YbTW$PSaE9O|fi3RXw{MV7iz zeR($0_XKf(X20&C!GYzG3U^=7D(+P^UnZ1(nj$}OfkEmqr!uzAq_+e@{UOfYo#nqc zd)kaacV<9|No4>E1T>o5baJFG@-x&D-x3;IFD(SUnv=dRx=~aU8D<@@|W_7D!$y8YTmQTXE+SCJPslTnmcFHPE z>WPT*KoWFAo5H(hBQDUIaWF${M&&8Q9z8c!XAABL_2jMH+LNHaX+toL|NP32kP#@a z>P?5xU92-hW)WgiJ%BOi288_?Cup4}uQw_#v-a&_MZO26Mot?unEtdw9efv%z38vs zJJzX4D$sV?6(a{F5!E;qz#q>raNJbe$_h~2#)f=P zXbxFHM0<#BckVTr+-UM$=Zy?Yp*NY9@Zf>5oNkhk+g53%vtwE! zAwzQ7_ze8zLFjq#mvjde!w+9mYja?m#LSBK>+@v2hOoGr-DgtbOyOQJti-GNoMgWc zDnVljjqU1+G5Qmz=0$&lrJ0eyy#Qjb%VeTEs(T(`&&OT>eM#joV&DX6MdJ2^ExdeIOQD@Qr!L)O;NG>`-xMsJN#Y}}iIWd8w1L+WL0^FaaYUWpgj zLMH>m>v|hIY-7!$ngmS|kHmc~&|%lTE*_VkmPQ)_FS+(^a8@zQcn#rGq+Ywis=)?g zMWp%d=aG<6LYNQl!mF5@OI{zFFi2&x=<5Xe(@|7o(=I##+F_sPjt>!kO?BTKsG)f! zT9^daRAaoO;tzc3Lg- z7lk$RBXt8L61#)A@eJ6i774+ikA?wm*siwO1PhVG>|+bYS6^GINep$Wh5w2S+jzS* ze3rWjmblPm*h>l$|01@*k{x6clKMat%mWz{LkwBLHz<#J2Zz$ z{hwARh|1IBmx)bhnE8`>EQ^>})}Nwe=>wuJPw*~p9XvroN+2PrUS(#}r7P3i0V$fi zYK!!nOj11w{|Wx*M9)^IqS|iea=AKxT6FwZe;E>Q-u~1gK-{(V)KAT`YP0^zg4B}a zv@#$37!Dw#gi)%|sr~^ialx+oqeiRh-~2tLhwa@*`bw^Le8S^bU1Wy}S~gNgwpI(e zBdvO#>@zGt9k?cnhO~@k`ta(d4jzZD!d=Z`bW~Et6CWQ`$OrJlSiVxz8wqS%WSCnm zUD@NsBQ5ai1?G4WxZF)Kkc0bHjy^x#rB7;R33%y}+tA!Y|>R%Dh!8o>GQGJ78vJ>;$ zXg(%1;lqVbXMGq$`dWD7(nEz_{Fy(Dy2~Bpkk+u=q7>YSZoT}|3Z`a<#H_KTe;?^A z@N2@&-13~KAVw3e_2KQxQ9u+C%2$VJKV}kh&B**9)YQNLd@;#`+aC!V?74PjTtBp= zPSr@oE34}qcX69)&c&4iV3D2L+d&rZhcY;q=DMeG81dZMU_r4$IydwY9$FjrgxiUq%Wg!oT?Z(ElFK6-P$lwYZC26;qMMnqygCXA*(45C1eF8xt_q$XIq=EkEqe_F->>d3h)F0Xsl(9fC@E}Ge24ceouxHa zL!!$ic^H{IOvDM#_0@@nJ$UN`4o*GAb4q5&?($?!2cAi%?0T&?uob^4g(d|5m zMd?ApKx(qo?}uu$i_R}r8kM^$3Qnd8#+)l>4Cm9+C1LzLi*LDKa8EPqWtRh<{v0V%#Ps@ z9f!o-uR;KN{H5cIkv#D#9irp8rI(Ig@fctE!-1#kt#hT;#o0aXXhxw;akSgzcQ;3R zlVafo4`K~j)pH5u?2KwCeW_TwKGDD55U;qKUmf37&8SN=-FIt0N{lm0>$O>3j~@^J zLVaR?x+~3{=bZyN!H)U4v4H=!t+(|vxa;7h5cGG)_mMZc`fn>rIb!kx7s?EUgnnPN zyyjQOWDjLidMTZd)2(?MrF-35V-Ps_M&$@QCT_6xb4Kh86CDW~<2&nPqj#+$>papc zE3!9Ep|3_{n+2I14PReN$M)m zPeK3R>&gjcsNK_0L9EzUXP<|fMISV(vcGm}g}A%Dw*tQ4LQ#+vyr?k-@*lAghOL~J z*|6^h)KJVh7t_Aj5>>B&et-2%_@(0?>{u|M>Ef+Q(}goN+t?Fygf3W5h@8ez3e}Kr z5+WE%Pyc%J`SX?wQu&ZUCr$m$Wm6XEw>lLG(YSBlTnA1#zS4b#ffL)rU+ZqmFm&*Y zoI9rxhGkT!MuI#_-ak6tqmi(RDv4t{ZtS}jITE#phs9l|`u6)JviDcKBBJBBSR+VP z^)mn$mK~*ka+%bmUCwMf$tUNX(=wrQ2|Q_LgjY}ZvZ#3^ixwohG0SA(w;;{ztvk*Y zGU_d0@!z(ZlDXZ^4YrC-_`E{CmuS%qL=3aGmE`lawfAYNF?mB`y|&2>%UKJrf$j=d zm-$R5ivsqDPFxX%Rb$l5x44S+xK9}g?N9M0%rmxP!Z=RaDDby24p&-$!lFY|b^Y&n zu!3S(4_1ne#dyYSlA)kqSNncUb!ofd;>2+c7T&^p^$0TFyhk#n>IH1EreHRrCK($! zrYQKb-INl^!2A_y+wXuQr*Nc3_pdM!#dKl&rcN=BGJez}azq)H@*gex$gH$r4W1cP z4<26@f^F5G=;NPXpn|emBu=u)$!kqQ%LM~uif&b(W@||qJJ%Il#d!a2wqnbE?M0yy zUDH7PnTW!QPYAh4Ak*{R!24q%l6k7Trd@@JOg8rXHi79JdtprI=jvUd0~waf}9R|S36kTB}p2CH+hgP%QsUn zEkb~t-={umKv6=>MEDj?zS0pxr(dJ@PkyzbecPW z{zgW-gZ^y7*)pCLhS6K)_IqY@kYc`&@Pj$uW6$L}?}N_H**Qiwmv6$e2^vqWKOSoY zQw_{lYomGR*p$tZOG*wUMth@z&@H9_B?&eJRTF|})|*g6GqqU_w+Q~~koz~)hfMPw za#Esj{j?g_awn`UF&7Q{oxm0zi^Aj&pN}z%5&hl4fb8v&*lN$uE1ykoH3c7^>2_NE zXmBWtb7-wJvM}u$mwfI}%G}p-;aq2ulry85@aB2r)VQvv=UJ$BL`6LrSTeo6Brue6 zm+icg8><_w^-85=7KCgmdkAOv(4sQ3UA$5{4eFlZ@``x%SC6E8S&%ibq%|<6C3kM9 zrn4j_u7^&AhtHOL^kWRWH5k|@Z7Z8Sj}+zlqP660dr|BbG@+R2VLaZwh%a|Ry<;aT zwMHLjC9-2qzB8IBSmH4J>#W7AuQQCqeUApRMSix|xzgS(HBAwZhfhAv7Gy&boa|Y{ z<;IdD&2>;B6@;sHy(0Z4A5r#Qj)Csgiyl_nZBd$CsD!1rS6BN~y*8(gL3 zgr=ZjaTvs7n|%NJc~}VhC_R?+#(m2G|HOSuNMt=Eu(CNI8*|M@l`A{uE)AiuTNd%D zg=>aqK7T8xFK1NvwugTxPd#Z8X;j*+x+is?X=yu`Y57ynbQK;J+)1oY!A74btiCCb zrHu``zzssqgFG&l;Fa)ZOKrmCO07Fby(?e3tWEQueEZt6$%Gn(!g8bi3z|llM*?D(esS5@+Z(VnGHaR!36W(= zV&l8qX_+M-rU_YG^HRq*-w}?Ym-TwdnN2R%S%x`vpU;0ByW$XW!nS_wyop!l&-c@C@R-jZ;*RLH%8q%o-4V%<+Oqi^5k z?tD68dMku_ z-0kIZsPubdHN144CO_|S0*g{fnWK*{W@H5ou~0dji#$VBZ_vFE^c*SXqW^Rbs$HQrcZEdR@1)WY1PO~EVlVxt`6K;)W zwg*Li$~gD5`T?a7Y<9qNAPGOZC>(wj>_!)OPd~vY+4ihDPxVP-LW;8PCAswrF`RkKbdKMT6zEPd!-ru#e$ zQ|!@#6l&i^rh+XK5&{evP0pIz2dW`gq=QvH?K%SWS1-gD1Jx^Zi|ETYTJ1S@92_ba ze7aBi$ioBc?1ZBdjr(vGZ^k)VH!PJ{%4D-r`2~f0T$RFh*wfpx;n^!ko1;_hrn=9| z6=!)UR^|ikM59%xx-aD%_3K8B6V~hyW5=KyQ+8gv)=$Uc3;AL+8d10Gg?(emD!$Eq zsv0{)s|+Q}1Rt_2TZ;*{XrI!?-so$BCpk0#Qd6C9lSTcDY|&Agz>^1GELKj3ql{@pcF5v_!;x`R3m`1OfqFg0ej zF)d}a&gu!A#!o`lwQx9%-!U_xRCuE`E_$5&2(NWBFeQUUjBLI>(^8&Pe|!Hz?pBrMO2@2Amiy=y65564c~Fg5J1BQJ8STC$tgWf_G*f1` z&Uwcl_*b>#X+w4<(Cemo9SIoh_gLuaJ2KQA#2az+w;vm`js8it!dm0Zd9y+OPE@O) zsNN{L;+x&>#MuC5jU}uDknu2}QS8=)cVen4*J(8xX^*Lu=&&cxK-E})AM;Npj5@DG zXG>%uhwj8%#9|57W>@y?PD?9OZtM$xMfe-Mp5%?zoP7P}fkf_DVRN;K0(B40$hk|s z1Xha+0E=RVZoqo%tDLH-(#%#e6EiMDDA&PZ(CyRtf`>KBh&yv4ZdSO}#p3 zS)(-bX3GnxNM}S<&a68eCjt zE^|VtG2nNCj}iOW-a$(tHvZ7)bzJ(up@@tdVf`rOb?$!U`KvB6_8)2&F7rx+&L1IO zpcDK00PgzaJ_5A_WE?*&jaRtJZ%O z@e;ALuS{^6W6lF1YObxLV`k;P!>z1J4*BCsW`7)nlGhf`{y?C}>z9ZB<5a%+YsLQK z`5drm?MXS{nN7QPUuDCJzHrB*wuBbg&XSM(`U&}UML?8DmGv7)YSeTEos!HzW!(;4 zy%+wnBpbTCrJzXn)X@xF5xMdT3|WvKvWja^bEE5+YtwaW`+eK0c|Cqb-8^i~eZZEm z?{FLmFVEPO27%e6>6bj%Gmy?I^1l}Q&+n{G@SA5h{x*um!^_mImjH! zuD>G9fu55*+3C|~pO1O~B^w|r0TMgG0WRl9Kk@0Ux{e#dkFD!Bn|w=cGSv&)5~fdC z{Xhor85{#1^ySDw&P2LviwZDx^iM5RsCi;|DbQGPI!h$Yc6;tCCc;jksZ)KiUiW5r zVa?mzNfmYy$9Q?%Io}D~@>sShRZ<08zqUOly|Aw8%9szt%CoO$KId1Wj|d$QrUMXttb?W-*^(o`jWPcpShJPD3s=@_sFzb8L#D? z=IMP)K9Oy7M3_1bwAiJU106)3e`gUd-X8F@T=2=VtCzR2E`A_a(~BMG2mATJ9}T*@ zqr+9p{xIK3h>FPduWci2QFtE~1 zm-$i8_H~n8jn*}(veKJz6kl-}rW3|P^uJ8-JtQBRTS|zfgD?UnBPwA8G3&&}^jbvn%f77%M zBfMcJ_BKCW%k&vI_8}ZLrRuvJ{b@l8w~8+KhC?P&m#zq33e;{8dBu%h|FYUu%N5e5 zsFXLLIZBlv1NPZ~c2Id)JTXjuwOY)r>#^5U?{+xbGzsDRH7v3}Y}_#uDZ(P_N| zXC}d0q0|2O&os{Qa731$Raa%eGzz5vEn}aH*Ep#+(KLKr2~fLJJvR~m`vT}^R&v6z zS|*8mn|ZXEk(+#9^R@zHh}F0o85z?wu&~3NLsLwKU5%c8X{$EeUr1c_VJB`##T%P! zuyus_$IWY>&r(j+m{riO-@561&6^f6por zik30<46W=_)n{)UR=wx~d^Xk&<=_TRs7t(S9P^c%olp+zb{1#_CqB;T(j*|$D@RHv z^zJ6CABnYsp98Lba?AI1GwHm=3mc=IXI2L+|4n++e{R+g>Y2i<43J*vJNMY8X6Gl% zb3S_)+b25|5K$HuDYLI7p;2ZwJawV8GEjBa zy^&c}RppO9I@Zbv4>HIc6`|^uz&mTL1Ot`KvXqw5|9oRS{3YXEqqUt{mqz$7wCIp6 zNt;}Y_FUk7DR^Fz`&zj$rtS;PM6SF3m}?EQ2E4d%Ak|!4fTvN{*0!uQ>2pHl=h^Qs zG4+n-%7z`mYKrU8)+TJQ$HQs`ACa1b@98T;m#S*#;sX7sWqul0sC*!6)Xn?}oGmTZ z6|dH~$kEVXpCI?L<;$U)f>4(=h#6oix}82#Xh>63%Uv?!Bn332vA^6V9u_>$zzI%0 zKqeTeP`7Ajbs322hJ_xJ#Fh|6UzS^o_$yLrRetL0&wpOPo6c^YA6ma}ii)s$6T@$% zJDSUz%iL2#quwhUIn4Sdk_8hW${K1 z)cTvHEm~Ayv*NJ7;(4EYyCGY>jWI^?`?PW>^}rVi0rF>Zo46`($-lZ@mSU5p;eL>| z^rR~@*PP`476wpwl+n1vb^TJt=VI8clv%i_S2lsSJzXG8CE`uq+;Rv zL%US(dv#Shf)>QBZDm8>N(V&-U*^|L`jvu2WNkGdv~R<$+nw3T{ALe0!z-+p32JI) z!sAz%@gTR)RXD$IR>^&)22%haFK|=#mH@W1?yn;Tgi*yRb!e;*-PyS`wa5IUrMiCg z(dq57&%W$hW757yG(d~L^O}HXO$o_ppwA1Ir0xhqtm{qRtF}1S_1!*dubKUv^-`lw z3DJQPy27~sD@TUiwu5FYUGDFg~j5ku!___yQ!UQ6eRwP*Wry0EGlD9 zE!J2Indw&)9>4^JvLa`D1E$ttYVUZKzW$!Fz8T5Ad^e<5r1Z)P31$|N*T_Gv>ue%9*%sX~Ny7LR2RcMG*W2zP8TQpi`Hjq7G zLCH5}_F!q!=*7@g8Xcc|6i|mz=DkK%h2m<`S92OQ$7E*Rd(CjiTIN|6OJc(=toLsf zf2hBt8R(gm5ilZ(7+q8hE6w>k&6XK@E~HY*_G7yynTvbsYh2~^6^lvF!PhI*h-hJX z+u5Lc#uQyI%J}id0IHNg=ij6Xq%w+yvDs}y9%L?iTeDW4H47jM+snLC7;o-up}n;+ znOe1EJXu0$xFmKNpQf)rfd;*PQbgdVTr9T4gYS;NUus01yybV&SXgM)db-G=q8aPj zI~-qXuV$QQp@BcoB&V_SpNgaRy9+m!(9aEjerYx+@_kQBFFrnCRzzC{X{-GC(3-4K7B0EfhXHb(IQ|eaIqD(`MT?7B6k={n@K| z#TTq;Mwv<3{C0LZ;@JNETf->#QY&IW+ErCV23o6^tKaGKFs0cRY-qXE`Qk@e&+udb zdWCAbQS>s~WCVr3GaBTlxF1F5yENR!@F=HEr5`AwLJP>Vcy_Y_DE$U#-xcRV*quJ5!XEWquaGitICR zzkX+hr|O5#=5R(#TGRA8&vvsxrm#fIi)u0A^2t>SZpPI&mFcqmIMbtXyB{7uS;HK( zfi6X3uLZ_-^TKZ{j&x``wi~wtO;Ye)G+xI({ffi%CU4-wWxW_P&grn2|8URW^^dBy zFIvxosiPD>;bP8kiFNAIolHj_J}*dvWasm?l3Ov~hnghpY@QI^rnuRb3}6Yox*{hu zSkj&CxL(^&>3H3kt=wY6uXDyen+4%5IAon#1e_c(7qzKvn|Ktm=`$l-lP*g1N{iYi zbcS824-4iid`iB|m@!#BGdEm@`NAoiP0*OroEAD|a{`)L^Pat}5hV&jtIG+{B%XZ} z2cZPzBKaU+Wv;k=W8Mf3*rhI@2}k?ZMAAo-C#}{a%ps*qA-A*9Xe~XZUc)-aSyNA6 z^BX?)KFbb%#%(27Ps_tY`HlX;sH?e4YFK!XLdpy7*7Das3~6}FUyCwW^=Z#d+#*{F ze`b!6>9Pi=fj1-mJgE%=n`miiH7=+OS_rp^@B}H;tRx%`V-h9S4^Fzp*vPoaM_X55 z&D~7?JWrI%R%TSpszTuG&R2y`PH7zM4|^0vq^LV+F($BHxq7%I;03AH6qVoYagg@D z)K|1&Sk=`j=ieJ-7bv}T!Q)5t&p-R5P#V3jA@<{9wL`z70IY6dq1;**eefZ%wk0!{ z?F(7`EcJ?SaeKqFA}*36>WZ%|Y||N>mp|}2N=%enCoZiIKb+xK30)j$vF7Qu#1FX@;oZjHo6SgG=xE4D)gStzeZ-5= zx)EAxCCn{Mw)d_bwhkpt6B%RM;ftyktxe@l)x|6rSBMJpwrlG0L+)XKZPnRRl94|k z%CTsDF)zMYRYt>uy;%Y^4${Aam20-84Eh<`qtr(e1{L}uJ7kwS?|?CX+@x5Z=}Rvk z?<<*cv#@h`*Xb{|=XUIyNC9Xyip@I?{9ljnbthgw{k3^%HBIr?{M`AAsZA?>hkmM2 z8$NAEpR+?}9#h)MD4DR&MaTAD`M(lXg1Wt`!0fBui@Jx!CJv?1Y>6Tf9YMaW3#%FA2309U zr_c18fl<5}0#gO9vW)X_(0pD8H`2CpK%`rWi7){0t@!z5ko*!{m^)8d%@QqS zT0gUc-{R`=CS#;fEyKE2r=s^FubmDSx2PwEZm*m0lccYI1ewZDWVL*zA(Su zcZtR)=LgUvj%=UkY1PEdrHq@^>i6l18!A{G&csX(%jw8!)tOwqQ^TDsQAEoPlH+HH zZC6&-1}8bcQDfav-FNk9Es8cK<%r)buQ+C7UEUlnx>%~h&RA&#;CpAq+D|mAl`5LG zHngbm9H_!RB+3>1PK@hQP?pPRAQdU#69g<+40JA#Pr9Zb9AhvfpBxSCwu#hr+`7t^ zX49pxTa3IXgt1&yNcERU-Q@2}_Kl{pxw$9FZG-EBpW5xpuV!@g*%nUde@Md9Zva+1 z$ZhF}ue~QwuPfv%mZ$=25@m=9^JC&Qe#jrZSk)~cZl|ny|{NMHoQy%hpd$ z(W!9CUU8OOipzpNub^6Q^vd#Q^6}(l;{Kk32cnq8<<-=(K8MxbOL^DZkf&#Sv$MKk zsKs2Ttlw27*wYq#qB7Zuaw%(>wjPBG%hS_&*q=(1If`6_OXNJ<5SGZzaP^ju9IUdE zF2SY^!64cWFWT?~5&UiY3}&k_z{!bb(;!7_YnyZ5lJNXdPAp9np_|^6tyG^u{zMEE z@we9bcfXunn_9`50aYy9pBUS~Ot*Q0oY?UkemBzwGb%RLYR2nK66mH(CbW0e*eyULo@W=JoaF7eYb|N%Jq%SYc{%`-3d2v$HAF z8L`f~hmJL$lk8+IIU)sQBh%GvcvY!6_!c{MM0mEdPsh|;Tr4{WnB7WPpbE`($WD#eB>g!TkA ziPU>9?_%7~_w|$pEUC@gW=z!f!LG_eaqR9p4YZUKdZ}>mW_huO!e|LKS==((AlP37k8UB)Z2X9q^frV2ahPutTUW z_I2WUswcP5YUIJmk%GJn*-ODI3o~DH750yBLZ&FrH*s$5}@ zBVBM+^}ar%wOf``hsWoV8m*h@d9Rt^{$&C8-3w_V&?hIJ`Few~W^dgY65O%Z;h z+4}*j933>Z4DUK@v+JL&3@zy+OEY9OI@S&ymPLJ>rJ$7t<-)P=sU28?b*IcFraM=W zAa6Hxj+miLp(y=BrvwopzNmF|J57mN$v0_hFWs(QZ((Iu=61aEyk|FWQ6;))01A(6 z1Qj%oat+G%NqcKA9sN~nhhM%5IWUh3t!vd=!@%droi*v-#X<3oRms_Zw=q~fTw zf0`7-Wyw|ee#mWJl}j={@aI?Y&n-R<7wj&tz?TzCw zSAZ2-SnE?T5l{*2fr*Z(IoTLtk-oX?{&JS z=i(k0MX1xS*=FH`D$M{6^t+(R|Ufi^c zw2G5;hwAanSx0B9?k-gf$HU}r9LI&1l@4@o?Uf!o7;JVebeeUvW)7?7i=W?|;JZ1W zlF6RYd;j!!q?;$}T2+yppRLscr<3lJvxn^cb=ms$uwQg-dk*BN0Xy;%`ossWq|LLe zBJkv;KGm-ag^jQ3Im2k!f6huuJa*82L^*K6uXV+zRE`#bDm3Y{&wYj!od(0dGc{{Z zUhBQ6f|E409?pGG@ZSyfW_U+^VJviGpZSu(5t6YO;29P|YL!8X;!fyh+*sQ=TH9G$ z^(h0nC}WV>Y8(;S>{B!*_T1}+j)#-SLbujJ9rgL8RRPw%$IVjtq{!_*|FiaT`8fR= z(o6+SE%%C%w7YR|N!h^SH77U!QjTX^w*rXt97>aB8Op6H+xUNJ1L+_9Zu|kEz?B^_B|2irEemSY}g>X6y=Cn ziUAo+aywnmR4$B6^&R~h>kA4_btmWrIzz{L;T>%ra9@Pk&C>dP^uOF{g`p{zx`LZh*+wM zrPAoMtZ35vOc@W$TIbEqzgi!D5-VqYuWq-;)SFuln=QK*o@Ej`tr-F@LFnG04~Qo( z8d{kb=`(W8k+~DII4rEx5^W%s%8^qrn#QEiI5_E&rZqFHkOLS4C@P@Dl$)O=DW+IY z&g8QklX1o;1043OQ4H*r{=R8Q&i^a?vfPB3hZHY%&llZh8T@;5MYERcnAv!nZhp-@ znyakv_~_unTkyV;cA4N0)yh;5Vi!NG;M}TR@1$I=y3f--_Z{|N8Lgqegu+&0#WGNR zsZv|0)VE&Ue!i1(JY!zh^Cj}eR>kU4D!Lsd*Vkh~#GpWVaCuwv+sPTZh?vlva&Xl*JRLy>s zoG?paaxyWFj?-_{bT9dhd9IjMoqFW8C}#YykrTGajod4NGTp@72=XHF3SJ;NZl!2vGuPMPw4@s~{4tkq zXFTIhEcr0(YW{`>q*B&)Op$@){N+7$13*-q z!p8JP|71H=)B4#YPMb@6LSLCFqHmzsi&&J|-)pNc@t`~)yHEsU&!9ilVvPID3@36# zIZ?E!c!aWB*io+3w$wDaai=V8n(WG=5VJLh=sM6?h=iplR>d5zlEKnDeYtf6_S@vR zK6B&fc3z+VQ2pQ=D#L=hLF~hRMoO381ZMl)2d;3LvY)ebc3sk?&_N$eWUH!3a$KI8 zxuP@EhWME2WA!m>8Jrk&vF;2TMc2J*Zh0wsDoSN)?2BleNq6mwV^X+YL7B3+Q8M_{ zql_fPpD2?PE!k%=U$AX{FJ=3e8>9)%ifa6X31rIFebe!E{>}Sg>XVM_zGOG6^>zoL zVL1P{;1l7%aHYkOt5YU5NFO1a3tu>$;;uhY`*(m41w(<%R~}6ka^1EQI)H?!a23Gi zSOuTc^jpBth@foy>JN7Y*&DWV^-^VkW=m^JGSMd~7KZ>4D*`J%^U2Ytx&#%`M|x%* z0ce;Q6}_IQYM6hejUWok6i?E18m?fAw&&`WE>dojYTIxUGvAV$LFKB`j-K$O<_cWpMi4*^fL3@skc+1AyTH*#uZ+w06IQV7wE*v6&Oru3% zn;XF#B(vm%iT`ZlDD_(W?s10^?Xz|FihUdnrR#d@mFzITYIyICj@Y;;yYRiQ8g-E* zT8k5}8kSug*e~J&w``u4KOnMn&$dnhlp`I$v}AnUe^{Ru#QehJIzv{Ca2qu%#xDse zY`$s%(%k$@^r-7Fw^usIr^*?;z&OsPXys3r8!?4BO1m}&v`s#4G2Y#JC{s^(X7r5K zsPt;%4D*@pF4+#8l?62M(|Y$i9Wy8*rr2Vzud&%#`c~@FvqQmB&xZr??1pz9^~)-I zN!g~8`m;dy-3EoyL+%sk^RoL_##xO77Jepk;ejkPDe?;mWgkaTo9BngG7aJ%|IG{T zC&4SaRI=@;Uj#*%7h9ijW{x6CT z25m286Fh7^Fnlda{%d~8U8!;_xBX(4dz02FZYm?RQ9%OEjE^NuJ_QbV(Is8~n2muuR%&dgYo4low4`^39eKe(d=scS%ZqsOkH{i; z?ysE+|B%%;%ha?G+p4e)B5V12GM6EOD(5QbF__PgmSt=yke(BGcVGd`JE*J?w63=$ zIQ#VrpI>3~K;R=9g`+KE6z9t@^ISjGq+|?n*hq$Gxnk6E&`GnAP5}(*+EM-vxSl&Zl9tEF5hKkItlvM?>s0?DgJn7XNMEs^Nu-l@&@{%1F{_N&M;MPByNy7a@qC`p##`k#aEOh0Pi^{X<@!?*(oQi6idnv1x zIu}Fz)&-Hh2DYcOiXi!MpK2v6dTCf-$QQ@cT=YSYWOfw_MMk`PIiZ<00Q^zn(^oa& z!ONaNNU#{=FK;BMVuMGC{OViMOGCV#Q>LON*ie@Jo%0S#`)k`@giJ z3S-E6$*+}DD!z)#Ic56N--AT^DD(+u$j^x1 zDkynm@UQonA)4R^*X@~nY0HC%R#dAhB{|cA0)cG`+RmlmnGzM1g=C!GmU>n% zA(lxxH(}CSDt~|gZNCp2_fQ1gOHJMrVQZKQ z06&j@z#Fw#dQ(=ZOKG%3KYArHXWYHw{k)a~{q%ZTb2&;P4M>VIV~P2*P-ibomtO}+ zJ(+}qVx1<*n$-Hr7vxGptvkEZ@y8@NU?Avp<%wXPKI1DNl!a57%NY+wB6F{@g*Z^QHwX^Qh{L-lF-)i1>&6FPu{)$M1N znSdR;ngUf#>3`x1go=GEyv$I~3K`l6{*&2q9Q_ZqN{x2E+vXbaFxw?_9L!R;eoYo- zh18jtNvTmiQbsqjE(GY6m_?9l!jA(Mo z_MF60Es~5Wb|0IE(Rtmi6TvlJI6)Ow= z>RV;k6iHa<-^|ANN%@aOH5wgBb%w$*glsUB_?IO@W7>z03l--xsovtl(Ul{2Gb%mh zjg)`IaRF=Wj(tC&I=qWlkEF}xb;u+R>QIIi%u{?v^gQ7|(y^oP0M2Dl?ws+v77JP% zUE;CV@r~5UUnT$ftj)H?Ju?$Btsf^C#t{mwBcuG@(np?AR4cBL9%lMQDEgaBlb)5k zN2mp#?!Sh2l^z*b*uLXdU#zo3pMS0__d9JXEXZXbPZfy zRWdO{rB$#H96MMinMT}^3BReH?@P~*c8ZkXWJ&87@2dU-*GQ@wqD=%rY%SFI#yj! zrTxQzXNPCF?a#h-a9>G3x6TQ93-*{Uk<0K4eihWyIJ;nswknrU{XUeNx92F9Dql$#?B#W! z65JeAchQ`Y$WO4skc1#A{aJwo)%X3_Mxa$$<5nAm5JWhE%j-|2&e`m5awY9)I^}J= zlf)kym|=5Qp46Ngf~M;7B(?l2`k%&y=~F~!_-x|GJN)7TGe7($_Mny*PZxczcO2`G zla6F$^1yN7x7>U$?lII7GU%@=p1n8!R6is*zg)vHLYqP*H9(}0@A1VE zjlWI{!}_=IAGW<=ZCmpb5tpRQ6y)1(wDU#NIZ$F2N5X|kSlrIIXRM9hG5Gki_(e1Z zVH_qMTZnC|#*Qwsi|TUS0A`dWh10q>ntOgyG>%VZ#z7{LIkkrDU72KEM)lEFqcjRnUIp0j!aO*M=?HDO^Ye`@rJx z6bs2MN}ROk$$e?J580t2R(S9`o8%9cA({9_&jtN$iWjPrn>dvX{f*SW`9?|>4V8w} zK4@e-^;zilJLwtJ$H1a#LM6@=o$K2gWppVXLsltGI$K&|tAI)EsTKJ`k8@q`%6W#0 z$?C(Pr~MrUbcBFO{Mr`nEBc_>m@uo6mb1@|AM#3ZjWr7dl8iBn`1hcFegTUPM#_3X zqy*p90ARU7+&8SKvkG#dY(Ct`WwOjj%*byrP07g3_p#&a)fReW0QP(L7)f*Gnq(^< zy!mwhGj~UiGESE3$wCV_io66FIr>Tc&JuM0d+_FiRNF^vX0t4Q(jCEE7hO^=2N6PE zB=7E!_4Pj)qoR^k^4@k?ocrBLe4X_gj;RbWBZge#wBK{njar;lY`4WG68(5loej*c z3o%{WI?`5dGcq+U;1Gz`=T0{bsROWp={i4xOt0ebxIwb+n2n3*AB9$mia>z6Q45_O zC^TurNv@O;mIX5~ld!fLr$?`s@exzQU5XKZIIJkM9rwZ7K}qQ{I5_Cj-P{C^jb}(9 znF>Z7PF3V{5_(LVszYT@h0TdZ!aceX;VXvO42wJfG1VObOLfyB@wb465pa5fiBu`! z!p9X2=L+~ne9(M>3kS1iJeT%XQ%uQE_9-Saz*h|l-CYG(pQ~&lbhe8sjHYlkbo^@KPEx}^Mk1;1y0K2?9Y@EJCg(%kxy#@y1Osb)#4CWRCK!D>mXM)_S+ z^v^HhUN@$RyMr1c|K`7<@p=ujaepv3s+4(|x1?NOGG){oGce8>t~%JI1wB&;G5FQ$ zQbj%dRC(h6Y?>hr#W}(`yLMhsC*hN!_fbOFPXDtM(^5w(a92G?N9*0&-&Hzkr^rdB zuXv-_*EpCL=~r60=BR)6zE~~sERJ@q+blC0)k^rg*jtSesbjjneEYS196KIb+O1QuLST+18#89|Q4v7E+p2(4{DC99 zI6Of~N{itzgZP1bc>Kmre_+pu#Qo_{*TEsc5r<--s)6iJn&!Td_n=D`e*WXimBm?` z1BV?|!xn~&+c3&^;K=y)jzby zEQ>zV1-f@#2Db-+!>sNGuTkZ;)d3gBYUzs{;ho?u$?O=}H9Wxnt6)NSxmZ1u?mPCx z?LAvT)=4>3!+iBfwq6bX{Whyf`pTrOH7}{{a&;u7{k^W?5H9iwPPETCZpW5&E??Oi z6cf`Sosg~+PqB|;D6w_h<=f$Rm^Y-ZFV-h`H>qJZykPt-FP%kaeS> zXSOr9>@-`>D9Pf2Xe|(LBOLRRrO-8$@oe9@QXQn{&A;@QCH!Ah2LomBfs(beMy**a zlSqqzZ#)1ednLGVbo?_hU`WJ(Vp^S?8GL4Gs7dRXK9;y|RC? z?G%r>+<4p7jqBA#Y;zX>{y3H6}BnD`XT zxpygjC0>7(V?RA}U2xUjuygXfYs^|vITYX{yf3yt#!1kFs*B8cQuG{Oe>Ue9-*L`o z8?Bt(67j6gALZvdwk)wvlt^lucgwK+7@bA?1#97fJ{h~aNa*GF#nHZZ=a=&+a}UG8 znwmlT;G-n7;QI7a9JiL&InD`3(4J@A&sUfhet9$qO7b=85F)a!0s2(@W4_HnIVHMa z-R#HOw?-@`otT3}$%6uvkZnsd(}`h6b`HB^6rBNwOb4+1v81BLnFl#91>15X@z#H; zTfa;&*AGYJBPm}#DO=sm{`bOq1$i9S5E5yhF7`7vCiUghL$$1hr@ptc-A>z568J!&i)fjp zeq6t#Xz1I-;rMk_izwu&-!&FQdcBEhqAPz|q&dIcZ8-=0F4BLBV)v1$8I4BWJul=& z^jyHyPD^yrc!Tlg55u*AGW#Q*%}V-305AN6BI@@vIwvcT=M#*{1;K?45=YOO5>r=O z;@V#WQ{4N}7u-ykz`5_))e%A1{zOBDDH8eE!q7#gTabA}jy&h?iIpA66_N_3an6d2 z>ZztUe1XzzE3IIXh;I6ee2!Mo1IIzA9N6P%dYje6^!}zT_n*wyKk+UPEt(~!a@AAn z{YS69rO<`lbXGy&R&69sx(S=JROwPim(L)5;dQRFOo*zPa;@WwevP(EXzXq_c|GvS z8Wb=`9-c6;7fBHq1~E}NPD!+g2SM?w@%~Ndl~f3u9TSbE4|!;R7U)Vo40JQtm)$x ztAo^ng}3u0Zd_ys>7NK!Rn558!@yfE4EwL{Cors7E-1XF;$9wDxWqAAelsZ_Oo$Vr z>-=}nsAk+$c~ETEs2#e((VdJgi>FiV4?+>-MO15-C?*&^T&G(nx1*16GYllGBPb^( zQo}~aSoFVVp${OA4GJ=a-O4px9#}OM@>=<39GNb%Zj)s!M7Hr-Jr7iiDjHD3_d-Sr z{Vd!tkrRNQ-aY*TZBm)*Cfl^c4?uad0>WF0gXOujpB;&`5IrNK3IpqF75h|LRh}$1 z9Av6fTx_+YK8nhc1-yS?JdON_qR8oTvX}BH{rRh`4E~ZTI(=gwGF6r``MZ=n$BX3r z)^TQ(#NS;OpfCDVS;=dgAtjP6B%#cIDflMO`1xJ0K2#N^gfX{%q}A6=XdeWfFdnG? z##htUi|(TjtCFynC>!16+OO5o&@eJ&kJ>v34dG1IYj8!!Tw&U-7A#jvc2UfHqquY8 z#V*zXh_4)t>^`N?8vYv4L=5d$c&7XEj%O&N?P!5s*4u3Am%7p+lA;A;5(aAxe;~#9 zW3R|<^2{MOGCI@5dt#}=Zjnu~?~^>?JIxW7yQFdJpFfBQ8*IwX8UFfVF zA&h&oo1Yfw$CQr6dHt(2-)3)WJ2^b^VVseedMZgwVUm3sOC@*z` z`C*~I@tU1QwC3r4?-BOXwTr$uw`Y7n;$CHBvrz#p&;czUB0aY#(V;2y{e^9!;Pyl% zO;n=WfoQem<#2XR?A^+_(oJ)XSVvgobx#h>*$GXRVZ*K-tAF#X5$nCvZ~uPRObrj) zlZ0BA0QiYRpa9zblqbXjDIeT@&NlldL)}*I`5RGBlWU@iE$5oQXzY}Zwpf0+b!m2; zb+2K=onov?wxf1?Slc3*jqgk2l1jwGo4~H%<18JZu^bmefvJ_Fj>^p$;XKoR#c&{d`mcMguLcU={3eI!oJ=rT<fCR-yG8@hSBKV)wO+hixl((rjFftvsIfL;G;a>`g$GKE3>Fx8!dxe@sp&x7E-S-mMeTf}!JQg1gzip_I^B$*F1yH|4 zX>y<&U7bASMmdysAFr_6f^g`UcokE1oh^|&l}n(@r56a6N;)YqGFu}q_IH2oKA?6_ z6JB0WsX?%fK1exN3IY_<28zACA)G_=3X8}9cWSli_eP08V|cfPNEt7u*&kYdysWYL zoq80A!rrfs4E0^iAxH85Md`3oz0ZkCARgEq7jf{=^v37Y^DyctV%&?aJ(Jbp4Zr;x zgOImKm@B_zi`DX}J$HQm1iMS-jP%&L`ePm2HI>?L7NcdsXIokJvWw9{^JBu4xFNFA zBHfCPPmpBy^It&4!i>3&qBqZI3l;DP1WzB1_i!`f>P=vr4^yL{_@9`B{++@fqaB}e zeG^cE;}hNHh>rBS5OniDfahWP-M1OTUK!la@V#(2r3&Wak^^1Ai5IZkNzSZWhhW9Y z11t(Pps+`PQv-NW9=*_o&|nh)PiAPZ08}5$CjfN;rt?EF%XI zDqJ|q!Sd||OoRivGy0yV@s;>=^|LAcBPe-~wQDe&|8p**VYzp)(&8@B!8X z@TAfm>+3;TbO*D6MEd(gF&CY|b-@diuqq%A`Xia{LSGL++Xd_toJtAH2GXGmQCA-V zH;{y&eLXmyzd!-#uq^jb_@zlBik1FbxBMQ_M0G9)! z3qjj~xUOK8khMP*$vSEbZ0?6=m}_;EFjgR+Ti$c$aU&=I1?GCNY;Xm$1hk;P`LJ6D zjW)8m9_FF0HB!K&fK%>ZVo>fekPT62u+JFNvjNnN4I>4>UBSr|FhZaK1uPZF<_-=* z^a}xrki+f)a0>8&0MrIJ4)QYG_d*KmrL;$cIRN19;8#~L02(ByOAI3hwBSP7 zDDA0WxByQ-FfAozPB;MW2{s8aIu`C^m^wR}Ho{!{0D#kg#e}BTBdl*-4g(R_s3|*E z#l3VQ&%Pf{x*o=dohhOVVZuZJa1<~X1?&gdO9+bJx4s8dLGmjHiIBoxDPUiLd|nnW z~e;IU;$+fliU&(&$1|FhYPQ z9(0TnMhawe11I+x9|OySyZ`hlqx#i@MDSrafEEDk2GBwU^8k1vLG38*k)d`J_O#F{ zN|+@0%^`#y9OMC>0M@yKS%9}*qlZ{2Gcy2BG^k0gwb#zFPvlwpbiibx-$!?AA?N@^ zbRUR|{`eatf&^;>c)o*LQ&i)?ZP0}XU>X4UN3a_utPhy)4t{%a3m6H?-2vhvKDL2G z2w+tJZS=<&5D%mW22=vG3Fuy@FOT-y^jjPvrWS>zu;fb(R6Cz zV+2SG(sKsX0@n%X;@CHWo|&NZ=zfIIXaL+690!1tfpGwEeQ*e<8@2iz=!AMA06hX4 zp+BmC<3Z2F&^bz&0}u-U-vGYCfUn4KZgf8(sF(osH8ghiY z*#V-51g!P}&>qu3gng4lLKlD@caNh&v8GO?I0F_ z8UwwY^PWYfL`VBXu|3()I}u>(05~$ZMwv_~c?d+&p(1|E7kRcnEizsxMBX7m37Z4< zy5x0pO}&iv!O@ubr-&e)9^k62)>x1c^8cIi`V4Woo2~g0xA=!=TR<_i)s4Qucb+Qf zj~}3f%4D6%LoyVwL?E>%SSBRkZ(lsZV*yBn42BGVlYno9pyqvfhktJ!cl~~X0uW%l z0Jt@HRS=pFaXbJ9p>%eGo)KYz0Js#GiSpht#Q$wZ_28}{0^lNG5Cu#Ki2g>U*0)b# zPY%tcgz*8rT)-xv(|uqN>gq^eE$U-;a)QvWgj~vwnrS20^t0HZr`KU^@EH-nlMdPk zfIEOCC}531TzBwPhzO)l6xTBY6o6NK3d~3J%K>%c!s-F=K(LGu6umDC0Jj7`3POE= zEa;DTx&wVZNW8Ast!U4w6dnH+G6_OkA?zM9@M|Sbe>bUb9ZI6gm#dp0P}+5POl!@S z8cKyB-q=9$U=x`+*=N_AmJ*&GXGm6k2_ovMMB*W$^Tvgb3b1S6O`ll1O9ji+hk2e{ zUZDK z2DwpQED(%G2#|uf5mwJ=3M!2@u^bg?oa+&tsWC$~$b*T=!XZ*Y!z`LfCM?38;al!JT@W2WZeGBrz_?8q0+S zGRzwBKeIx(2y?Kt(?`Kt>_Q-g9#-%(OK^e{!X}7BeKU*#!FwYdf#C}>!fVI-kHWGD z6hOqMd}M_3nqS+UXsTRa57iqgwPBvI4XP0F!U>t|M9uv0ez9>{zDCFfPVlRu>?I%a z=I{4NI%Ej1QSUdO#6O~YaHG_+2X{b1YsYZdtI7*STULD^h~*Ut_q~3uiI1!$s^wOc7Q^zNLAY&&5My5;VEL~j;5I=H zu$`k&MePv6-tFD}L6E;I=^8(fG%a^0^^fM0a6`RAwP=*cYl;{{Ikg z8zIYRkgxm%Fmp8TFjP_7U>W^QS~TwzR8jk2TILHHWZ@MgYHo-*^93TZ@FJ46YcZzA zrY|Yqi=ezjV(ELWK7$$_n=%Z{kwk>gu1M1bsR-O-atOq8OrH^)lZfS-#)F0y^qWwWloW-KcpFc_Rl(>`_to?B1M-{z>BIEZy!CRX^*{&q+D#_FGI!1 zKH}Y;JtbsS_yqZJm+v@#`}l_T&s8kCU|`nB;{~TNVSKAp?vu&(^oY zgRe0Y!*v;j)`bFI-m4F5xS`UE?}tAWoUsiHiU@t_&^6XoK-EcUZ)W{gn@o_57-O*_ zLo}jkQT-sDqSCjn(KXZk6Q9Uh@#mT4Ah@l;{#@^i^zHTjjEILo2Ok3#$eqJjvdvpp zl=Jyur2)mwGjiZT1=J>U-_1+?UqG z#rgctwuigV*MZu&^N*Wh%{I7w(T09bCxt)Q*-?Gxo&(GTHH|Y2z}q-=k(4}wN~Z=( zGf(C#r5h`aQ<+qrVZQI{#*%b)l3C+o94S3*cmj`n>0b?3mT&c*%k{?}`Y7FnomV^d z%R7kX*nYfJ+EKquJcNL$oo{T?Ij6FZek{ts3IhIAJFuOToWnoppP$V7K6f0i?6EwC zuwt7pWwtV9XQ#pNI~<3^(mg9S*0?Qhr%MHnnuLvv8?p~)I~?_mtEA5NLpq)$yy%RgjJx>cv#x95ZrgCLU60~Jn%VhXBa6~QIoGM zfJjuWBY6cNVw9q+P5F;b8npV~AAiNsgPUhR!#bBW=9W5DgGE4kEi+{W)#Q(cn_^#H zIWZRzwif#@Nxxrg=Z#N0N-5=xl2?qndd9EFCaWbTq=LNux|aT*Pf zNN&95ZTkYKNtK^a9!+O-p1MPiS%BWzw;Y!&@9Uh;TLJw{zP2 zK;i^k{sPw@pUW>ZiKZCS_qLM#)SXm{v&r-=%L zr|GLSTrj`gto5FBu=2HEY>sr8OoZe+=8m~yMXU0xxAgqeBF=qtSC3|AZ-yrpwv$T_ zz*J`?A9`5mj*{kmZrqf%Dr=6e-F&;=aFQYar2notsK$*~5M4so+3HmD_WGX#MR$8+ zC2ihNlKLFIyC(mJ!}7s5cz{({A@6@^Mpd{9lQq$Ee5lGQtG1xY+-R~?KHY)*QWdig zl329ow7}zO(t(V85+ zYavWg2S2?de@JgBBkp(u z6$VNZx&0>xQtM_8Ka&1fc~D!@%@@tzo0rfJ9Jm==Fz)&OZ^|j^(e=2ys#tAoEd7E# za^%TsYb_C~e;|CH3} z(QYs-OSLXN^16Pq8VQnsEDx=Bp)P1eKJeHt( zcD**&yLq#fk@3sXe>r>8D%#KE=|OwD7;{>;zjCH5Qq9wz^t}HDU0%ImQKk8NMfqte zX~II7Z>U-7kQc!-b9#>6gCXn7n~{^O^@YWZa-9_53`Nv&yrs2R-<`TX2c!vaH8O_b*>&$EV$@pynJt~F zOzCz=x>w)VxYpg;|7y6HJUdWZu$O5fXyxT#h<-}`z)R*p%;9-?=BRO$2`itsSI@s} zP)Bf$^!3Tbd3ZtPhuHljudSh3H}wjJ*9{C=DCXH&CQ$>vm5XIPIU(?XdpdOV^4&m2 z#`i^vCcn;SkMJs_bHL8l}AU{<&I@OJU!^ zPdO2RwP2O+`IY{w(kfdHz!5>bmYM60%oc`5!|Tx#!!3udE9S2%zd_Z4XOA6aGqLiP zd2kgM;vJh9ZQl$mi=?plt6eRO^7M1%b?B#c$r-r| zX|@p7e_i9w1Ov^*hcqehP|3Aan4SaQKe5h;Rvh|Ov&9}GqFO<+S4%eB34v7Hc<5V5vS9Tq!ijjeV&%)b7CGM)Um|X5g`NY@H3H#|_ zhuGFy;g>N3>irbMw>G{Sr9QUI+=|@)cMMuRBkh-m$N_!6@3Y#R}&j*%L znumLPQ{o(hn6@Uw@1B@;mc>R(+X7>@r1M5&G$XZUD|E1Unx}cByl4iZY7Y~aA~%L1 zjFyfVBWRXmZfeFbp@L5zfn?=(&paBpC&m)InB)vX$VfPn`uRuZWeK`fhNZ`w9$%_H z4>K?8+37wnf_LWMR+k&H26U7bAy<;PZ^>Unb-sNk61n2jE>>4Z=`v`n;vaPL%HSz1 z8a|1++CJK8p%DiR?wVbcEhZzX0M0)o^drNnOd7cOGLP`+C-W$%oYA!;re(omZOIeI zk~MycIv5$%{aSeT7$fVyt{TE%b+fd__??MwFsY~y25K{fV6HHMw`b(Y&gpI!^cG8| zdv6zU*!i$&a{onR)b7C6qk%k0vMME;pFWMjyf%h84btats=?7NqYV z$9Q{tF#kw(5jZ=TY{um|k`@+@GfMboI6D>TLvHQZKmoVxS2GTMhwFmsBYaI|Vl+cb zB{eNDgxdMh|I@*TgyByi-i)#SpLMRuxpC{dnK@fs=r#t8=rf8a(@(MAalhwD$P~4D zh`LE@JZ7%~G#(ew47Q*IO!|&xikjV+YExP*Y)Pw8Zfl$f*$7gh1GrBf$<^$<$IE7H zJni|740L~g%zQQq5-HQAMLi8V;6RnZl*S-LOFeYGO%&=#@UHM5Q{pvdMV!0ZGZ#RD z?6_Q5n#X*bJ^$yLRD#}?@Z`HqOWoz3GwL>h$u7IXC)z!U#uLbbW*qVCp~{7^HKfTo;g|o@ zyKMIdPBcxdaunqR%hyl=D&tE{S@Ur9iZemh_5ypI5<^kr9fRsCkHLmV$mmjo#N_{Y-x>&CoUf9AG2_5jb zN=%p&`s{tUFo&@@zjzQ|^Cg;$1J0X5nj>-v5>lm0qq-7!#L& zIbgSvD!PfelgJ|AwXJ?Dxpn3=v&V@Zz7X)Fd9-@Iz63S<=sVi}*j3vB` zaNYn(#=daG5}rY@*4_Mp?3~3#@Qi`RKZhhky2*@1VH13-zj=w~osarLz!V{aD!5A= z?RW-Ccqd!uE;FQMW z{F?=C{hM+3xztK``#r|>G`-SY9Gl{&SGqWlpeVgc_j5!S82qX~`|%|Kc#r(VedOk` z)k%7#gKv`QVY`RGd)Jss_jof;{xE>VC_KslAF;qA4e(J5Ji-7Uv%td*aJB^=W`K`d z;GqUM#{v&Az$YwlxB)(C0Xu~|*8;bNLOS_{1@aB3+!%yzgl2716*l=TNvPP7PxDypk6Q+sCis2LCKA7J_eqz zjduP;_2Z^wyWmxa3$GkgJY77Ou+;c`EETcP@!Tlc0C;K)c#P&!8X6@_@T>mdY@jdt z7hb=0DdG#>fMhSo6FksK5_qf&81lABqfmIAo5~$R8O9Vxx;!*>N+kQ^81N-zC`b-I z#ynO8xRsmAuidH^2iVueOB5*I{X+sX9kzT zG0-cGcg51U5KO$UG_*!n1+aiNF5|DjRAzSzY4u$6PkcW={$9{hMtrSqC9A%hXRK8; z`fl-S%yag;J{jo>p9bHRd;J3|eAM8FIJjBJ7oKML;4T%=YcLQWt)x`0`ls)gL3e$A zS6zzdLklR$@sMS}RR;Hm&t2gu9bL`6J~;&ue3vfueS7xSf0UcTt$Mc73Sru=BB z8_$>8uW0Lh+WM?|sM8=H3u#3^@t^3e9cVH1lA%aCaar8Fx!=z=vQr1zlKJmEuYODS zYPY5jE4a^n6~md*vA^4P7XOqp;oiocHc5Wb{yCI=qF$8lVqhRZY{jPQLQsv$kzIziC#PC{-9#@1${e0Qvv%$UOlF4AT<)W?OyfjA{LAKwC&W+ zHSG86`nlZv>{v3bTx##ir=3^W&&RSz7S6xaPuVkX%=($6=eIffnMCjYz9)KDy%qjP zbAQ_vxZe$)SC}$BVoI5BX`XXspZ2@i87UZhf7Irt<@40*D394~T5|etcl7sHZDqC?h+@?alW;nLh9xM#qmZy0CqsUBe8)#pBh;@Fbp5 zJ<|7A@tplG-JN6j(5{0reiYB03HwAb)sc!=LUNzc8P?DOE~K_j{J{Xo8dhVL>f>bW=ZeS?wX zt=xYK%?*9FzMZy?@u(eXBk@QKs3KJ&q#LheJGy~gjV-&hE@h&(cO=GVRfE6 z-TZzNX!kJpf8_VunET7QxA4*g*5dbJc$Bb5=>D76hWBcJpWycr{8X=O zoBZD;V&Z)o&o+&GU+2DV?!Oene@FU6#tdZH3EbY_8X0Zg{sM0AWxjt0^vcgs-0M?y zNuNLB8GXKwPnDm>b=k`8d~;9pyVmET<6rQJtih+POP3lt`}=$jz4EDcZgo$`ciQeI zKPnU5D=k|GEKhyv**8FM%j!IIaS8O2U2XA}-0#n)zJDq9{qB7KI^Sh4F#J~S^JrwS zGJYi{qw@BCzVBu7b3D3uKlf@&%Fh@1E}h*Q8ht;H&%EznGrUDFeQJkNX=pCD!K85& z`qN&K`ZLk|oKNvqKPMVn4{ZI=7_9n!u%T1Eyd?JhJ>d5@&xw!9^DBHm(9o+LsZQJH z_u~7z_^v)m^h)2Bp=4Emb{BrvJrPWU-*kVOxz{uI;y#`S+1x}UU211Y_uA!AAEEnK za{pECmEZSpFKiEJ8>W4KicdRFmd!=KU&QAmO#FTgoj&;&@ZTJ+AEWOUkAFfwyJi}= zQETA#Z6|W9M&4&>j@z5|b0_IT`wWw4?C;v6wfA|S?2Tm7v2U6ATOQ9z zzrAOL_)bc9_WQWL&2%x9E`oM|z2rKz2Ocl{e*pjh0RR7Z0eqERXdG1($4_iplYYds zNn2B6yVb;OV$zt<1%s{0WcKdv;LZm#cSF_>M*Ac-f*%FZh<#B+6zPLP1yQRgc_^Xi zi=p(P6p9a8L7|VO4{0@oN}9@pSIYZ=7@1M_Lg$O0-XTP1%3a_;jozr_hdTBwSHjb7>#Q^$bNg~RXl;H zRdyn{-oXOnuqr_y%1}SO!deUl+FhZK919wJ$9&MHQAfZ^`y7;W+$qI`V=#9=D&Hi@3FvGGFA@*BRHp$WW zr2}0DnPpw}6WE4Nrtn93Y&%G>Alcvq~ z-N5(oV%smr(|*1>b?%S3&7$~FdJPBa>i@2dtqk?I%Z;_MH+ARaS-VqkRJie_FAv=# zIt_=noAQWM(gp0tTb0u%HVBB1SnzxoOA=5M_*ipXl~(S zJgvflWvj&_zvmtj&UmrmX8nDx6~um_-iN3h+!$-PfwD$!+^86recO`GitLhdt(z0a zN5=(jlbz_~zNBaX*Msr*n}gZ?4NBoOY7-%XKN~oS${N;^J^aLYwEa(m>Du#X~XbjqFZf;Mvcr(S2(HB)Qv(g!d*e+c@=J*bpXNwsoZ!=<$X>M@1hG{Qjcc1)aoQD$eH-s@`E(MIYbcg zC|K4GkKF|L`TWw6A$pHL05DoG@MN0Rp7c``05xO!vUvb$dwyaIeaFNx z7EZJTB%KPkP7dX^QiLB_Oj6ft*4TEQ`{#?-_R>4-4+3&~I0Ag1Q~+Rv8hu(+`R2wR jtNhiykJ?!)a)JB<)M{2YljZl1oyrjYb%6X200960HiX%D diff --git a/FPGA_by_Fredi/incremental_db/compiled_partitions/firebee1.root_partition.cmp.kpt b/FPGA_by_Fredi/incremental_db/compiled_partitions/firebee1.root_partition.cmp.kpt deleted file mode 100644 index 8376a16a777965d247e2be94522a44af87095a22..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 209 zcmV;?051Qm4*>uG0001ZoTZV?3c@fDgztTdArD}G)PrDq5IpEf5%gGMyJDzKVv-7i z4{vKk5fucFJG1lcE<3KY%gZe!uPJ3lDVJ+*oNz)(hR!^Zv2BsExEC`Vo7QdYQ(bxi zvl-T2!>AWy(uhNe{xCw+50gQdL~(=_nUg383tm)6u$p5-TTDwoEen8gMdw^AA)U=F zIk>a#)}kF5k_9pB1)0{-DZBVU_?q|*afVBKzw1xRPMMm@A2E*v?`C_}d+#E386;T`-~j*t zYM}uE?EV}4|K)0?PR^G0b_ASsj08fimNq5?%&ZIq49xUQEc8qaj0^lk$yzM;mIpf~LgbN?$|Hv@>=KYQRL$4RK0d9o-$A+*qKxDfQ zug@6shkhpi-R}WwgdJf^&=$B3_WvjU-#Uc-zd1GoneQ`W{9)+7IR}pKLHeU;-QkXC z|5dJ^-sB^#9V^wzT*0*})had5T93Pue*bw+zJ^sfui)mV-GNIS_u5v$dT*1|*;lyy zqpjVCk^2m_tb<2<`jh4e60oZpsFW=;%l>48C@bYrr;^ynS$miwqPwzi9eRsI8;I{{JS88X!M74JM?orv> zw0|5h>%00^xYqC1j9W`~=)Lx#G3V}&EWhYX%UunsZB+5H=y%I(f9^WGpNz|2>}rvv z%4^(s4O^{DjbyD*wOgUbzO0^>PC)-D9?PHK-r(QlXN-8yv_gNhzC54T>aBVCE%Wm8 zP|teIIPoe!tf^W3&C|H$<>qUE_a5yQIPYHRxqP0jZfuQthp%2NSk8GpmT2STXzm1` zm80+c_&#i2?FcRWZpu8aSk6W=zYuCe&6dyG|1#J_3eGQSk zz3ohO^!vXuq+qGzGR6nqz zbFVmUZEo)B)Ux59>K!rHiuPqSAK8_2pXc+)^qcL!@`bYV)UoROW_aBo$(=rLeALRr zg#Y;-$9J};u`GpBr!7_4+p8Mjzrx?9x>a@Th+V~v(9=_ux=mEsx6?n3+7353fI_89 z4SRfE(|*t-FEZWtGQfUcHNpD1`)p=!>uu9^NeEKWZm;#b}IxE8O5m7?7E>(*_a3?|p5 z^YVE7wocVJ?3-()4Q$D7TP|OEtbT`Q<45N+slgnz-!I&|mjCpzo*xM8@^dA~vx=j8 zoR~uI!M?u2*E~IAi`L_J;qk+o-W_i+!aW}DK70)H?Eh(3iuf-Q)l6;I;=_d7TWUZt zr%UTKhP6szvKjR2)Y-RYzrfan{;Y_;#G2>&T`K)U^e;SkD)zoUT{q@t`-CvJuxxHr zq;0d#b`YCba|r9R$LOy<2{O0MpV2Bs^SSDAs#mdgMrYmH7NXQi8}gK~D_nuS3RK;- z;%AvzJ9n2`@wfWQ4dD<@DqAUU_h3c_)w7{L5-tWEDjvoQ*X(nRD=6@B zYvzi4K%+Zg?g%NqT^MX$ezr;obj{~>^)PXie7lAIRyE^>O1?Kt0!PiW2GF15cVkHQ zw^`eIaNil04ecFv@ZKa9VdGF6JC3ivLBlAXuo}6)H~rx2T1qdOA;?Ag7`gYal&WoN zdfE`~0|ZBkOBDTE?%4E+dBW^Pt~OLTrE&G#8q;@QdsJ`;-JL~e+(w@V%Ef6&;TMxn zq7%4AT3HO#-l`*~L0q|VYlaZ(i@+fxFIi3zQxm|ttZ$y=zK(eUx*!R_0sKX zu(to}i;%dY0?)_o+v^3uO^N*)6S0SDProJG?LG@d()IaU1Z3_cO*L7wYwlKp96C-} zMMDK+iG#B>3G`i)t4M#Tp~;Y4r+V$~CO03Z>0>Iz;nm){1A@O91ray5@LLG1#0+Ta zZ;`=Hz>j4YP&2X7sJ*IZEQhEi2!f9W3@f#Pd z09at!M}^4q`?N^a4heS%^_Qnk0W+Ir%XEMLTQzKp%jvA)fUN+8*^r1!0%O#^f?X_w zIDqco=$UZvVN8Xm%elaunc*5+5igY1!?KvZK8uvBb@}o?qZ*9S^q#!z=_;j&fVzPZ z|A78X5Veq}EM$!2B#UWm@p}0p#@Ss!wcm9wAD3Op9iew@UXf&NK1CZfqWzc1VauM~ z-F=vahIf$r(~bo5aPhCRfhjn=taVTBDM^<^tmB&y77>j-YmPS}H30~eD|gGX zkMX?yM9M>P?y?FW&Fj#bJwZ6^Y!-9&*Cg?x?1?5d$7K12U(RazV7UFI;uKAj)TtqN zbe|K)Ka;yiOSh1vA9am(i?MqMTzq^3sP}D2P7k%nqGV-RFO}U6?aCXJhxk@S`n_J6 z$MZ*s88XI!Og{b!SU`FPS;K{{1WaT{buQ}1tgubGVH_*&R9Nfsrq6^_+NF01vqbJx zb!~~DOwr4L$)Pe#j_#+j=?A)2jYM})qb`LFBn}x{=uER9jIp%5Rx#9S&F0lL%?548 zn7~inV(+w#04FJ;$?m><97^Q|y5vfhgU7wGv+0M9BPvkpLk>gWI59C;4yy>qKbG{u zpmIZV+dmVIZ`2-?8EZ)}h@oT^Vht*ynfr4T?AMDB`>en z_o6M%yMSOugi^S`#^0^+_9Eh?EWo?k)|wRof%MF|y-(-i^<`s}M*#!NP;HP=Gl^f> zViiXXfP8E?*_UwH!xrn-R<5K(b;s8_3@~TG(2GsEyU!8t(z#|%Y!dh%E!N7Dw75R@&d_IE!R%hPkqu}Dy3tVVl`MG8C<3H%fp{VYwgF)jjG zdj?5WWBGBWpg1Y1bp!AVrBfzr418w3S=8R(Sn>CJiQb-9z6B6`q6>tx<+?j?u^7nd z8p-&gVD$Z;1YL{+5~Fb*gXZNf?c+bq+LzW>VHEDpz%<0OwgW26s2UI|{bIUQIS8H` zP&`!?d^9w9;*sLjT{6rxhIR*|H%Hvbfhr$S+ z+_H#-tTZ6@r1A_nc}y%Z6qk~m=adkY;;e%Fa-3$|#@MmFn2i9lFm3ZeNiij(4unTk7aCLtYK zOxX#9sn;8I(D&B*N<+qMX>L*r`2@bjwAbzP12cCe0Gx zWeYX!lSQ!=Em|)9B*`#yAvXDE-K)6?+m$`Z;^jWXiHY*zF7u8WWKiIns~X z;eGtA`dDk6+}_Nbn*5uWd(6C4?N62r{&e8(AfLW+JLj8MDQ?RhYpqgQGM4b%>)_8KP(Xks9E86NVRnLf8g2N1M4e`*@5KsLprd0D; zS#=(LU`1eclP*%3q@C-e0roRL#--Iy2v5=O-I}D3HHskeJ%k@{IIQP>s}2%=34<;b zZo0m8er$INPECl&aqX3_BFG9|U(;f}p*rAaaD*#hTiMB`w(jQNc|J~`Il_(JOYvy& zIzh2Ys9NuHG=F%1jS1OkR9)seG(lv}rWIR(BOJlJdD6!F)0(Y)=WJDrJ!tC8g^ zWmq?&^;Cnr6?erub(kaVj+_s>&c!m-%C-e*ZSwBrm)sdT(KAj@;*nP~;B>LOn(~0- z83~MFUG>Czt>VtfV*E3|fO}?Wto-S(R!&=m77X8`C;ST95mXzy<@Q^Mfh88`K`;44 z4S>~Q@Z}YJgi&wgRG{Thrc54`@d0Ixj^5f^l{8*U(cf%gd; z0gl)_5IniAyoShO=1jD6KX7VP*Qc4+vtqh(p@a~?R-esbO1iOGkkbW(?F%<>HT2w3 z1&Ib5$=Kyu-^vO^UrZtcvl`8Zee=aiNOuI*U#G2EqHj+Lx5N>9X^>lM(Ic&d+12rj zl>+MZ7rGXsHHKdoJ2zg`=#b?;jP~a7YvzD>b47$wKmk$qiXCZd<|;`V64C(#_^b3z zU#X5;7qxdKQ@ePq0_dJal^+E0wwDts%wT-1(Yc}VIBZkJcB%~zy!8}sEE{{Wj;jup zK%7Y6PoZJ)8Mi%S=6o97h&x4sQJ^ynZR^9n8}7NIjy)K378lWEjvHkhM&BL0p}$18_MA;WV3C}9O$FB;KsFS9aW zl(;F>0pmhbd>S*l@;JSW29~hPRXE9%<5zzz{b3&H$bYPjK%W(vW>QTA-^8m|&QRO) zTwCxMQhxf$KQo1yV4ig=j893M7YN)FS0-RS2Ys0PszAV9h&-V+5*9uV4>8NpUtDVb zafWnr69y@klh7cC^y?Y{dxg|ZYVe$pjzP`YBuczjQo$z=SH)mcivFaf^8*cE2M*(Y zE}~=@WP>5>jLtyqzQR9YZdRVY%f4)_thCkA|CLD|pHs#p9A@<&(1{HPsz5yE6<~5W zN>Aif^EmHYsj#(WAF!rt$7iYPfx?aAT!ZeAG7*130>bG(znLaTu)-LXH(aUkwouiO z7I3HvuQ|-WpsH9fZfq`*AYcKFuZXW@B4G98>aX4{GJNG}cP??Nnr~ikWSfxz8(rnO zO#@Fj-;_fq{;dWX%e9Yp??J-*7&7H28KZV$S+ zQeenE&cW$Kk#SZUY?&9-r8kHg{B?!&;g9QqA(owVM6N=qu7MDhDzgjHIgOTGH%ua- zs&0gg@QjUQ#S<-IxP|)h(BX7DrUJqve|OT#R7N)!3TmVHb6jz{M*oygS~4N3_NH3A zOJ=_p{%9qc%H#8eDTRhM$RTzfbWef(COVrxfclx0Q&lY0>Qolp=@8K)QoA^7kea;g zc8$Co6K|rOB-7gu^NVo_6157vIOQJDPNsf3v9|eb!L)*Y-qcoqc>%CDt!rz&*}Jw$k!s=nBZ5F6-8gLp)e zEAvF6oFL1w5-n!)v>h0FmfTc6hl$`{F>dza<2k1{FN9-oZm^8F9vteTD*>KiewOi5 zI;G;tCr)0{VlMIFQ9x|CMP3pjgCSF#BN0zr=##zH+~*56~5qEzD;w-RPRo z-3?4{utguDe=2vqvC{T zrbyst(!=j=*b{Pq4D`VMAAQT0t|KRNcs(6LC1jFVRrd1J|1R!mm zaI5utO~UTcJvcXer)i&VUO#i&IcsGmk&*HL`Fa>^8`|hsT$6)X1rI5#uS%@CGyOB* z4?RIXsuVzIL0rdH{fBZ;P6tmS=0qaSd$uq=Dpn_{I0um+X{?vhEkpX@l6P=H5d=ft z@lQB;x^%iC9)v!&OX9w@`j%iwvCkzbM@iAuFO1Ng;%fjR?O>8EzgJa2X?#Fyjjym^ z)C=3SUi*#~EmY1S`DBU4;<94e<7lw1=~*Pv>)&Gn@U2EqyxBYAV~F~uO@B3;z*onx zUP%7G5t}puM5tf*u|vzPa|k|V$l5+(h6+>v^tv)E?Kg-KD8g;E$ZwNLQi#4N4g*YY z^)SMr?%%ZzRCs|(8{5@3lGb^814)RAm+ZuJG0cV-KL*g&pcAc~3ic|s;?0^BYSHW$ zs6*^_7u56;hnXfC^q7V41$637B2tlc5o?dKsU^rQfhq$6h0lO`F{>Fp1fW)a>!RSu z7$mxHS>DZL!w03nu3$cW-&BG^2FHwm%MW?NEtKK`I{JCLyo$d#w_q-d5TKfFs3=NX z1X7Z`&Y*aeTd|=A&E3T@3$C`E`3*vueFQWRATAKxUitb9HcO6V9;T{vu&2}rx2egw zR!CR72WM>ABwtZUo%A8VX#z-_lv=OgI%837EviM_(IvP)U{K=xW zoPmEuuh1ACEx^O2;4CV~o&E-oTr zuD|gjaSgo-Rh!6_I3$>4ohb?qZi(Kgg9EkwON8$7n3pqNrroF_=?2pzX@Cp#hW->d zgC-FbiOb}UMKYF%p49n7fhzS?wF8hgNVpI*Xd!6(dKw7 z?9@T~Vz|vq(k23Xqvre0C~c(8IN3hu!sDj(L<*CkP5_eX63{>M**uWvQa8GcQ*=}3 zx6K|%lLWtc%wwFG)?xDLj(wKp>>R?HeVG@0m7R5gV3NdZRG zrsYV=N%FHCd5XvYsw4am`^}~swfp30v{QEYV?R+3d^Bx8n#bU5>@X9zeu&Or#~A~` zAAFRBk_3EkxeXlK81kabW|13+Z4;2`cXx0^ltV#Vq(g1$A0hEwy~&501#7%bQs&;_ zbKGM)yNwC}jxFdz<8DW>_Vh)UjIr3fRko^CZwr>~y`fT$iA}~XPk(fe!-@;xWA$HC zmoo-_JXRXCa-kJype+HDoVJwZek9Bdr7vVwJUq)ee!ltBvog@~ZsI?LhH-pXOvGZl zwB>4%Npy`+ct;Ct`SfaxD@@0y=zytM$T8_D*an;V9IAgR!&>jTRljY!d4z}NXghT4r)Qq!V_F_O4&B(V{_LuLNQ1w)4IbNc|nTEv`6QZ zLEKAftD=aZQ1~8pc;RXoXRNm<a1fx$%|_mig5N3jWQ6`CdpLD0KjkpJsJypVAgP3bw{XOU(pSb)6e~C zzCR%oe2o_DitC`XsRXYE5qa)_tX0Fdv9xdmVeju;7c-H+NCisvmYu#} z@)9@o_QZCtbr60gosBblMYoBErsdm^{_cA7F7_%DuLA+jWTeO-YZ;JYk;540AjdTJ zMSHt&o(`;>62k@Y+YBPa$)>D)oR*<0DyOK|EFI-)dE6)Ci)%+Fc5!JG;5{tdFiz1b z(3vnP!~R$uh5XE@_A9+h_m<|+aWk4l+{Avt;@Wgv)%*jw3AcCqobxJ~_NTbw+IC}W zGj|?;1d4D(In&{HSM+|1n*(26S{klApd+7)n=2f>hPeS7NO-{s9Bbc$=h_D~0pY%r zl~@4|rFLAObYARr$Fd&t?Q5^EJTz1y0FMJ{Hc_>61OrmGY_0v8hj-r0b9GG5Rtsf> zx_s%#mw!xh@GzbcFRnd>M?|YI`(X8PVF5>u2`;_9K$b1IN2OpHo_|iQORk&(GKFys z!Sgf!i)qWfCViIUPx@(%$|Y_q))O6Tj_Dp*bED3T%K)Z|sS#-_!X>PubrDgFo5etV z4dZ*DZT6_|G>dm_&co{+3Ck(I{W!>EKAQQ-nkt-R*_67$m$PQky@`aOuuL?QCjtHf zI1oZQ05YPW`MtAT_llD0&-$!U$PCzwxVdpeH`I>X&rbH-?fbj;+DXs`8|dh9;9|zj zUBpA2VHqMGJxw@V5@NSfbu#r+9yWe)=BQdMhjxT2s>~K)g(5$stn;W_SlFF-_+1Ee z^>PBU?0%Z{luu*c8#$w!W!F>e=JkGE=emK3o84ne#wFw*nD;0b*-a-~$#3|ms#OlN z#G{8ja(7^T;!Fb$MvGrn@Cka#xy^rObfuZJwd(g3&^l4+Mjo6ABy_rKg1sGMvHNZ#Ke^eNl}ie3-FC zQDSe~5+ICX*6$5qvKpzXS8HEK3naNDy;l60RcC_6e-%X99-X_$Y`yLsS>8{P-cilb z{zG#|^KJK>t9h)io~a;QYkU~!{uFRG2OMn(Jc~nq3Jv3a^Mk6(Gz93^+0&KaRWneH zEWn1B3fFQ(Lt;Yc+=qzigC`||KBiD+@D%?whOEPf*0ls1B7cDJG?ihn? z5Py0!AKNL64&=+F7BK}4O6U-=itTht=p;61 zjaf}ZRQyYIgM!+_P@*azPQKCV$NgA{lXWCXRHa&zT6O7|o{SxTYtxSF?9%J1VAbbM zQ?ax?0bmUMgs({JNq%QGr<2~D#v`~^O^?uF|G}{yyy(6Fq z(lW=m7o!*wM>gDWKV{LAR3UZFgy1HZ#i>ZTB$B7u4hkc)_y~HpcNF{4u{|Qf$+hb_ z63jrLmC+9Zt)jMWK)WhdsjFv>X2fsq@pvcNw%IwtrQUn76845o&^Zojx+6#x#&fUb z&9^#X23Lu5yEPs7S&D+eJpxVxq{+p_heasuV$HRWeAiN@)U9lt&Q>!DX8l=rM~9b6 zg?gVn@)u1Eiwr zrYfE;;ZcAr5mGbvKlU0^DGH_%Lr<4<3MB6acEP*ACFXN)@F^PWAY|7?y*o$ob^@-o zp)u%K2?`GDRT)VUDE&AK)nOXqjLzCXkkuyQMXGiL5F1E;8InFI1lGgxcbgrw-?X=F z6)eI*+=a!dRTCxJDqt;$iE459t0l$+$rGya+NwiJl*OhUS333|0`1NJfw$EjRk3!k z=;RGun6x2QKhnToW9|jkAT~H-?p?&j^IoBFzF4pbvu_HV=29UPiUOXFo}rF8xb~?> zlvUF*s_l8Mo@L!=hTfwu&)77H6U!@^NdXv@rCKH*(0KBA8b+>;DxfZ~2d3*xitoGu z5&yYwOW4VgXk#8?(wI=&W%*PqLu_Y{v@U`j@+yussj6e|f<3mq?WSlt#bp zdo}j!OURAlsm%Cq^KWzO5Au%!j(&m@_0?Tn4doOif1IU#FxGLWq)BTa zNc%)lU`Jq9{7lmji<3W}oI>lvzP`cNIJ;nfpHAU-;T47Ez9JrepS!0V<9@56QNQ9a z8XDygsFa3>fZNw{Nj#)D->^zV0bf%bgr#~7aQSdjgx(##K45v!BdnNb8hH_>2SLRm zWqDs06}vFHPNdM_ebK}17?Fr2vg;Rd&p;clPct;HwR)*r+15HTUIyIIrA`@I#^z*y z{O?UB)go=>lF8{a3b>xzcaK-J5^Hagy%OQ7gnkl6#ciF+W@<^@Fjk6?diX`@W|QfH zvCo<$AU16g6Gxy8DD$E+NjDVakNVbo1n87p9%gihXJ3!@2@ceFp?qlY2gE;Z#&()# z6xRvHi-QNEsFq5<6(i^g_30Q|+&&AY#O-nlSk5@xomahkCJO>+1S+@cUNHNx z_?`)sZVQ<;TPxj%1GjN@I}lu-La^nI5qfjn3dz~v`W!4Vy0uV>kC|vxsw#zVo>?`cZv9q%jVLW9R|ySD{oCmqBMPOM4*Ca_xsT@skI!0 z2Vl6v9_?RlFboFppG1qs6lzxh7$P$(J3J6V6qy7Bk-4v6DA!5t?b>pf=p5e3_U?9hj4-+&G24cN_38E^(D0ILn2cOpxF#&&K_v;+!X zl*1}5TiLZ4w{0tJi)G;3O=AMPQ4JXDuRPQB9N21|m@l$1fI0qGpq`PaNY$>;8=Mj9 zJV2D~7r0i8*RU%$I}#)Uv;QgQM0At%RX_K`g@VBLymTqsW&$~V(ik?Ck?Rs$1jS4^ zK0i1l88KSGogVk1G@KeL%3g`mue(FV9{>_{P3{A#Ug%JijBrMEa(Li{3@CIE5YPq@ z%H08C2l>-mN({;+F}wYW$X{DPm3PIgb{M*eCH`X;BX~@hU+3qYx z)^O$NSN_mau1b&{zi(lE1FqJ+X@$-hT0{m)&D%vyhOKP=*&lEWlVcXja!IAI$s%|i zj{Y3UwuJeheWo$aDVALn-nAzfKL0SWRD2}2cY&g%woQ3*$|fzV5ZEa-WkIqNLgyfM z*o(I+Xf1$ZhJ0%B8gqx*xcT5x!`2Nqlu1nO#Ff1*Kxwm}=>G^r+yG=aR}wK`N5xsV zQ*;S-(Isy6R_cr%oujGp^9VVpA?H(^ffUEMo5jfBBkaH~wU`cST*eqp;QC=WENGSnSP0SUfE zQA9#x$?m*nm$(Flt^rI(U>(r(Wjm}9-&4upwR=!7tOhh-f{Wk#D^2JB?{dYFRhq2R`+f5BG~<&3Gzn_gra}TBVE}dFY8^Q*sZ^_k06(+MM6_s`b&C7DI^9ooeqn?t9-TEZ$w@;^MHMdajjoBUPdA-JL$WVw zD6(#=VyT$u2E#)TRf=d2`rnx-&!p+& zZQCX_rrt9WuC_Hx-$WxF0vGTGN=@h{pd6o3tn7R$&w`cDjqnCiAi6IK$iik;181`! zW!6j3UpWJp5|T&kFCP1C_M`iy^JmuqqsSTz%dF$XChL-vFQYJ}Kq|be!SxxQU11s=|J>eQDE&Vsej9A6 zViurwc$>Ac@ed{1gSn7w%><3`;_<0N>EzaFNE8xiWhD)9v~}HCa~0Pprfk}cg+x28 zQf>v^daQ8sD@5e|XX#x>;I=}YrkEv7oWL$<)5japvR?l4rof-egW9j*DudlSRYcpG zrSf3>DG+oi^ves(Y7@>=@Bw{y=3g%bT>OL=Y84>u>vEAERQrEd(D1#s2X2#N2bs40 z3%J)Nv~cBEOsSfq+W{uH0gR-l-y|&?Nd?-BY&v@u8n!b1+o$~NEV%DcDNY|Cx`5Ao znWg`hayakwHKN6bbSyTCLIms1S+(2*W|k%5{)@RA2!CxUXXGYVrat#|IE@Y4)-~ zngm2rK*Zv;@Hfbw()oGwXGhO>Z^*~;bKk<2>e%}7J7V}qjoEpW)HoFISU>d>#fWnT zkM?SonzTwLC3e|+_PMq-8#ZOIowP?3=wcjG#6=QDeF{SiddFUc_6l~qQ(}O*Vvy}1 z8E#?fo9lZDn@J6Bfth$NYo_RZ$11p@PLk&rEP-WWI=RUioMZFuuRs{EXTN6l*G8onk~=k1R58%=V?dE<4?+by z4%j7>nd@>|eRf++#(k3iS@2fLQ#$~~PaRl33%3sh$sEJ2o7R>^vu(&fw;WGAg%uML zfE;V%=$Xa$=JOVysOCHuZ?}?bM8z;zuS(F-Mu6di8Bx!Ww6+A?q{FoSR)QmiSEN=% zs)$Ss41fsWlog85V2CFUgzG|T9`V^_?o0Q7mPnR~{Kp*UiDSEG8pZwSu%1F5>`msWkES`tKK0=fm5`W+wS)64Q&$hD>;&9F^%;-jC##9UW z*I8};u{SI6j()lBi!uwz`kAt2w2~_CtD!+xEXGWo9iVEAhxw0qL=B_$@hr=THse~b%i6!EdHTMRB0!5+jQOIX9SEHKc7 z@SF}`)*AEY-ri_+m=uhTuv@DlAzk1@k5-~9d@u;|Svr}$j7WX*u@(Y;TH#zQgZeYws;4nxVD-<#Y%M=Wip&<#(#M+NR zc;cLQR68AIQrb`a`V9kh-kVEYC*9=Mp6Szn*n8m_L#L(lS&CtJO zx*xr3`Q?}jWiUpOpv22W{<-zS;$c%7Wu#BjXk{Q5Kc^8Qbjo>RsbuX#ew%viWi@uF#Jyf{S~NVzb=&PvFM<<1@yW z(2xAV=HdWaHfE==aR^mA>by3I3pcCkHQnuJi1DB9)Yn%#hwB%*`S9?26_J7?wr)` zB>xhV7kiaVDmyk-%ez}C)C*lwyN6n=CQ9@SYs2(2_Hz|?!h*T<&?6y2^^)0|OTQqk zOVv!Vb|a1OyY4Mid~6$lvhn7L|IoSUfb+@v3#FMlIX*tF_V?EqZW`ewKUnb0w$I~X zbwc1C)P)3~`QWs1sduSjMR4bU9~}-N7Lc8VG5@rH#H53dDMnwNe~wd~g>!X@ON2D9 zrPI`^=hWtLI$wmX^TByRDu=jZ-HKiDr6={pWHrpOK1OuxA%-6Sfl*PA{mhbJ2Q;oL zJyjVu{Nx(OO^Zc7b0m%eH0iH|8d*RE+R`Y@i$|D4k8@%O?!X+7kKSe699<0oMB*L1(1I@EPb=xE-aOs4BwTyn54@`n zic0P4OTbrQF`Z%wI3k4j!6JgTBCOoLAeB)srp}pnsh0L=#1qXNNXm@}99cNsyE1qS zv>=dA>KXEsFxMnqvoR&P70@Cz7l_YL8BBx$KU<9R3$yDGnaj!ep;Z`i1_(P0z2kn; z6D#y>`G1Yc(Npw-H2K8O#ADA>GaRO9LX;lU8#Qf*{?wJTMsG;NwEHkzii!ObuuLBX zvchQG^H_+hv*C0|&goHoWz|=*v(ngPyAuixJ-gsQ8}QhcS6I3SIC>r9*tpAo$W_gn z)_?}ydNBQm3b4Z1dLBJ_R}z2Rj)&=Y=BOmvNek&^xcM1I(^OYs+j^pby<9yEb)coD zRK{5)vO+A(OEW+aYgn8(M*3AZ^uLz>v}bK4zkdXeq0_Lp zpod1T(>wyZKjsn@-u4m7BbWKSWjs%z-HCwv$@^UIIF6Rl<;N8*M8M#t2xy;1Cd7S)GnP9aa&6crBU;JN=Q+$4O%ch-z|Jqv=q^yDonCcJ*!S#Ex#o?yhTm*E#6`ItN9`gg0ivT-33j_u5Oj!p7X9 z+gQ!q@1<cXZod&_eLpe8=Mq>VPlv^{x2Kf8>Y$B+sAJIN4RDQG+1`wbRofhX9D{=BUa zr(J6Nh{%&OXGw-)WdmE5`9{rVPg&Xk%m$$g|H)6snwCa%qvjl+9?`lg6-!McUq*Pk z#LgCJn~JryNm8dze)nGvZUVx56DS#?k?Gv7vP;k+%y8|#jBc9yyb@j^VJ1feYpg-* z_59W-aiPtQ78PNt0?xi+99<~SrVAKn4o^CYGDJ|wyV}^f(8{%Q-+wOFH2*>Fc{VHm zs}ZlyJauZqrMtZG{<24R;Q%Dzv#L>c=~1FxqXq~%b}yazWP8PyA{eT1ApzfAW6#YF z*x$66bh?NoB^LmW0x7>VjT2vpt=Nc0P9kqwKJEseTHN$3n_FPblQ|(0|}ubTi!VlVuDnkEDf_g?e)%d^^*HI;?Y=@T95Uvl>t!z5!hm%NT|VE?U_uWZC&c`W zd{ywSgI&O~$@`(D**vj!0OU_v0~ep5Q&+Da$|oSDg3Ev$H(5o$3n<%X_6|96Pr=(y z1!UeK!&p%1JY@I%AfMcj&>>C{*Dr%o%!G7WH|?k-$J zbOkx6?+g(6-t6`524GA4)xD-iym$%G%_BvlJekvCt=6ucVY!ydqrsxDvsdRl57@B- zDNkdy!W(J$-oxwnKWaTzn}j3()wLB?=F^Xly1Vg2uU9h>_%cWglE$@6M0>=){P&;a zyrf;hfY_EHfa8?HJEyxttyo}ZBrxPfM1N#f{H;%zG+FI4zcm$ z@{^jHy#&Ksg@t4M7XDIf{lh zIWO(|Y*hQ38>KCjD|w1%_K}#)9h{Hg#k%1MwL=`t2j6Fr`yepJ66H_SXA@^+@I^5! zDL=l3NO%!0l**M!9=TmHTjBPt^m#9WyKEbZUcMN?W8&U7WVA|j=H?}d<1N8o@g zsHS8`!?>-L>3v%y81*ZuXrwT~EKs4vjsppvNV1=|1b?e+m@{wo?W&W`Nz#e$A~rp6F6W_@1QSF*^_a%s-Bk7lf36-N+vJ(RrHGH zlMxPW31_g2M^rLg)0@K9G&56cgEOyiE@j2bP-d!8RL@C=SWzT?=x1qOq@}^_ym9(v zgpS~{u@Z6gfZZHLupON!jj4Y7YeO_oplBgJPw&tyt?@dD4W2c$%IYuSW(nUslH= ztwPy;Fu3cOKyWp}Y>S$n^@Xm>qckaX$cq;6doDUX z1KC~U!d~6lhFtDK2rBzPK*YbkvtE$O7?rY$cClLz+l`^M61pi@Z;Exv0UXb=8SNAgrSk#cqewmpb`=NT=@ zm>r>!RKJt=qk3BYV5%g$B#Iw`vcRWMkw~I`gqqF;K8k>v0%n_|yppv@^CkQ+MQNj1 z+AKq?W;(bmc5m_~p(DpN1Xy3Px&v?f@g9R}e8Thks$=*FKeyDj;s<%Xp8|E@N>1Ep zXyh^yTkR3qzo&tHy}V9cDy+b`dQ0U;`{A%H|_w6qZ@I z?nJ72`b4qszOdmK9J1jmXOZ-&-vh>>4jTj)P-Z=0WW^;vIs?Vu85c!U-?0UNDr~J46Y7jFR3r(Yh;yAy)Rb7oLSWv zP|T5}%vMm{oDn!gSm+Jn^O^sH?b%~VLJ|(hi{^d&TmqH5P)E(mSEs)r} zn+$^{K?iv!UzCYbcIPouASy+p37Rk|`>YpS)G}q?0m5cKM(1;;vnC$Cl?PozVb}y;{aycu zxfnPG7jfwhd&obc+O$`tv)w)Rj-KbB`GRR{JPY{l3IpwOqpew9W;kF6|1ZmOA^$SF z1f;kvK0t97-|fIBzOg1oAD-o!Jk*x$a;D9JQtq~u>23A6*sk9kl2ZF#jvof6{6jE< zGeq8>8|M?rCeo-J`o=Fcn_v8kc5Wet%E2 zFRl|6Wdf;a9pRiZP1(K^llG4}g;iZ~w5d{rud)+zXY~#C2s;t|7wfpQ)~i<4b*5#Q zqe#jYyoVUCyna6lMe{(VMzKi?Sb#|gFK$;i?z z4P~kCp=OCanJr!>dnsuJV@HCdh_h0!shW)!k7WT6(j{o|>K7s(dFE;sJ~E^Td?V@q znt*>K*D}T34^#@{3k)s%ZBO5==4f0buv#Li80JiYQ%V@6%9hiCY*El>z|{AK_ofl+ z{+Fa{>==vj_D92a)A`SuoI$$SwnaCeQ6e0)bY}3sc2kF$YoS}w(k?*E$SIX9-psxZ z%LW<9UiD{nLMZtrn$zVh9%%V}|Ka`{BT!WEuwH9oARjZKd-H>R#`PB{Oq`-Ec_5=%})FJCTUd%F|_6N;B~Aa7wSy}yM)3=ie&?5V@TM>c5<(5 z{r#5@K4W~M{2hZ%82Y7xV(UJtg*sCVjEEWm6{XQBQ{xU(2QUkweh_N~j)qJ`dap=} z+CDrk4O8ezkYn=?BICiyH+8KNR~}tZBi2c-GOdyY7JI!YzFypyuCR&0%Dx`pS#U-p z^_b?`iycO&PP1Bj9oPaM0)#J_8}gTN~oJiGt9^Nf&^7twJRWbV8`7rlKG34g0NhpgHsF|K75?Q7GIyo=kt!G_6H@x zj^g6)V_bqN&hYyJoBfb0t;h3$e?zB6@?wUn*YxPU9Gye|&yg)<0b!fvh>-7pQjWgSSU|Qd`ouq{XTY(ycb~RnDFd_A1~V}A#If>u zJR~1@6Ac)wPK(K{1bGx5crt*-H0-iSvtbHGt70+pt(Xpd;s<{}e1zAdc@Uvy*sNTF z(Uq_&&rbVCd!(nCb}J1G?p;&vx2XxX>YCDdkeXQDO7i! zsGqML4QtYl%fX~_DbBz9T4Xbb)OqTPeZ|T$*@$M9Th;q*YpuK{L+V%fRh!+%D~6#7 zPaoAPX;Dckn=Aufa6n8^E!B~LhQ+TC8RiRsf4&=5;Rj{j%~I=bl43mYPS#OB;{5aJ^J?eeLc8=pLO=9^dK=rt}L%wuql~sDyzs zkPbd#C`A(XHaVz^I2^jT`)DVRAN`idS5`#(Y_n6^oy$ZZcpggZ86S|jm6dYqiYLi; zcXYq~DAKUEalU;qxAk$Y+r*4lyLB0}GSQW=qOE;UH0-XfvSIhVAZ4}dQ|Nerq>`6V zMIH`G51o&JjTaC;tJ-XCH`*MOJO1XP)$8~6&QAuY%L~C9`gd&FQ_MYg287C+%yC~| zdD+5ugT4I&>pcAiH@fnNuAOf&E<*i($=IDe9(cLfUD6a0nvSLLi_oS!I$MJJU)zFE zh?qe9o)#O7rZdByhcT!Bcy)tfB8^gowDbi=I|W4VNyz4&1`0z!GRjD?>R;8cgkftv z&Kzl!^B6JLBVPi+qVY5htOMUxSAa#5Ng6OE-tr-?RB;f6iJF?Ft%|i#+qx?>yOO3H zg;~hbt@>0ik{MC)_W3PSj@5h}mpkd=ghaC*w^%k^6PR%*?E9FWh(JpSL2d3|sQM{U zqioLS)RfUcK!yw84;$KnYyYjtHW&;y5Nc7IwKmSP?GniQ&i5DXrW3x2J)x;e*=oDy z6hgE>0tXE}X1s&>tz}+yvyIW6u-)aJOs35BmV_M?GP1Jfvoq6}^YLBj@-#RSIqYYB z-5FEh3udRq_BVY7eq)50STU8&UJhP|%5!Ofni>G5B9Km;s7lmSeg<2q5qhh%cs{uwTt|GGxFmzIl%tN8rFMtj<(m z%RwR_)C;BSv>e#`-{R>i_WWdH9Oh!$^#t=Fj$sF|!s(_foiTBArL&r6_?j71PChb> zPw-B~Bm$zKIzR&Nvr)};LOCb3JgzE>Nh#U+_Z=)rw5>hH!^S%2VMSMG^qjeBl^Nru z&oL`=H%@$&XEJkkg-M6Wghw1r6r<^RLxi02yb2U@!pH6mhkvZTWS)mQ^vc~)&RKuD z1!n*uNT&s-AflXPvV&~cwas_EuQF;7Yh5OhCgDQ@1XZk6$?*qz5gIsADQAC2eXn7R z2y&#Ncb6VggH2KsHD_1C|Iu}PL3$KGid_c5sj`f0S@G}5aWpaZh<>V@(89W{ zXH1%R#H}88fY!CvI&`CLIAW_Erod?Exl5aOSFQGahbj!ut>Ynz{AoT7$TCL6PC@dBPUF>yPH3 zWKXIT))Fp+9YKmc7be$da-P2wEOi~s74KMQwkJpW>h!xTBYP&aSdh8S=dh;A>{%+G zqA-TTu;9aLpR5m+H>#zkC1xYRkZ2Je&MeI1TNjjp8mOb}WBh4cKSnykPUYBIl*fE( z2$4a$=d3#pPDRRir7e6{ZJ&A~^kgPUa@L$VIfGuOiz4C~3a%8_<~gKmGlRE&nSuTS ztU(iDThbSH*6nE;ecwl*sa?oq>r-0Lt$Y9|V5JSi_%Cr`nMJd*{bh?uWLwP+&<*~v` zXh_MUc8J}v>;3qmXXeSAh@p<>`#J9HCl803%B7bdQkBOee9-*^XvISwV=@w<)1B%p z2`C%P;TPi^HrmFKfsEjQ%D2?jb?3~}V7@I=Y|v*#M&LWNoV0QDjRUh(uf6-rh+UxBp;>1cH=)o1jcnI2{%2f5 zG;gEhT%Ez0dQ{(GL*iC(t=2?u1&7ZviTEG1T(3X+H|p};W<#WCAaT8PA--l(b%jx+ zEB_Zmiy*weqEm1)d70(urlVNs9i{pSYYGO@rH1F|eHpVMMJF4U5nD&YRm}FkWA7^F zA6M}~UOU}^E_^0l%Awr4;;IAyb;MO3;lUid(DCbg#peS-YaX$hO*A0DF$!w4j_50N z$*_j$q_RW?E92ft0B>Y?zpN$H5+uBZ^R!G$Id201(V>Nx6n>>1;We)F_Xp`~OkoMVepx z!`0rUqZz$Rhts`FY4$F;XqI~L*6UULcQBjJ+S=Y+tLlW~sK>8a?t6~RN8poAtj zRqzQ23-fg45&`~B6BdP=SKsu%a-}Yt$ivf?RBUSf4 zw5LDZR-FYkYe`Q7CANH4A_X@?a()Kr%_k&E!`BVHWw^N>*|Ja=d5S8H%^viRn|4-;@8g1$Yz0?{ zIFc<1csildE8hPG9F#at@+MgUAIF+(XAL%<`7& z8}+7X2SU5*%djK+>jPp!!5H%sSapcbGMHP{!IoHJSNque-3VR|u;CTMV2(G(eT=F= zelfHFbyWa)5)^!dkuSN`KPR{e{{c%L)j#;Nv$0VvVqTNA zw$Y~5CU^uQ$qahp(7!aRYZ%FeZV>dYVbB@OEFb(0q_IczJ9dQJknp$7kn-42cXLM}<5YXX8LC(#!O{;dy zyT2Lv>J0L;9Fex`|9ldhn5l#F6ZYI~+}pI8*fZpp$d0O(+LXpwj}$h}%7$TIrAC;H zfHi1<(Hw`}1A%Rwmef{aq6)(gv#-$133{;@!}db`jyPxLK8&$X1zi$WK9B2A=AW{q zlCoGx;WG4aJO`t#?PwtGSlg{t-`=WRkXA1mC2wmB!olFenOZ8v1p_Za3DYeJPymr8E#@cdsQ7tf2h&ydN42d=^}ba` zUW0jVMG8pPx7#*G^Y0^@;TWSD=TKp5IXCye&x$&WfNjBTqUV=dAa2Ok4EocI$!sbRGN2FWH<_V13j8 zPFgN)RISyq!Uj$>p?RoX6;-k~eCvS0rfxwRm#Kd%jl3@cM z$%{(xko15?!{q2YmAX~my3ER9d+9VoAX1m3!gn4FjA_5|2!ylJ11q0M6p3tHi9){@ z`C{fq$haDhy?$i|j3tRRzaIJO{f}`K{>Ro38%OsE20;Vb;Aog`EFi&&?Cfi1v8AvdGx_;G{+1)B!m z?N#-aOdc3=-JCN*bzlJQNmFXzW+bY!C`Hah$X6gcUu!=g1f~bs_J?Lg`ES;g$`M&F z%OfW`ANmyH8~vWOcP4|Wr+CV9#IUZ=gGSwc`Y_;BiqoM!Gz>aJ#lBfYHR}-NbCx!B zWX56L+`Xf2Z|h``MBh9tM2ALe*yz|jUHGNJJs$atS41z3IwrWTl8$^k)aasM9!i9? zvhVOyxQFA-dYb{vSg3_}rm!*_J%|d3V|)Yd?04`v(ax6Z_f)Y1)Y>ey?iTrf_J9Ea z`{+pf6wh^3lf75kseV6SL8ImuL9Unhe``c(hk z{_C^p6fF4>S%onKwQ)SGl#0F%$d$S{+vE2|`Hw5TvtQ^xNt%;2u#VL|6|%r5Z{UaW zYnIi{-ag(Nbe>uVujft3^{mzL%>`e0+&|fSVs=u@Ep!yjJQ4sU1zWO&@z8Gsr!Osh zwNL1r%n2^s;zt3%ni4Fmew>C>g12R*Q%ANP_GlBJQyL>2J~WKiysbKF?{qp(C*$AY zqI>J6Fal?7IE>!&C;>xM+%i)BcAn+GpsKKRwRE(*zRxb=xtWw@M*q*b{^KK$ImxrA zag){3zzpSdcxx2{?XJ*WW^q!+S=+90i(LkeO=DaWQF9gtR7$JkDZqH6m=qk5IGt|I{n8~zKh z+$vGT1dld4$KM~8@~=bBO7ADNO>oG)G>p%{6qP70d2T3aKTKi3;q4%z>AF>$Op3E% z2k)sjjDWDBNSf`Cc`HSkN#6w-(xXy zuvOil5XdqhcqBU*%p)9R^yQH8032XezN4;W$DSUK7*SlPFSXUKQWdt`u2U8LbT+2ZdCyUxmL%_V;+3(>8aIwL*#2#|Lie|3m0zsffK?r z-SWu=t?r)mS{L%Vi}D{=E@;1y-#?nl1rZgU9-a2A6UKYSwbd>* zs#cAc>p@eQ40E8I)w)}~#~x7pVRW1qo%@~c0FuV?4L!DLxRTz6wS$S1vf0OfhKT;- z5QOuDVN@eOaC=DMt_$!w3{jDhJ^FzvGPm_K zPKS+PN9ud8w38Mjo@;9ltV;7$OJIHfA@bv0L=0&UeFHp#0L`OtH@Vd@Wm^<;K8L$h zMxLc|1N5z}HB4$k4!xqS0h;67M34cW>Fb;AwiG|jDEMYr(a+#^j$6&u^{RuI12y_8 zUW|5_ULVP}b>Zwf^^#jklS20Ok2EZYUwY=B98HsSw;_DY0XAt$qy;q#ACYQIFXK_B zDejb$%hop@Cgs_qDWPv|uUd`T{pyD3ft4YP|FP!4=Msj1gBLY#MrV5`Pft&dd&lP& z{0@QBBzQg>z|TOeVSk}Ks+@pEg!aE@1}r=9pqMD1=4WBRN}nZvH$9>;>A?EK7K}tB z&w-WnneXCi6(@6;sAnbw6;uWX+bS)(LYsOnX4I7iV%cR%)Dd1+zq4JIyguydPAV`S z=+ev>AIQppm?O!ecl2DfSy{sf)h*U�}ku8ckN9A$~QQr1&j%O3jf*0qTqpuVmP( zuxEh+IUdk;1l*jmr^X^@^2lswH(TF4HVGFwmvQHmWk6^*k&Pa*aCyEHHZB*ZSdh}MWYdt^eo*$k$5Wo91 zSL$lx{fWcFDZC$nlSQ7_p(SAdfWuiZ*9n!rv921qDba4wAKz28E{p}Clj5kPq?9r& zvo13NxA}ei(j4P^nu@#C-&9U-v44vX>#_Ct=)5C3&^)$;M%U|n_Lb3Hu35dwju81< z6lGpfh#BjG$`)?lG)Lyfe)UP2Go8W?JkB^^KDsL&5D)^oJa;9IOrGKXdm8qochEJR z#kmRrDXUd&iz9-^`M$4@+s|U4J5j@TG+dXTP51-oGt$Rqc}F&LL9vZGWjcshVI!`M z>JEWy5_S|&|BeO1Ib*vyJajh?x(z%@z;S#3JNGMg4_t!9ZbmsprjU~P`EGwW4? zS1<5gv`s$hUl{8lNKLuX4<;KMAiVkNc6F=#z~VK@76*n!iYFE(i{_C(#h45VS0ker zC0GxJCf^??ogPe?RVNWPJl60KW}ZEcatKH9iF1X~Qq;%o=R;J{r)xAI1}}fwFn$3S zqYOVs#TT%|FQJL^p=3TNY(#{5`@#4$(nY+250`&sS9-nC12n+$lGh`zpP=g1D&(dl zLP--yEZUFPAf*XVQbXkAA(t)hT$-^DV$P zMP)2>A;%4S`gv)oP%ud6#j+p3MigeM6M)2)&alvj)lz+27|#jndd1qPZQX6bGu2uq`L@qIl)$zj8u z@ljevl;MOv+}(D@YCAWHjXHU@>*p@$WAFHUU_CuOoGjZrx$h|NPE)vZc?IsYw37R< z66NW^JGA3~(eM^2dhM%ZjKY;5^Lx~+`R=05WoNvS4I*9E~hc zqL++?p&J3kSrDE4!Og0Clheb>H*p-rCYW>Od#~5;qwtN4#txTm8%DT#_Bwj*8+Ik! zlbOWSvbu%#RL~C-q0|6EYYWgzHLB_2-%LZ!|20-xc_S zL$leHlHeC^D?A{z0|a2+TXd2YlP=5)L5L*NV0wOqlL!+tyP}MH^=75`nFRkM5=q}M z1IKFKcnSMeUy9gE4zJ0Nhz!j3bHkwy+}L|ZOvmkx1WMlS-_mgnex^8kGls6*00`M30ehgM;1yHa%ngzk%&U^M7-T#wLoTuRrOsM~c_a zCMj7!?G}pm*Je((P8B)?DRH80sdq(~4Z72MuvM0A;XE(Cpng{|LML%)Ri2&pkM=s} zJu7wVpA3f{e=%99x8$TlB5X@`EewS+B8TypW6@o+%Yzp+MvHdUi$UjxkX?=@NJ{U9 z?-gwFr>DKiv{ax)R6j>Dswa}4MMK-HRchk~e?{F@^5@rt zF#gWWGKODI5x7MsuBD&#Oj;=8&4U6ek^p2QQ<<+!M;)Pi`ooB+8C zqf$5(dmq^+m54pm+vv&cZDe+A?&rYwbF{aAf zT`UE(Y+YuO;?(p2@Fx#_R|aqmoDl;b@icUiBC5?VV;i&7CyI(?Jh*5FQMqJZior!U zgSbAOTsq}VAU_`lj^=$QrGqw#bCEbwwB@@#PtR2;wsT0 zrK;}}lpGb-*m=BS7}U+2RA?h^Ej!6SSMpT1eDZCU6L zvC&KHoA2P&dGBn0sB8w0N+NnfUwjV371l|J=QH^V?%!i~A$p8h!cVcE_o?rvM5181 zdo#1Je~c~O4WxU7c3v(O?a#HY;Sy=DY5e`|7DnssvNMLki&tVe_v}R%aWP;iA9juFoE7`Xh zSvj9RS`+N5S2jF_L?#1ov;`-O7l!ejxjmWj6T6l(A8l;1so`z(oXq|ZmD1BV3jdd~ zZ9AoYbdxM4blR?CfHdV;g7k%_rNj%P8-;Czab*;3DO{Qb#^g)ZF@Zz~Jl*nYeXD$Q z*ma)i`=~T5MJuZ6r*Ngb)sZhAajHG~mFuh3R*`+zQq|?JBVW>j4$FgC z6B6?sQFptkW$2dZ9o5>^Lj6v30l*{trh1Qs@pLXM={nV9ISeGBzLv=&wAHlu(!C~y zO!S~d!a**z&!N#=`Gn&XjC+eB($t*LY02WVEBBv>ujX ziixn8gi#V|%eVe+IQ-wSuF+iQ+=|YE!FrzT6~z~iFP!k+L5$@owxn4ed6`Ot*r2N= zt3fz-vC~_D5?rPWB&q&cqaw6UhJ&+dRhln8DqO;wrz<- zf>F%9b%A|iR%$YJ>xX)(-cGbKf-?gKF*f}T)+wB=jy%*4cbw$>po*I}<;TC&^6;Y$ z0G}niF2|`^H3(V0Zy4Xua-9*4)K>eEQW~1-)s*bq5o+-QVq8KUIfu3`z>d3EVMW$y zSIQ&7j?gbniv&W4Ot<&mnQ3d=7OIhB)SacGX#QDr zlh+=O<5U(xfvKt~GD zh9Md7^Ij`KRA8fok~xbbIi5#d{Cc$Kp*q|hNK>$FLo|A3acSACMqXxGc0_$P*uqGE z=mBwrdEP>yV6}<`=Z1it8gj;Hqf~J!xStyie-W=MoYiEqMi@*65{K$PvOV1OId$%; z3Ik8t)eC%HbVHeSW(DHb)pwc_b>xnTb{$UZtq7^{OrGE!=UAK+WonCTT@LQX+-`iN zMo<)OaQPbmisl6Fqa&vZ8~=%sA)+zdqYM#d({7YnZ62>5hh6Nj1@u-nKohl%dHQIJ zEDQ34m^7LkL!}|+&WoJvb(%2-uP-*6NwC!5TQ70H(GCbzL^FO}W#Bd9jw03xZ72ctM$x(? z0@4M?{x%)6u;-6ZW_4DCp=8Ww>f0S9sVb&iM772slo@_F9BK^iHtK7n=E04f`fju9 z(`jZa=7XS!gDHd0Wx%)Zbcp!~m_SCwF1q1}TVT=6Ov1+r(`CHn?T{j{%iSJ{0{>`$ zX)IeuWOb|~f<$0fj#?YdaAMizUt>w4`z-(9It*fo)K`@GJ!Ft383L|EdtAB->eyhI zQr(niV6?@Mf^%S#T&5Eu&DIndLJLz!e7wLFDl8a@M7Mi%0%m&mXkf>LoD4mFv3TV> zm+;2;SFF_QQ?z%{b!~~}^23Ko8IcXN=t@Slv2=!9M+NwwcSqA8fpdS&Lc(#8S z*<8lw`t{2bvp`>5WCF?h2inx8K_d7v;1v7B>8PzRG6KM^Inp#6F(gW9Xft(Rhw*j{j|XXZ$$q$W}nQi=ZvD}D-kpf zhw@#adL-4%_cUCGBG;;vton8v2_X0IieY%nbqkZZ%Lz$4n2-bvF~Lyps~Ya%y4fzl zR^BDZvAD&5hAUAb)D{k^1R+AiDmE4+(K|%65}M+vaSCCPv6_(m!qM5A$*C5p{u8e4 zUjND7`Jm6x)Hi^(k18J#I8e4|p%i^moPQz|jjD6+w1zt)HJ^`1YQi-C{6=(eH17+5 zyTzxbF`?M0RO#Na$i9@lV7ls(|HpP@k0<7CrIGQP^NMK1cD`|0A082etfau;uJ@Zq zb<-%eNpx{xi9;69a9+%0eh0S}Ef)3j#nbh@D;=A)ty-Jz<1@GrrTdUHCN%xYUdV51 zxago92t_MAXR%1;gH&4ak(ieg>NTALqAvlg$}KAHr;Lvb`kh6mmRJZJxEyO5;z5N60JpG0fvdsLU z>nL%4ZawcDVYJ3MR9CcibhFl;9#z|;d2@*@AW6w&MUQwxZE0ZwSJsI)?m=FSTF`X& z{`$I+lpfOP+#ynb7niSNL6WF1rLsc8P={l^cEsIimL#p=biO9rnd~(s(#S;L*Lwz& zlF9<8MzxF0Ld)@EQo6MNkf5#Y$*`n3_4Q0bfgI-EEMqiZZ3~jL5+c{sCO#t3!0NbH z_^cJH6d4DZjl5?B$Lv?VKQ}TgBIOSq^72_GR~&Rtq0M_>o?akfB#`{4xP)l?cV5`n zxbIEo2sfwi%`6b((G+V|0I+|#(Qh_ z4<^Ttu%U&55MX4%RCGl`Y$VFaxygJ>#kmwFHU#C*M6E+?x3|=9X&-gh=W@(G>cG2k zoEzfBe~e@KP$e8!9DXD6Ch|W}Rpb%J!E>ZJERtc(9J8)jA#9G+4PV^R&YGo;zLIMp zHST}Y+h8@vNLR-4*@2UZ$%}x+a5596jpiW990h}1;3anOsS)~JUtL87Xu+sSmV_cq zFov~x5~N3+k{JLyI8AjRS3xmGC2K+K7@UTZSMmk5txaYpDCG0VjLs#NcmSKR3W9v5 zDXbhrn8?4YJq&CTpYakKFnLA3I@kMzI-@^W6?C4dSQ9W`gm+ zC-zA^<5m+uiPKWDGaN3mO3WvnlBK%8z@j!|Ao4}KuDsNS>{fG? zZWytiu1Jf}Lf&sV-xuXSE-2Q1q5mW~J@(k@o{Ho8t=^%>fy2uDeF z=8~gm4w5E1!PiB?KR1UeuLzcj!XTa~JpogFGkFN=ATEWq;&#g7vDbb8Sv|~hdviFXmx*@l(T*e9ggu==oWr`XH6d6A$A!JB z2_zpUrtOkEVR&RIOm_Uso7=!nHn!W4sVdHV^#X@{0VRc(awlY*vN6M`6{X%j>OX^hQp2)w?0dmaFjO59+}Vi zZxM{XaA3bT@Y{2YX-CpW)>&_G)ai393-HE|4C5Rh?BiJRyRru^GwPqlOQY^-eC;Y+ zh~8L441bz+h?w+hgg$I8FN-7o5p=eR76K5cKe&>OH_YJ$En ze(6Gd4d2hEvxL@8w)OQk-xQ|?txWN-?FddgYe^2RTl@#75yio;?E2nu#EJ!-4OVuM zHH!o}{`nNGQ?yydS1%)3Uu}P3c6nE z+r&VH83&-Upj$2tO({IAcI3OU^(I)5PNe~%VBD}NLtR}54Aq#;jG&lkV$ttd?K)N% zTl6wXg2_@un*ggWPdHcxmZChr0uxXMmPiM(w)~f0DLIv8_x`sc8&r7o^bzQ+)s1?i zYBj3OTD?-V>8*yHYP$34$`wVk93@t-Vx9=x`6X4 zFU1A_;>Mb%t#jW-i+QnORhvzud+!_kZ$w|NLNx=42hYIZ<*=nBu1nz>CwC1R8Xt%% zJBX+&+NL$T6>IpmOHj;Dq0A2lvd~n5^rwXBR2ib3^8TK75>b5AUai@aw}cQaolP)( z4Y^c4QDC|t4pB`gw;)slt_HCR)FWQ1zEXBFi&`%knqSkfKYiR8nt?a!cWPz$)UxyS z1-}xVqAq?LcEJMBvVv%{*MVpI+;@EoBi@+A+7A6<6LP{P+~Ny8u~EX96bH7TPWIJBLyOdmR(3FmLskCV(f++Fm|!}(YBNlm1xv~DzkZwb#%gM3J*ftaGlf0wf!KX%DId98RYeg}1wb+1F9Ccx#vcxbz zmy4VS_4ulb?88N(yx2XEec!@uK5@CojC7G0v@N-f0npntw9aHBB3q)PKAf;;D39<& z4rlm^VHEKB3X_~XFeP?UDz_~#c3B^sJJ=F%`T#D)Oo={#1NGh5V9T{zN!*)@TF*S@ zb?{6>Rp{CGfCqqayqLu%?pOz);OCW9tVE0pDA}F;J~>4yA-d8|@p$HVf}ZVlj;+1U z-eJ!nVKFNF02|EPESv%FeqEdeNl^a@zMC8j#)wFlBbx(30&DG#^^eh&;=&NS5p^@dTmBhXPxJtaQd5Tety5+!3ZmV*`-u$WHmLJYW>>YM=kQ zsTxqa+gSi%0^Lly;Ku80Rs{IAwz_1q>7dN}`OZn$Q*w}+NYvzvR9)PJ6xn1cfv0)I z31N)Vy37$!V9RmT&^}ajhzg4-he&-t@<&VjX%5L1&Tp$@<{<{ydwOd1-ViJ}>*?8J zQsFP$Q8sF6Y1Yy_o{B_!f6v6;Ez->@q^O%^46XZcJmC!; zO}XAaak`fIsJ2z9f`?De78qP~O&pbAO5HwB6O-*(n1aH&u4}{LyOFo5sCmC+KN6U65&&`1zjU7ydRGmeS(y<(EX7jCcma(rM<6Y+E7Mf_^4C~F#J0jF2e8R zyjIrHyf1or1fh;OvnJHOjLu`bu{#8^8q5J8n>!;D9=m7*%jyyM?eAwqKXD=FJzfqB znz1;7?|a%xa+whCxxkbL`L|cs( zX}N^ZGW1$Mi2ROoE8sZ+)bqjqGfdQRBB;J9g2K_;i+ndKmV(p1fshFptN?`lUb-bb7l$I;qZ0bx`$)H zVoJ}D^ppL`1M$*kW24Hv z|C?GaRtF42;R8XJ^~erpncv;&Js$b?`s1O~{VU8jj0IBo2&{9H&QwQ@%P;1cL<=@q z<0{A{`66yfNspKDxEMaQ&30SfH9NM{4a09XV} z@L)Lgdm8LIY*kVvbOgsbwoVT)eA<;+Esjui-i3V^CKZHPe!S;cS1-)Yq9;HB}O3q1R)6uhtC*5_Dh z1j5H4=Hq;hC2ng_yLH@i*!0p-U_=%FV+QY8VdAz`MJt>o|3B{Dt+|oxycW!fGm`CN zTkbdyu6eM-JYjXqaU{2K=SAnCKmaV_G%pZTC5q|3@J?j??X082YB0&Hg-#lHOdGA{G29{J5^(t=0Y_kJ3=l`g`^I@yN*C8TC(J{uYDlvZ_ zpJ97gk}6kda2OR#*ESe>eNKNzY5Y$l#EfLDOYv9q~Azo5Z=PLS?W4`1)pZS zXz_bq5AO5{MYQm9p7#=W75FPHe|c%8-fXq8`N~z`urC~!z*bi|s1>>1Ez<)|hL&fc z2|z)Yn1n?3OR}*e0~&Y@&zmV}WxX%uyyIZ^YNDKALNH>NJ_Xk9oCrXHQ(Oc+AG_UA z{ggEYzfr#%l;XGJHxB|6OBYfUwYT5E_h_wqRD?ldf?*Qa)UI?&C&97tAD(vJ?dy~V zn&tgBFWxymRe7142~y)EG@`tAI0ABE%BH<1xOchk8r-q~Mm=u+Nmz1~Kgs-!Ez*B9+(Sk!An(t=xjUVrq)W#M1MU&G-(y*UBS+9%n|kBE~eKkONW$G^~0}P zuUz_l*e?sc-WCb9war$W?)GaAE@u439IXb1n{jk=R+}|JP8!yiGcuCJFjst6WuF++*)4+FT)Q8>?&2l<9-7^=8D zlu1*D#rQfHl^o_`ku}PVSpsIkGFTDOMZhV?1pzAk}=;pAgIPV_z zi#D#|?FHBorZ6piGK2pUy$X3(-C*zwm_TuIeAGQUzt}_bI7QpDilM{O4hv;!RJ9CJ{ouIW!4T$2geyjFP%B%T6x+XtTK0=&15AqKh2;fT*wtepenwZ){BZ34f* z&#T^t3{N1tgUZF^;Yl^Q_&>iC+h81Nyq{TAR%_twE+glAgMRlt2Ui?_#K#;ajCpM| zZm+d!OKQM_s>r($mmj8VN1#QFb^4RGL!BO7;tk)_TjsC|}&WHfi#Qu19U z;eR+w2O$)AR@ia}`cDX8oNJew2O%6VpD%OjlDCatXbB-Npty}^!^mE901-s*onX>P zc4?)&2Ct;_(*0Y_T5W?`yi~uyzfy`f_;G+;Ht^=*{$g%~@`d>&JBP=+kFy=6y8mam z3cE8nu}q1xUO6D7+)=nwwB*K^TPWipvMbajgoG~Cs(SzDjoE2DT_2)3ksAYqFH zdNL!fVlxSi|Ry9 zW^+`1nx4we`9)=eXaa1qasp{FYM=~!mFjx>Ra}g=*yLZ9)Gs~6FAc}>suj*n?0?oh z^v~agj^GnyWhj`s0DIC#{^2aW#f*SGFRf!xeYP1w^nd7NA6aB5gYZ1O1JPNrra4@h zCKek*LlH?~bUojkRACogTu3~o*fPOcNLf)LnqnF^db`f}sVt zgpQL9H;y3LkHCcKZwOrSxdKfz@kQHnm^M_5W&@SU=EinJV~1|LkCYh(IvZN`Tf`Kw zs#X05Fkjx^7bGwr&)pGyCnJoNj9OkmpE&?rK5t%v`wn1`XLi^E+(Bc&P0x!Z8#n3| z&?wtJYszdHe!tiIE&Y;>ta;0?EJKByD;*XH+z3{xB#rKCJZb%UN247nZx6vW2qs~E7$L;IlT;`ModrQAW!-30Kph)_^jZ7>s z@aMxBSu(_I4qvPd!#RAZAYtiK{N4m3@kl;8%V@a2N*bABc*%2>gNJE&-hZ`zCKH3> zuCnYNUYQVe_H@TT>6{*%zsn&}GSlIYt#8Gg7n@m890o};b1R$@dC_h)DeDaj`YNta zdrAc*f&@xYrV%yq%Q5Cw&5sWZu25*zJBq(4v*jcDrh45yQ_@hl3_Nz-C#P`|;q*Ih zCIz#Y9YoNlC4^HzAL?oefkfBJS4Sr!G8f|=L;(@GI4D<2$f@lMrmVLgb&i5sxeKm# zTGR5N0co{Ld9CJ`m%<+*9hZo7lFKNzo{PvkZJf#BdWnm}%1FO}we!o#Y8xjP4Y2d6<#NNR%!bbd^pMUdkxbm+C`}uOb(IbN-E(p&~Jn#Fs9y{+kF&043kH+a8 zh`GOIeW86Gd<0*%pn_KgA3E5D!vy(}f&_(NS0Uqx)K~@1pVdFj;donYWJq#h4^Ke_ ze_zpmL>jYd6BuUIv7d`=-R9?q?F?*ikeD-k0AE0$zegRb@WsHs1ivDi0B|oteh3F9 zrV!vV4(9DFAGn!0G9Ep=O7b0;1?Z9x4{?AbHxRp`gVi34S3 zWk@G$?QoxFJTJz=r3q|T>MNUmvl?`DZ{y}-d=6lM>w^Wi+3i`lt#u%)dDKlmlIq8YSnwwlo6W10G+a`)r1EQmCljpERdW7b=e*-f=e^V54PgsBZbAQm zhru5Vgb%f+Wv0)n{o|-s{N>gf1%$qos*DZ|O`Ho57N2?EU)f&(0KqBbF9GN%o z5>8Nsq#(Mu=og${Zy}`1v0;NK74Znaz1F<(@m}u{UTd7-@bX(hjo8(S&>x#CGNP@l z)yhreU=;jvLDr6UVWTDBC`iG;Q93NnP@c@0kDl&u{TCb~1}Q)CykEz+Ym0zty)d9m zJgcKLxIdpPhcYDM`4jyP2`upSL+|l*I=P*n$M>R)0(+?H7}R*4B4mS6Hvo&(bO0k* zEcY*EN^PlWKu!{i`ksSL6~D9f!CAFd5hXY7?jKlR$iyo8ryOAX_6esW1jwU{<`k2m z#hI!#o3|V77R+h%;^INAdaK5Kdx_d0_W?g~`C`qP)aW5mo)^jix9P3 zbvW;wcX?=9)=xNy@X#+^%mqZEoHFJhsOX%>NExgQX>%qgDrni#|6!USu@6iGTMTpC ze{$0UpH4&^Gmh23v&%@iebO!?+L~AQ=@w|S{>JU~A{Ac(4^zcUMrLH%JGd-kw|C?9< zDPx4CczLB^5J;iL5$3f8alqB;a$xOF^oJyHyw}!281HwHp)-$l{e+IAw-%zY1t21fF$xIX(6I}Z0t%nntpHY(!$6QRG;Umwxjf>PIOgxn#8G>91i3r1YbX*BV zM1n*QkKT6thXd`fQn2;GTNp_3uSju*a37FWh4mR4V?i1F5UZ(w`m&{x zaR-X9HF!Sr`cE51egM2xL5vU7yCprRaIxLvg?e}QXz%biAY{iJm^3*7W2mi48yxBz zKk4=U!ul$8PcVAl49$&YAFb9`I9lTtuXIZYqIT=aD;gJpT%{i=Tp&*0o%HOv*fOqc zwqz8!+?6o!Kk4^enrDm_6?8`|vU_{R5MgmbV{e$c< zqZ%piAf4mvaBt~tqEx3R7YO4{4xlP<+Fe`^Yqu><*safav`h^6ZvqkWoUwGap)FG?Z z{5#FCX&vpKE<(AnyFQHMP=o>AHfLnOcA?ITCVl!&@SjrV=ZXE z&1Lk7E9LW?$ehe!v9wVxo7PJJ=sxhgStuZHwkm$bM=J>ry1ifK1fuoL>g`roX3Xn1 z%5b5r(zxyOJvDLQrZ><(&Vdk8N`HSEdD8&qH-rGzs{jPKQZH~@c879e!Qa((@;3|f zOF@|^dvX!Alb1T#P~LWe&Rppv9Cpsm{iEY22S=U5ptuV+X1~{h;x1#r1x0uGUHx85 zW0dGcgULXtrA-kws>Igp%dTAkW55W~xAM$~`a2F{0Y}BD1(@h;P6@1j3g4-3!jW$>J&6t0ldm22d-4##^nbqKPohJo*6#1EH^r2Kn5&vNzOaM- z%=+r1LP&JRuFAuj3nZg5IJc`!Qa@!thk*&7N2|ayHxqKx!f_gYYQUkz(SgxXACsOK>GtRf6kfA6GXl-o*iV! zW?QZ_rokY18rP>G3=+R!EEXs^@@rTbZD6ei%qXk>-=Y2uV}Bk!7trKh{`Wz>V$D3Wafv?SxrS^J5 z9c138i`hl-h{ky4pW;m@7tnFAE(W`bT@MM*kKv2dkR(PtE&_*`=7jM#U02aGm;GN) z`}O0;dn~)N1*lK1cylizSL77ABAf4EOEDXEQJ+W~k#RF+E}@Nzm8Dy>J!aSV{$PSc z&HCGqnw#qZAo>-2n8r7xfdd%djX=Q3MT_$#61?VcEm{YQcrHIby(mc@bOO3nUa4&` zs`{zto$FTxKaB!1H~#tmox)6A zJ%ZM#p^q1|d1;-WyuBYSA7Y}x8-DZ7k?ar`S1{h*4?rOG^;(migVN}5A^i=@XzBN! zPjZIJXhdU(U)4X8eJXhRHD%@`u8m<0(FBAI5NwH%JU)CUz9m9>{LRq%^;tvXgJzKU zr#!%A5zso7u}%_2$k{L4$0gF`9Ky0pFcUj}a^jzL-}0f#KH+v!RGDx)u7YbS-DXQF z#`~(?_F8+ggz_oOT<6ue^k@2u2_3#&Vf;zMse0~D!3 zYjvf4xk$_@fhPrVnG|wx(m(J#7a3vN5bFUn&T4C$jhYXCR^O~HQS+>_CLQ1zXGA%f zo#Lp&gLnhZ(5}h+3Pe~!E*dQH;MCJyq<(3*}3&^hIMwvHllC!nB25GA;7H3osQwokqwdJ?7QtDrGvlx}lwasQ2-Qt1h z7I@evijsl}TY?4#i()apHr0cpwlYeV5dq~DDdE{!oV_PNT#okq-7|qM4ac%5A_4@O zCkO{A8oJUJyFx=p82X{i0M;CYDIH>n7s2aXVh!xp-c;<=1KzOsK>_7|ocL9YrX&Nx zl>(y6q*tK6<{ZY7(`_|VuF@9;tRFZjx zFY83b+Za@mc%mXY21`;BIl{V6(3hn;u3dwzJDkN^f`R;-%~Phv9S z<5SyZs-GqbKk}u_4M4A})=Lah5t}F}2sGz;WR6bo0IEPyiOUAWXh-2IRt<&k&)4cK zBF20s9ohbV&_-`i0YgD6+7d4TI|Jja0Dj^bP5)TW_m4Fl_y!7@v$~MWGL1&-RPjXSSiAP69 z7f@<8@C zYNO$Y-t@dL<3sHpzA0TC4-O*eUoUS|mHwMF*vuBg#+KL)Mwr$iol|LLIUFKJBxN`l z{{#el0pPjn`u8vfjz#{ypo>IkC)78fg@(#RaYIx_Bih zV%WA3&jAKQcn;s`_5Rk;>P;ZxKi%tsS_Nhm#nk=7vmL+F-Qg1_?kdJChznIOhSolU z&%?ipMXZIS0YYjXbt<6k_wf}PGn&RTRn~5|{q@^-{qhz%1R2Lfmin>&D|mBgEpfz1 zprm0o&#tdOw0_t+^~_Obr9tAS)ow2Ps`#ync%Q+Qndmkp(rpG7&|X{hq_eko*d>0f zD9FEni@+=un6?0815!G9*QZBHo11HEo0v-|v>g}B`LrRRs?HU?k5X0L#ensUVA#T;H}Z3SFz zA-KVR!SC7K;KZ6&0oV*Gq`rwJo?(U5gMlWVd1>NVU=z=P0i*_z-3<;<@~;SQNAD|~ zX3U_Uep75Ii841dlRlUcF?D0J-m2ZIZPc1&;1NsMR=#=*n~RP=^ECcR5_yeR+OYB6EHDUo5T>hqE2g2?c-UXM|h<|Gd*AfURv!3HB- zpb^STmVEbfT2`T_)y%AIZ&jNeDXQpg{SXT?>0@WE7_v15j2`(#zPM<&nlQxW>SZRd z$4apvrtKunj!{|##B0}TfdyjQnTvEhEiM*SaOY<^c`%1bQ1GG#NRo`)=W;6Sw#*gu z%q6L&Qfbw|tyC$}gf&4h)eIKmmvDTJ?lk>d<#sI$v=I$$<86((aS^cjkd#{_3-WL9 zJ$8>`VsOE5iAx4eearfS@2sQ^6SaapVDPBmad&bTRV}OP79ySOB2U<7^&4Z@4~ao5 zL;qG)4N!l{tL*Y6!ON6-$nYvg-J{*p&J+LqFrQsgABa~M zd}rtIc=vHO+sTm&>!N5IgB*@lR5ogN+dd7cOd(W-6;xy`%|R3^N4gJJmA!N^^xzOe zj6<3eRqqKFbE#(b!t-t!wm={!wP;c;=X6h8G?Oz7dPXjfK-!2v<)Li!_$el@ zo9-=9{D}m8KS5bqKFhF7Ihdgc_1liWKA?U<(MLWNuT}dm{yWgy&R0h#-I2C-sI_Ka z2IX;~2K_Z|)y~tJkCr)39@BI4pdr#*J|@;FwG|mFx2S(K!*!fs#`;~5KcE-~Vsw)7 zbhe-rFgR&eV}R2H zVETIV&Kr}V-Bn38aV@b3H&$ZF@eF+T_r)OONbT5}n_L%fVv@l>_AUw@K87MMmuh6u4n+pONZ@yop)sd^4pgh#EERWapP@re$O zF(2_rML1y%mUgGGz@eo7V10WNgF1optBFq!foB5a?9T@(b~xxda*T}8?Vga?Tj(}f zt9#hpJwHB0hlZkIChNG*!?71sdl}g|n!TWoux(5ouHi9eEDf%q0)%-qU`ApuAgMs8 zzyQq9%avALb?pU!;17GflKxA^_{V4zqI{@*wN54>J~}|8$*}W*{&E7qz)HY$F+~Ju zu+3=vAK2(-#QFOAhJ$4(PJ1uRGtv4cR?7Bh#fJs@8s>v?wOVT~ss9z{2NDgg>AyI* zCX-JwyXbKvCkKbnRO_nc3_uLZl&MJPBIgwAvS5wSPFCjV7=_e2!uBg`7<5x#*#tiM zK?2JV)K(2!82z?krC_ZAyMVnF)W|V4Y_=${kUszPrPxoSAs-dGQ6Ei6FM_0pSR0XAsNw)PL9QbFu5o zug*)_KiCbYYGYnfFkvv8QGv12hA|YE@{4MA1+n-Ef`%kW9Y5JwOf&D$z|=`-z>>jh z!tU!}5xA{_RSYcYf;tP~h{u6{9{|(T#K$KmkA~5-aN^@>_o2UYa88(qyXza)yUWCd z9tEx+)HkX%jOW?B&90dxb|Ogw5OAlH*dxqaRuU9JOewBKy`yY{$Wuh7LA~yt!Rpc7 z|9jl4)i~gg|&`)-w!lNTd?=FzLflm~^~5g1QVN{weatvfy-F zf*FG+7#k<{p^XA|;L6LU_$~5I>_XFIz{9yAx8w-okx=iJjX(;0dBge?iV6#pq(IjH zef=$@afD-UFCm~KvS{<(^W<^6czZ4PnY;S6Ue5(nEItDq-@w6Hq?=@=+~Tw&<-PyQ4>n{$)$eI}G&jJbAHwy9 z?y?B3eVVOa!h8cAg6RHuOf+~Jhe-G1&3CV+-&#=1x z3>RZ-z73BTGaJ`7Z`CV0sX&D{*z-Cj#-I$vK@e?3mBp6>8Xm(#S@$dTMl$M1ws-^O zw{87)WbA<~*wT(k=RD^<0Q1qy=rI zCn_fbfiFW!N&6uq%UvVAsA@`;3(K#w^xT$VCm<(VB-2QMW)tJp*fP9=pU667c_pvd zlP>(9sg$6j5b$yuWwg}bYA`DDqXZFCB3=)bGT_@3X}-mp{CewFP?87R(!~xpxP;A( zHu_NM5ag$eYHf}4YOv(EE*F*}?FC!`Lq#6tFR%k`U8CvAo2$uVO#A@T5QZMRB88qv zGNn${M~lJ-g4-wY=g`(Y43Z0Q_Ok^=&!1vMjrtD2x}<<9Ttf+k`xQ4p$oRZ>wC!En zL)L(bdHbG^PDCl`eOmvphQnj@uBcKSGiFUhohBMZi|hgl(jR&}z#-8G$ZFK|KKWCv zpM@wh!&Tb!+qgX2Qyp(y#-o<1>s@AQUplx1lVKSzEQhIJlbqVijvR4Zo9JSh_04@&sZm_?L@8mw?Tx`0Xxg4W=Wbi^BR{>C!r8 zI5!39UH?6-{seJDhQMJ3nziQag{nB`Gl#=YfS2~2V9`wY4_j*Xqlj9S@RLeHI`b?nO2I0XhE1uRHp}JtO(B7zKfm%I>Pn3 z8cD8r=?(pJOyi2S{Pt$Myv8DHPEFiQ8aWt9qQT(2Sy&E%T_jp^!PPT4py8Me0Ryx` zRn+>ccu3j;H%xqywA@+9!L8LWqR(F@`w?3?MIn~MD@u3xN5+kktm1`^WJY$|SgFaH zrINGJ?r9@PO$YrlrB4O&P2bmERg;46Q=7A-ka$P-U^TD@OzKsQo1NJ)4~8w>p{L4U zobB@UlKf|YR~Ni-_&Apx4s<&H-ihi9KYk1UC_i7E?)fL@r_}kAWBfS&A`pIt*C3!G z-q5tlA~+ttg2BL*G^|CnQMkSB5>9G!gU_GkVR4i=P(*Sbog7TcW{CF*&&$OHq_wTe z*7{{+7az@n7`P~^!YP0|;beudx7w89i-Gf@fV%|+-1)|>ZdunUDswUMugNbkf8oBj zJVh!u&cjmXGD88^`=yZx}17)pmm5o0`Vf+1oqy_qw~sd)-r?y-$iV^b$aA zC8=Jz5JE}|bM$#z%EiK~y|j26N~q=a24n}7lHU6hI~4$0>KA>2tL8+6N{~Shy4w-Q ztM{`YzT6Z=r6VZnR#?59VpW%^X=Z_Rnpwp8cY#U4+%%U7p1G*~rS*#;pSUEfa~gKL zIF|U`z{rzJjM|;^yqIRrH1T7TlQGun8*eDiNiNI$FbQJ@-4)~nDf)(+)3lh$)*HYO za8fr(9ZaTv24xr0cS52b7KV(`3HpLc&hH*!VyF-TDdZ!olc$U8(9pt$M4* z>VO)Kq`C{=@Acpjb;!#|dqml9P^&)6F!K9TxRYyDOH?^uH2?w9-ySS|qBMchCyQ@( zhpcb1qYYTD)D>_(V9&L@jCL~!ab&bJITm);qrSY-^6QCe_XVj`QlChJxTUcPz>0DZ zfTJmxZBRisf}p}hQkxbof)H7&QeMM&9z1{-p6A*}L(h+aYmBb)aM&+p6I0l)@E~*m z(&w8sV%(^b7vS-s5rZc+MH&}%lk$s7jQ8)XPus)*hY(euZWWM*9RV7;S?E?t^}Yu=VF23%Y0#VJv+zgZ~rB$VAEy`5Qm+ykErEVX6XTJVWl+cX~a0 zPckts%IO{p1P>W|W3gr-9D+LjoKq40)oLvmVEhIBvkz+YR&rK_94l^(_%8`fR2rtX zniC&muZGEt0~`0wX0s|gH!0}C{_ng~C_;uoz_8z^&A;_Y;SL(SUZXUucjc^id-Y`tFXX0 z!qN7qV9qwW@@YrRA{Q1F&haW2s5k9T6;hyc%jFr6G7hub{DbLqo~D3qU(suZIk4Z(Ynyk-@>ZYtCO&b3@P~5l zYLYi3x`0IC>^L%M-0hGUAhS8@Q^Hh{pic=|{*05+qKd9(!sTCvxsO0Dta&U%C;BI# z5Ek$^1ts7(3m_ErL4T!L`&=N#V!vx_ErUcw5Zc?7riBYhDwgCw zgDeWgKJpj#4@Ox=SBI>f9gYrJJB6TbkmzL>RmnI9`8AOWAQ~BSd7vA)$-!|N(RGXU zm}UyDXa|c4rKfV(k3j2yOSqs`rbXpdH;$-2?du;&lKePfmchy{pU1lX+tmKtPuNcb zxFc#tcjO;Q3IpL(Rl~RAuM->9PG}MAGXxuy%_=qu=UoNXKu{bxgYe%IOCl%WJyhfpC3q@8B5vpnC_WfjhXk^5)W& zSA&5||L^?#nCRPIyMv0|KYD)U-vejeZ#G1rXe{XFgn060WL)Os_*d4{)QY@vNn>OVZ~yqnuO zIP%XQ?u1i+`)^*nb9_4OdJMGPFY_ovVnJNQcQH-Biv9Hzfj|UA`u| zKRSMLaMU>z9>5HP+9LalUvVc}1@6#gwy4awBc&J218&y+t=0QEIBqrFcRT)cAk?&T zsL=Gzl9%@~1b;&NHw1sVQksD=kfq8ma-%n}jkQB5*YLUaVR<|?Zn%r|LBw5I3V%eX!jcVf1G~$SK$&%uoJ_fL z^^usk2BAw8&c{5AR8d+mp1$8J>8j;MP4j^j23rzd#>LS#1k~|@ibO&O&c|O_KjVq9 zVZPKkJlON!K0WQeC57XcLsW76yqyrhGx+WT`gERq-aJ-aV?c&MMcKocEv+O0M5NFW z{8g?r{4Ly0NCcfC7`(^=)Iu>Kl7Zp`6@ma8J+t1fcTx`~XD2|teH()vm)XVP6hlCe zTM{Rh!jsn0#fdc+3F58InkTg>H!M1+O(-GSv#>j1GU|o3_QRG#S-tRcrbSA=z z4i;Y9th{lVReR20TJjCM0i%?9#qh3JKOW>NT{>oJfyZ1%`qAYRqRmVKI3^V(&G4lMb95=)Wqw7 zx`ZqF3#^J=IBKrWT#L08Dc6IkK`-^cIJr_Yyv|TWbrRfep#|o4x!Lvy-nvjfa}EmP z?i5n!1|F)ENKe_5)|i41l8Qs8sk9?UG&7Fx>Cr7-VP13ix$(g zdzr*0==Vu~=Pa02o2`mpCbvLJYB9k@8oY#o$aP1mk!Yrj7=2OPsos~+)iBs7a&Ip@ z?-TgQqk8jmob(<R7M_+RT+tJ90W%%Q`oPl*Dj7)yqg@=@)SkBtWc?3*y!;Nav+SvRLmx0FF{o= z)}Ow!Sinht?s@4Z*Vi^cG;h6;Clte2muZIPV5B*u)yAg3xxvE4iT(qF^!wVaKI2*c z0bnylOevegkr#n@%0X(DFSuJFPUM`8@+?G*yX{2moD3-Oc-9SJ=NyG|7MSD~^jAO3 zh*?HO1-!8aBa=RIW0xceW{-A}%YrbrUjk%`uv@Xnjt&>mM7&v1oTW;wj%j2(*pNJE z$*T*VP&I0bfXrMXa*B)!LE|aT^ejcH!^VHs|DUB6;w-GN9CV=HmcgNNLryLsJjimv zrf54b4-a^Bc3MTaxOlaN`VAi!6CbR)3qP7VWPR&t=P=9midWomMJTP8T~w5gwfLR1 zzb_1hf=naPLV~b)5v*Y*lv*^l4N7-ncP=Q+^jQQL?Q)$W4>x||W@E%#!qEUL5KAwr zjWLV+M}V~u?90EwCbIK%196;2N9KBP;FabrA5E|@XCI|fZ;*nGi8UbV4U*Yz#~&$_ zS1jc`^BF~TH6C5b*bJ)OloJk)E&`xgY@~JtXo}E0U&YdyIi^88%RI||*NuaA6D#C} zwAqk(^DT_KExEXSgHmG>8u~~1`FpCNrT+jnp+EAf18WnGR+|5ikQ?jlN zx;Xt2!0Mb+qT5z=`95Yctjw-~4V8D^QyynXlM4@XO(42oDTIa@pU3cc$~LSBG?1lS~#FZSuH-{_IbUy20&jCX8@L7v`yD?(Nz?N&)P(*C4D%PCP>gV+zFa}nuw%YzZzrImXknGJw zt>a;a>25%U8ryaJWCsKdHK_ta&@RGrf7*SBCa`nPEt(645tmu&k9Z{JUPQ~JU?I|wNeq6>E7q^vG18b8mEOy$MtZ`@EZ-U>*fIF+^HGCTDq=^=W4+%zusu9TU6g?nc zqqu_8hwt-IF%72XnSK%AohIIW`RINQ*xzf@@IK?ICjAT(Q>9_}T@2SU#S+793F>ta ziJ6Fx3px4+8auoAPfHCZ`?^jEzzn`Zzc(1Z?gu4n6P*!r%eb`PHGG;i)9+e(Bw3|5 ziPU9UkU#c20>%>;)BHFMi8=~+T64}a7}SD34?hdQe4fu${Mz0 zHJm+q#A$g9u*4SSM`{*0O_EJ@7Ue%b^1O5XM~40jL82(M6#Lo%c&o1*fS(81E7T3( zTgSPPsb;@>*Z1*hKRj8hdUS?~f)AkvaTF1n~};FI{zwyif@DY)URNN_sEg77?SP=0>i zRo(u&=?y5!t<{zGeRQMHR?I@%Tt#9&N5hMRT7q&3`nBNE!}w;3fKE>4TrcjvInuX& z{PgG?qjXoJ-ezO3H0F+Vql=}^5L|@B;i!9~g$3IG7XoJ{E_+eTGLP4VVPjJcA{6Ls0 zk#+e_ulIuQb`H^ORp0bm)k+5#P90r@m6fGC$A|k5J4X-wmE-Q|8TV!9XwP3c?d~1; z<+I&KZ{ub6DBzh+96;Vb=(F9U2B54GCFGjAz(7supSCtrLv039MEkRu&J(pls6-e( zRp(HLt-}_eI8;1D1=Lm4h)E5XMF=;}dER6FrO+_J|At_s^wr@F6?)*m1F;8Rp-M4q zEC;gauS0cUKCk z9316Khh`Yb+a378h`>K&kr)2duw%*HTk6i(AZFR=IA^Kw`vO#nO$W`an&2H>C(;0CTb?3J1k zXT}DaPRiZ2R22vOn+PekZ%< z$D^UGS_OOsCC?+`oU_X=t00APA0>;yfuj(vauJfHEi9~qr-zt~*XqjoL6Pt>x1j0+ zF==ud$i$b1PDcRDR+YULmufc2vx#rjO1#R;WnNWtTyYq(D5+VrJ>~buv^`znFuXa$ zVNh59We2Nh@*ujvGa}4$4;v~FIZ>E>>fn0Q21u0+w)H(v;97*7zi8l81KojvH%t@ih1W$ zz08r%1|pQtU!uzW;Vg^(p#?(GAG>A71r$-Vc0oolx!&RAt-Y)$`62%l=42Zi%QoWI zM6QiZFr$~roq~HO&(-dG2FFApP^CvZ!G~&0PmrEnyFNQUIm1{v`tBIguH=}~pe!gwJLMFT+hT;YF1fRW^OZ^5+Fsu38{>J*MCe|LX*FEgKOLc%#nIDNR9XuNs z*t}*#_sJ`F%3C!cRgKmypFdsz9nYdwWNuocK0)OWC9<#L>1Yl>nk;T0MRA{Ox>|J;S|r_J=#6(JnIhiFKW06prajj92 z&A5Mir`pXH*PB8Hze z%Ap90;u-NP|IONPOe|?89i@|9K(kx-$%)^6OEFda!?PXoSuO@yDF7#ODHsO zfdw|mXXZ2q@TovN$u&1?EzXhI(9OrHQM+8@H8+yw~0C)@CF5veJhTh54YgjVE z#s3$4u3a+XqA}AH#~_|Tr4@M~pW32+DKrP-ZrDgHl!({x0a|>6Q#oM7S2&ecQT^Q2 zI&$De<=HD|v|2%*fl0;(NBpL=9v`)ucWNO)4OI*mkXHH#9avKHa}pna|E1Vh%}9nN zu(ub@yFPIR>?IuFA0>YlhQd9o4zP}n3dX@0J`mRgX>aMbd>W(My1nc->UV2vsx4pS zmr``8BparsWU+)Hx~;!#H^wnrQbz2P_#W+nmNBIm0E+qytcQ#GUfKvd8evn$rt|(g z?_;=NHHDNFM;DoFOhnoTcTtt-|9qh`Lj^w1QWvvN@Rs%g1J5Uqr;Rg4X6ppu32_I@}g-Y|4gPT(!mhb3!<$U@bPDdactakd^k zt?AeB#7V(dgMo|sTO8xE12yJsP-b)z!eZtN$Uvgi;V^{7`1+tP%S;D$jwiE;eXc*! z7)YY@zP#4!{RjPe4+pkBCepQE@dZ2epMgBgGU0ahKAA@JL8iYVFM~USrv^_)guZfu1?t_}lTo*ZjI4{Ikpe|nGjgyVmn8*fxG)8#oLv`w zwYrDh-Sgv9@P!ns(17}8!EyXB0pyyjuR@x9W>FpshPPn-Q2(tDN9Roc&L{zgbS|skk;7>dPTg9KqQ$Dxd7%HpU@KoA!W?3czzN)R)32Bosvd}# zaz2D5>nr#CYV&h`?#BDQ-jqn6fW`Cu0h|sFHTB6Z3o@qO9nQD_**E$hBHMNsd1oaC zq!|rrVK}6S?7~XL?~i^#>`HMAYS!!JjVjA!K=)C?_y{Wye>g(nSrgNUU1Hd1+xRq| zsUSNH>ir8B1MjJmKG2|WA_rYbl0P|lG@Jqr{HC-rDAA6Yibt(CS!QPn=EB~l4WbmS zF;|eCl)9xw!g@({)RPFZ9(0NX4`L5;K-?Xww+j)Gi`XJWo!BU@INT{1_sRSO829Q> z{}nFS?odw*U_znwV9!6@JMF$DA=XP#q0Xrx42D>xP*j>LQ-+oD0xO*yXk{0p{w5mq z$#;|Lr)oSXSE*Q~{KAY9M?HnCZ>f zQ9{Ew4Va+!R1K2p8vfK=oetYCuc22r9({wRKeMi1z!HM$g2Bo`c^VO_8AP|tXh9Ug zO}~e)wzF|2Ku(W$+<{`NDgkp1Ue@Us?N*cE>??_K|H2pU7llHV!@6n`=`s6I4M--a zhOee=ZJ*$uWLDF^Au@ot4JP6(t+Y2ZHtMUxe_*Jjf&74{tumw!r3y$<;lv@i$a&D=hkd(hUWG(n5)IJ zgr)eL8VCUdm*JqDMH@(ev!QGGAXWum-FGln!vuB@dMj7O^~sko-yBn1KNu*k&r5NA zkxeHQlX5|LNt?}p@B-csZDFTH^WezXv^$1$V-RhXC(8QU1gp>rEffJK5PDR_%w11IwuHg$#791c zn}F&vA_Ul}x2+e*6nTxt@}gT%Bt7>J9DMzF0%1bMuXZ!2%1TKVBLsMISFJCL+XfUH zvXJMTQQx+zYyK))u|(UnhKr2zfT7BQq3Ke1bTddh=FxhvHHD&CUZN_qQMF8EFwf+~ z^Iks5lyH&*cFj%UAh)Xioko!4eZ1FW&x)Oq3~GYZxyXf+u~pC?(+9pU9f zT8!vTfM2Lu?}O13NZC7OaQK2IMK3i4sA(;-BOZAeZ2A;RqPI*tsvWdAZf7 zZ=&$X|6Hs;eP{l%bmJ$p0gFOT#$r~IxIdr5-J9iY#?Z4sFK0Jp4daeJ@Vsw0xy)${j-uS?7z7&EVuK{9 zEE)Yq9yWN(#3NB*Q7W6?pV)JZp6|6>O#k>Z`rP)spXG%H z+G-}QoQ4>JNE$P;QeFe1hQi8iR994~?ji0np&uJacKedBDflz}F*Ta6OLHIS1IsO9 zy5p==3j5`i%LR2?F4*H~Pp3Mq{_nj+N z+iq?y*IG-YJP7jpU$NG9MsiBw#=|SqH_x8#_$QsygY$PefK#{;zhiwXkwc35W7k(2 z=#CA~whjWR*TA6!c81;SD#ztS$y`yDfqqwiAkrA!0sEgC>_oyn&#?7B=r85q=_$l{#u{5c4d&h>O1y^riWATKKd~k*%;5yc5QsKh|GM5b;>hJ_q5R5<;Frp5)?~AZwT$IM#0@y+uk(<@#g>yR#K! zcX)(_k_y{n+OyI;Lsr(es>_5f0s&%FROoc=F4x43&%+byMrO6&cpxFum~zE*}cBeJYc@c)Kix3gsv z%kntnsRI?q?iqB$10})ttS>jp*mU+THj_iPquQ?xfqntt(TfBTak7J2kO=M>ZeA0Y zOeZ3S8YD-7{d-)8_SC5@Mp1CY%>NF*cv)VS+-gd3`#)J<$I0pOF3$n0_jJ4y4(104 zr;3+=ZzOo3*?ehC7U5lVbSik)g^+K&#~J0AM(}PnqgK*Il9D!pLXrX@@eE0~Z|Rqn z2LT0L*P2Rs@v|S}7vCbArqt`1bpzbssw8J9Qq{Kcwyo;e?2%OsWG;Bb@mowEs*_tV zJa!Y!<2=4D*h^_QofH+S5YlfG4tNz$Kx@RM0KY&$zo?Hms{q`6rPq50ziewr(`NEW zGqRb2n7h<*UP7WK;xW-&a0Sg`G~Hz$TMr9tK2z5xat$W#B%7*+a2Ivt7?p?d(Yxx= zpO>ii(!nkRRH*(Oo{DIRxg|$0XN=m%n>mBOvF>rlWK49>Spf?b7vu#;dTSI2rqQiK} z1CG3_l-Fwh@>2LCYHZA3r=(T`1+4|O>tl_+irmZX%4(pW$6RYRdoY~mjzSlslP}{! zY#&;@6CZEeqN+ExkAeec6fB}|aDiP>zmA2_`fNTvaM`Iy{li}GNdNF$A1;jELVseG z$18S!Nl?Mrc}OF-+?7N5{Y8OmT)A;2bSaVQSM>{-Chy^*2Ld#yE36bSDwUYf&eX$F zb5~(TMh~m}@Mq#StL%jm_Clm7eADyDg|a*8N6qe8_q=m{aD18BJ$$@-etJkG!dRLN zSSW^ZA6elO7(Y#Li+Q27LZ)FETw`asYeHQrL$imFHV`_%LY$rF7Rf`8DT45m zLqH|7bat8oVu2t6PVW>AObKP3d|qw6M*Lb0-jS?V_>K-V@-Nl+V#Zr`8&8K6CFWSE zNSYnu894`So(-U<_~4JE#}`^I(&OvGn#B86eR2#41Z`loo>Eo|r z6a{*Kh^)QYtogXW#!{0lS@MrO zj}}+6YldkXD`;<4^<_tSv}+qs@LP)Q6UG9H#^!7&U-pe8xU@%mlMwq+nePE`dAl5=C+q zouShN{y@<)?U8k14!}MZONuqp&>x~SrkBJ4rn*$kQhj|yXEcX12*`tmT?rZh{3@4) z{|;!x^3}spmErO7T~KNdb9fd8U9CPK%*#Td&px#Gn(-slbz8nxy;UR4m;m0K;OGAd)?jRz3!<`*+?RX8Sh1c$TFF#F-vQBNb~w#GR(b!KwPU?@q@{z5|}z^v&En_ z%nC+42}66Lzn);%2BEIfJ=Jg8$4NIWa3=yIF%&En6Wb2EPc+PowKgn30*i`7rXbSI zzlR00KN2>rC4q1Wg>L3zkvp}J3B^DtPaKVY;WpFQvNSeQjr_^7(PP)as*UyMVMCY- z?Nq(bMJdDNriT$g^|~Ulr6GCF7jg{c*4(Uc&|8kPjIBTzP4m>Icczpt{1~sKNf;PW z!4wloB;25Q&a-p#?{Eor=X7GcmtcyUe}ai_r=Y&bz_4z%)FG|n711=cyLK}PW_Sxf zP;a39wOm~;Bktu7emP;l3G+zRY&>ii0BYJoB2&!_OnJs7i6M(jU8S%0^`|P0EmVDb z>$mUvHCLAwTpf+E4)7a#{Ykh;} zO|FYAL$3i?nTC@-eS)zijqhNsFsq$0=3g$-In;1HafUpcU2G8eLemD;dAgC-(VM$V zZ=ar=pCXM3`xLVI4jZHp2Dl1ep%R1o+IObvTY!KdDb15fJNbH546cS&K8V$k*8@Ce za#Y(u9uvYmWeg+&a$b;feUF{&=Oa=sea|6`vfBgbOn` zHZqP{5P%Z5Dz!Q$sQ~F3*jX3R#IF*~;HR)I+Ulbebc$eRqt?7gWtScNx^0xAarKn! zQlmX29{Ob5g|RHkZe##4i~thiohE6mc^E95uPMf^3)HuppQnBKvGvMI>^gbhpHP=~ zp@V>j>**p7)a;pR+26d)-sYgm%h*GaOt9^0V(iGkRXE=mAz+5b?hsEq#@hpPanu2( zir$$8!cenN8V{*4ei;H~KXIec9B=tX>q1Bsm?^xt=AhIpQ8RduRqF#vnb@_>J8!~b2cD~1tcZU+)V1zxYj!>!9OG% z5ihhC7j^&C7qou6Rj=HtZJ;BGm0cA5eexXxt(w%zf8}a0BnD9CcY3`T_b*_3!dg)O zG~9I4;hXwQt~YbU8s|JOHn#2u{nFL&1?+l_dE)IOQn_}qNR21RG5GyCd`YF(Wy|4dBwV_dl3xm)6 z#n~>0t1QWX28!0f8;6f`+2N6g9e?jcO=LNK3;!rTU$F1HoRF7eiDA~iyxQefH zWJ1a=%3v31BGqA{GW6vd3;^eegFV#$oo#|K=$G(yMfOmc!oY$`7F@L5njY&g8>77p zggBHUNl=%8SHN#$QVF&p6$d6*%~lN;oK@zZbx#i<2nV(#7_c9C9@9g7aX3*%ru!_W znNO3??hj`W;isvX2%3_!u#f9c-&vf;JwErmKg2!SI*akj(xXwZs)DuJ<@W7X7zhu% zbum~Z!`X=m79$r*0t%TZ_}iti?_Q`;W2@~zSoJn;S96egAj9SxHW*1~Rrrps9S27A zOqJ@eQH?RXgtv=|da7-*BC!@$Y&-r+flXM2wP+*4tOQ*2M*R0AmFCK3V1$C891rh* z#i!bCtN0isX5RM-#vIYAynDjDw?-r{|2ZU+~?|;qLKKebaAME1lClzh15Rm6fGC$A|k5 zJ4X-wmE-Q|**SV4I!Al{%4v7+z%QTeK6)E3yGIw3qZeG6GqQh0_&cD`QT1D3nwd9b z!Sn3Tcv4wjg{ZIVH{o!+y$q&IV2+ylzoK(jA`L;$l1#Nz?>EP&ND=(MW?=bty45WG+ksGmP@`B(MGpc@iCEE zI_OfDfYtD~Rm|#=zjuUc22#Uo_p_qbmm<+hF;fmP%2aZrY(`ZRr^uEpvPBpTy)gan zO<~gx<1-KLw##VGR5MkS9p%|g0J=dON7OYsxM;1-9k8B#VdI1qX!!PQFo+qQ^tdPk z##LBUnTexat<==l)mS@a&D>S2a~NV@Aan7VgQsmsMA3A+wt1^w0q9Z*f4<;PN-ydX zj%04OU~k9~x-2S4!tjW*GUv)gW@lKq%?%ugH7=X{#EGd{jw?O!Jm0}{)3JfdTRe)( z-0t6);MZtbP~%BQ%V5}$=lWyoOPXA|b*)Y=wDX`B8j0kmac7!V?HM_ zOAPKwJGvh>KpO^gQ}sabI9iz)VWqLKsSZr7H9wEy##Q>G)2Oykq%rE^K}-9B~WVV%LMEFC*| zN%cMQ2+Sip>Ou!QhTJ-eaImieON?eWzb^3btgG^DN(x}HQ#_3cn(4FM&XK>{*?rUv ziDXp8D0wdum)cCQG6I@^?)4r{_%*sW*FTbEQKR!Cb3LUYnkDHT9?$ zz+&oCQ=no}txHBfK-9H01;#)Y2Y%c0TuPFl2&cQjE&WPk(^T@tGOppvFQ;P;opQOaU#V)hU z%O(aFo$hs?ocP_hRPT@f21L~wX5(A@K|}G*(?y`h@mF?6OyJ zMcSH)5PeWz!7M#`6c?ADl&Gmu#~~(-NL(~JN=?_!fCox-K3l1cC-rvB;-aAUy>^8TKtv?M`sugOVj{KVC0{y zuX17m7LI`5$u2-aZ~y7xVL#G3M5Kj(x)}7-SR=)e+OfVH9c8CqWzOc+(=`Rdux}rE zJayhcIv8+i7Zis-Oc4kEeV~ne_Xw(iPfi{U$0x%XBb1~{33_9LZ#E5l>6iUjefSzK zUSpxc#+IFfy@S)>v-_dmDqzcO%Rs)a@Uil2Y;aQOBVE!QXJ;y=32@GI&H_zEt^ z?mY3?j_dGDr0%y|Z?nN#c+N%r(hQUT0^xE6CyL>770x&PD&s-We{Xu;FX4*NH^?ZU znhn^^ul0Ia{e=!k`RF}sDHgp_(&lPw9zHj$k}=rZl}8^ipqIoF3_fe6k>D@vAB@pL zczD`*H{8u<#rdVvJtdTx?JH##JCG=(jf&;86MDmtZ@LPyeQ0?J$!o5C_~{JT`P9@* z7@c`W;tXXqUza95qfQZIcJoZ4N#@pk=3ME4faY~Uba z_P!XuwZhy)@VCVjBhX;zqHjs-Q5X)Zwv2}U^3s^eEx)}9x+(EuvG(NBX>27=6hcKi z9VXZzIw2QDs2KQo6#h|@uXs?{^jZ@X`F#gl&y>{bn(DVO9?IXiy}n#)(o4HcT_NhloKd0{FuQxw;B$E>9FYGP~Wt8sG9^~=+bwFkZ4hxLFnl=eq z(Y*H@7ps2~650jPy0FXi-Kq!_Zwl!_a|S1t0z~o>)Db`{n2Ve{-qH>bA%|#@ksdp` zq37JF0+@WC8PFcn)Yz!OI(*=HE;5z0ap78RtF{J3PdI{%=Q(Oz3EHthpF|P%{RHSz z5K)<*xPc0B(C(Bsn zP1EO}M*LS-(-ll7NEba4rcu>P=~g$urw|00b)cvE#atZec>6aR_p7QeV@)No^Gyfa zGfAka6`8~gUX_5n-Y7Tg?Rz9;Y~z|Vt|`d4EY)SQ3#wu6e*E`@2`$TSVtT@NV#{w) zHrwz#7pa8lNDKoH6;5APEn0JlVm(m&i$V-@p*u5vGmOS*+6~e0+tnsr-YWuq+8ObH zR)&XC$f>z2j;gpoQz6xwA(;9JY@@Y?>RAp)iXpTi{oL--@2sTC=V1Y*N6W=ij5jLd zxoDNm^>wh~)F>JFqyW-+kvwpfx|Kd~m7egVVXy%%9q1B>w>1`IpYbUnlo$!FCakua zx&)A91uK115R<8Hx^lh2U@HN>iD5>08S3i7>1iUOJ34%`wy^~%HgJPf88>xlUd+Ey zdx{CKOS6S6=@KWAAK8F8(d^SS=;msGZX&15DG92WY?Zz?^mxfN=g@narxX7SE?CPS zW3+~%8az*AC~%Yih`+Jhz7r!0Tpe+&)k;D|S?vH9iLJ@+>q6nM!j&bAid8kl!gsmD`>rDMVDZ4hJmKO@m{j6|1b(%1!(Y zy`V8N5s}uSl*Y7i4(3pB1D)VuX)Jz_1-S;vah?#kp6S0gji-@oJUm~brd96Z$y2Bw zbUNq5gq$axU6jxc&$9$<%=ouu;y0h+9{VfYp`Dx2vACl5&Bvg9w@+LRbD@g|6kBXI zZ*PcP7%B%dE(IZG@@4dcfaagpZ;oN=3jzVfmU)!?Pq=5UD`bXxZAsOEzpDSfwEK7D zT7-pbj04FOf?U$`1STONW)Cd!H8+C<)B2P!nwVdZlza!vJDI~1Q6NQi;#2r0Z3HPD z2nZk%abfJm2f~H4y)aE$(b&XT6mr05mt%9C$R&t0JfmQZ5g)0Zwfstnm6>0_-`rdG zKersB3E%1U{tvuocXJbqjECs6Y*Zq%7A@se4tCKMr+F&cWDn6q9wjq8s}xO0VjIE{ zWK{bF8EFnX5G${Ff`J>pHvMCeVGQn1kdc{*``7pTSH6+C$|9f9Z|iT7rN9%6kjgEU z(MU-#bH7<$FBV9~J$v_P7ym>iZt&v(HFMz2ll{fqu-oTUs-45*-N)IE>VNv)%e%iB zzXXHEjG2@|7f27q?Vo^SjP~y1qy2-2lF!U@)vmnlg>F8-bGOVk>~vzd|jp8V(8{Vrzfp{P#WQK+FX3SDZLm5yVoHTv;cBG7Q)O{y!J^Q z59A3RIejt<^rolMQlAr{$!Q8E(d=djcDVov)9xj;x6s9pJP7#gH*l`(T&}JkAVo1G z99tx@*F9X4HX<4bp8G9D2}OY6dqgnqC5WBLyUeIS-W~5f58{*3v;3NaXKFBzp@t=r zyD@r}q?JZo*Zbhbqu%5iW8r8?CeY$hh$tk;D8BCC zzS7374~FF}B>@lgkZZW2m|%2&KS(DHl{8^t8sVyBv>RwSGSp7^X{ON(^HLm(>0zRs z3tJHZEXhk%k>B4R+}mpQP1NB9pYpzg=Naxt-9FWU@&oMVpeNvyxEif7mmP@)d-Q|h z%9#>wEfbmyWYj-C8$G^$ijF45Id?^=);)g>Ge%hLQlC4u6bQ$?psH248U4dx=TuJ2 z$lv1k?1F;H<>4HketHsUxea)k-?hHx$PB05_QXRtDKB936AK(pbCh(BAX3Qw3H*@8eVfDj`oXAwk1(wy4&3ieEYpLpZNS`95>-P~+p( z@l>9u14R1gVlmIYuL`CrCHQ5KK`Fk#jGd8Jth39-K8H;c zv!$s=z~E%(*X67;ka6&l0~uq~oSd-40s0isLzPthj`ebx3h)Em>TyhKd1^#4?Vg(H zGRB`3pv}Ms)yBDWa0#XWa~J><(4vX*1hA8g_Z&XT!BwP9TcW9k$nQd9v!ZH zEGuOI)u?Msa1uFe7$VZUVLlO#V6xg-15JiZ@z2=o6n<4s2Q~H7D{mdLNK87=;88F2F?G7Rxj*L$F3-7l8UxSz+>l;V2U`99+Rc=GZVUE+K7T7+yj_a)w{UgV#DdpJWGET^HAx zHVPs&pW!3pMp%Gf#C6s;Im98vI+5{zA8*=ugUP{er%F~Tu;LT0{VO`YLiiSyZTXh< zy7Wmj5wh2UAZ!(-m*7F(DR0$~bv0VIeExVbQlTIVb`@n)W`x}ApT$LKOw4fPFD9o? zxBdC9H{NNt#yo!;_=AABs1W6w~Oj{+DPg!HBZxBO0Xb6j0JwC@@fr zEonvRO;pnQsgOn5?^H<^aRCd*V@vwp`_MK8oWdCqM;5Z8>S%9WTm&8C_`t1x!KFm= z{B3M9J2R*Yimyr(GX7Crxwl*7D3`&09C+(O{R}W9AVik*TYs1n46(x61~i9SZ{+SX z{LFY>RsYvg+LMgmBs!|%GWJ|*POAMO9M1vDiNMPgqvbr|0z}KOwB`WHk}scAGheAI zV889Iqn?K9=eFnlJRfV7Okc@PKJIRW1yi14MrHb>o7ml@WKr1HXxdYrBc{17jT?)8 zw_O$&dy6Y_u-83aS~>0R9r)$5-A8YCC`WS<{qp+lyNa=i*d!O;n0REoDJ+U92^6YGn=2k0pjwHtuJX}Q70fNpQPJI{>cIAod=KimQGp!ef#7B zsgHW^(ca=x@H1!*z1zqOi#%%Di|1UiHh?+8ATaT7H>RpPh* z$@-4u^djmj%Cs#Xou zaFzdaWM9=RA7n2=%wuRh`Pf+BQyRv`(CXz;by1jz57S4{iwF+RNyGdfJK03>5r7$% z8^iExuQxE(>4m$IXyLdhQN8{c?i;)-(Pf=tj7Rh%hp7rTJ#X^u&H6Nr2>9^iSQhy+ zi6BrE`3Zrp^m;A=$h5E$8UwPKfE&}Y-o61qj$FE!D?s6-b#DWzaX6mNtQw-md`Vd~ zM^!-j$JHue8Q`rE6pCS4doHFo64Qq{B8LI*08wud+5%BwtXmhqHXCsC46I?2|L9ui8b@W;!y%WD`zp zt(4bl{xXURAG-6pZD_yws|=HAQ&;rcRUjeJQ7Fj39KDW$_h>B*KSrk#{2|MIfGo=e=M|{Yr4;j{1)&o7iWj~`#lm9?h7QeWA;+&z4}dwzOI3_HdY%i#`cz9Rh{bGR|M>nFXQ(N-jzcLC6jC_+rm zpXTC9(VQcgv&Z_ywS1A9>!-VeR@eqF7@5x`V}xcSL#^1u{CDO+b{b_Ga^5zcxKPVjG6dt3vT# zI#`p59Ed&%9&iV|zzcVB-yx=g$$<1kGpN!P;VfX@r-QEc9&_b@j9NvXF9PeAMmhUxwrCHeuLUY)}hEj}=iFZ;t7{Fl_zYdrG`e!CN z4EpMAhN@{HMIn5B5lV_zYZaua85t<%W@{BGwf|wjQmfYdX7yf>?SWD6f;|`S?na}o z5WLDrwO~d}n#D!1<)6>G^`ydCm3Z7VP!1lqByn47imCF7=Y3cIu_`$@*gy8IMpj;} z>7E_#Exj#0z5SZ*X*a6rE~=VtE~{#~bDpPa6-@|C(Ckmq(+M9%DVMoGapMnw9(X{H zSZj5qeLsgp{!25{9m|RYyR)hQRlyb(7&W=d1y~hWZ8OG;K-=jL4k-Yw3cmk^DCi&6 zl{pPbzc8olh_Fq?Qb8tbWuZ#D*v6(1T~~|<$tpp%UJ8HtI5u_0W)}-bE9b+;YUd7#NOG;9fV73eN_olO6 z9aT(QUZ#VAC*aQ1IG6y@xqcCCJk2oZC5egGlnV;=Urfk2fTHO1Pn<;veNHTz`D^Tk z+m#Rj;epR22;=BVDwseDXU+h%_PgalkN*o7WB14=R;#%>fZ@%;;6Qgk9|z~} z78&=ssI#P{nyAN_U62fn^nq%tv9#Vfe{|3}^UH^a-Ls2;8jPq*J#;e*L&_ElrU9t? zrS6EdYWIv<>qp0XU6BNU?VVZ)Vu3JGj`Kf$BW_;@UV4%GR+PQPj+|xC6(-SHaLKS* z|2;1K^43O`m^NO9-Lc>t1nOHjDZj_}C(@ss5advSBAYOz%AJlM5;S3CS#ZBO6GWC0 z4O>&qQ*%TbizPnMDQ3seYQ4-aEYQ<9DypuO*Wgh^i@DMgZfyg*(S>tt`c)25M4?Cs zwlT%pG)@=orAngxJ^f`OeNoe4cMkRrP6HJ~$|Xl{QXe^bHK_ErcW{hc1Aph|-yF$E zly3`Q@x%L2S)h=Qy;vYJp`*v=a>kQBjT~L%pgBE|{CzY)3LR8V6vFNDOu# zD`I*fX?&;jOD}~e{SVd$aB|I5{=?JGySbf%BmZ!JCj{O5Z(h7}e5z7Vl<*wHeDPfn zw;J_LSTX+RqK^eC9$joeEvUjgP%lKOSnv9PWXPWXU3{58*4#c-uAp7OkqP^iyRe|ii4@l2-Sbz$;Bqq3kyrR zBcJ2C?*s|!TEzwKc8<3m=x%HjjX>P3xzZL{*m z5_+!Ki>-{33qDo+RmF%dDr&zA{LWOY8K%Lj*Jbg%h6+t?Ay3xprGuxJ7M6-M8JB}R zKW2|he3%SF%)=D_u;*mjOiX=l{DZGon?cL5z44q_KoOMf6|WpMD>xJvyEN_dr!Z;e z5>wA#@jMry%Qe2Atdu#=bHu9OYB6VSs^YaMh;8Fo#fQCi(Lg=}SMwq$P*+y`N-Gm$ zfGAMo&SIhae9+u>j^DG#3RA?5I3vJSl_UC8+%-znSW+mgXbOGV!KKFAb3J3XQEQSB zc$rfm?BqSmXkDgSZ@u9+?;MF`pB0y?geUjh^ZwAmqZnTp9MxwXQ1=N#u7S5A!JmRk z1xmOw&8Zvi@q^$`3lnkf`Spzok?Ks6;`#OavBnqA^OC_NL`MutLuj&?GH|`fX7KzX z`*H}N+FFwM<2l~z!tAzhx6t>j$_;agO5C7eKpctA=OL~dIpUS!b`GaLRI*XCEUOv6 zh_Aacr{TuOd%ZXDX2LzoQ0TgSsY(_-?^N#s^K~u@1y!Ptp?{>wQIvAZ3h37@+L>qi zm6=jvk5bTUZio=^ds~mm2joGq`+tcAu{&fF1LNNg#3n=Uo(vPBVoEL%Pd#7seuSIX z?+8f~0*uGRG7?bQ_-VvKv?2(C279>{}A2B)(|8PUOFEG0kGUArQ7<>DftjYs<)ND=YV9#Pp_~9urC9az>Eg6BJB&aJ{K|cwXhK%I&~`Vd~Ute(Fu&|ZVpBR z_PgC60s6vm%fKo$F%av{dEOarUlVoMpQZ7^E<|rsfVyq`YD)+^n^g(slgWP1VDYH{ z`c%F|;QW*LQY{5ovRVqTtA&^*ZmsFO3b{Ixk{b}i0{H$fW*(M3y3cM+_!u_z2I@!9 zoQ6$vv7Yg9njVOTQuKPc`37L1=H_y(CGpzi8NcI`BI0WSSmAs z_ji0sR&=z9fuW%u&e@+jFYTIRSDCg*zO;MHN)CCuD*kM`v&E)Zgpw zg4KKKBfwNm`Z?D5pXoQjbn`{Bly_V;{wn|25Yey}cQdv*kv2w+t$-#$X6fv- zs3tat?q&f*D4C;zhb0+}tH4)m&3Z`Cl#p)87- zri3P|6naC%*$WnS$py+xf6;m? z-&q;QBP>_mV72T>uoC-YJ+Ua6p5+oj(sR#qVg9a*MWI}~EmSu|&aL|AYuTtV+*OW| zS!z~SE@UPBCkO9z_x!gH_Rb%jEsc3^Fdy9Ar@T_;(WC6J=RMt%0gOUQW!j+GyJ`HQ zk0~&;R5%>EkK@)4GuPyat$(SyqyHy-uATX&lNp!3kCMAIDg5AgWAeHMKXF+bou9v2 z8zng=s*Qft!4kyVYdu~>YP4To$Dqr$w0u9C_{EH}4c9gi`6<+~-OOa9k=F%JcRai~ z5R}xR^~}M3LTkTJb&PphpBz>}b9jae@gVlyQ*aa#k~tYDrTNP=$Bw;>!WoMR5z>4h z8s(HQi|F>mqy!S?+YIR(UyhweWVIDbKMTIOs2FiMnSY=cp)W4P3O?kOOeZbZvHn>G z-)M!9UCFr!{pZl)eRGBkMM&^K@0SckDHJ6LfY>f4>In&c8PO7Wq*Uy2!3$37qt~Cl zvp|hSJlG99SUcAtdL^aHc`O$iNfq7NncvJRzv)QpV5_?6Z*E+cf(uoBjROT~JP#Be zK7|F*LKgv0vw)`u8YS27U#!Z(2W-ex)^H37O_tZ*10EwOh4~TC)t(LCtz!y_K|CDkz&~R6#>Os6b@C zV*DA+@qSX}HsEQ&lg@bF*RX6FuRD#>-y_As3@+!nqz=%3=N<@C2Y|Dm)?egsHVK^& zRm3y5-v(H*T6(>+e0(zEEIF|yhp}L+Q%|dgrtM}$bFI$_b_x`6q*|-U-H@Y$G>qbB zQTl0ub?FG1=JW|^-D&8bc$(O4>x#(1hn_d%7W7AOAve(WK?e$&k;fxtJh%c6|b~kZ=l%`|nF}Kjs1y7;33C&+*}$7;=7? z&n~GC#H$OwvvYX7`#78Jhr&hDeGPsShAbZJTc$&G+O+Mq+>1O*t4=Z1PxkYocQdAZ1G0wvb1 zcTj)Wy?mgN$&ze7B_}D*d7gPbrB$+%&C|0JBhlCsq)!D&WeeF0_MXESn-j3>bB39L zaklx*8EbXRv;1r8%`pJ1gHF@htN>Ye(4Z8}r(3Q2D{CB-L?D?dzg?`9yl|w=TTwBf zFb2FsQ@^j$1;F)C+XF#`QcHD_oW~nHJ@ayqWGOL|3okg{3k__ap}LGRzYB68f8gY? z$4iKqNhxC_Ht#C9haIT_GZDtoh;=3F_{Aib5bL@ra&~qbU-3cfC=ZYw=AvmRZA#h? zIFJ>?$hs=E0(U886zV<+ot*+H8vlyK8>K`3ig=@f{eN!?CumqF>_NcFsg^$A4q*xaJt_f4d6rfvg)b0?h#|PWTcxOj@-J`uH2SL}tiT+B04Di}f z*?0&Bf(CS`_C_Hbq{My;wzq8?@5HJQ3_^=E0uyM~JiB1Us-IUi?vkm-4K!zj$$=-<&QA?Q1e$4IiGiNjWrq4O z^_Jl?glDIE*IvUlYb&T>2PP{DO3f_=*b@!__X*{P&isP}RwW?pOS6!+wg#nYrV*dO z<*zmTDiN-LUj&$b#&l*#P0Sg|+}L~+T&dv(VvbI~IB1flQu$;|_a)EaAZ1OMn&CUK zy;vxHU~f$mAcpSnWp=T^3A(RheYE>i8iyca_y2MCcCnFU*O_1dv(ngO$rdmR7ZwupBB#jzH=iolbLzrMlfz}7-4CyW53~{ ze_oY^kP!4r9Q*kgm04ORJ~??b>EZ;f6XCb9bK+eH9&j0d*TNzR_i?5n_| zjr>^nICM8CJH?D0w_0)^m4NDIv%1}3yV~#1L2n)B$W1#vrecpJ9Ftn3a%TnqrRIdI z+{7PPm{t>@WCnC*RT*v97*Itxa-p!3$Mmfa1~&ZgsBCsEC`td<6C%6NX+qAQNjI4L zbSh`4f)r!U5O8Ht3}guC73UlUC48;1E!vEj1%|a6$1u)CS>=bV`#ieitvo}m4TNGG z>uyQNNp{5EUJu_-vrDczLiWYaR6r6+09Edxi?u3IBMy}qS}SX=B{C(AijgCJULPdFF3o$WwHTPW!z*@8IYF#NhMZd7psJB5K6Q zrlNtxhQrXmM6{MQtOoT^AsrBBb5Qtcw*oyDyirTP591ixVR(gy5@h9}{<_@X$h}@0 zMjQ`AqK*xS1ep`u}IdSFl3l> zCAp*}TfKvB4=7WqGIw2eK2~M>nl*^MH)=iV$NE;flgd?rS@)QhMlI7bEQ)kJ>NT`u zXf$utNmChqeZj9p64&n+^W((Nks2izXQtP4%PVi6?mtCixc*y$t$0t)9`HE57+?2T z_LBSMDV20oO|GIj*lxeZ-Wt-L)Gx`t*!0%wEr5X^TQjd^tfM&t_1x_4vK+NGN+Uza zqO+sH&32YB($^N`8Nckpx`|jpyR{ksdl#^Kv1kj>lqhO!~FstxU<%&BX0#`J&>W&IwvYh7w)gmTYP_mNCe*e>SkAaNts}VXoXP z5j(SST!uf!bZ!SWwEY!@nDkP!GDD`LE2R~`gd1t|_ugfx_%gJ}RBZh9@Le96ilK@Q zjaQ49azw=VV_V$sa1wCX5z9_)RQ?2ZO&^n>L{hIhE`>JGO&9h;Ce%TS<8O_#7X;xn z@GcQ(*v2E=%m{6Hp(NS-K1cLQ{!8MqPL0g4`{d-*a|^|l)4l$alk<0ih|Fb3cCptx z??K}0v@fj+2(>QKQ5@N&G7pxoVpLOv)j+Rf&dta>P|`ok%7fSD+~9@$;$YD#>wW?F zVx454aQY{S_k@`~b1f%%DD^Iqepx)G#&4X2+#ss80YtRLaQ26DVD7r*0z=H-vM`Ww z-H>~b9)jvh%|kxFwbFs6xu}V$qO9c9EgLgCquQkt$dg5&M}U!71QQJhr5(OZqj{S- zY;mYCX}UEP=h)lvy3KB-LEdaudd?dC6+fsm@N#{6fQ_Q5xa&%3TPgq6hI?&-{U)i{ zQW_TxhR9^;_AVlu+oi>qp@czE44>j`ghQl98eLl-)w}8rp2UnzH9AO)_=m5{BGjGvZg43M`DQ1 zC1i=6yc3X!2`dT!`Z#=@!4J1oRKjAzWl53QTaG0~^W{6F=w*&6Fp&x&2@jq)8lNN1 z`9NXf;-$;j(?(fKTwAUflb5QE)gp}fCR7)TU{*YL92@&?HpTI3zn!tR>&zBa+Moh< z+vuV$9k@rG*nuzHbR2W`+IpCZY!9QjZT$+H%uJ|G5kamZw9167q;}@u_~u-J+3$zH z1guL!NH<$95pH|~_eplbX^l3PXjYk-hx(V9JjOXgKH+uP&m*F0J6%O-sAGu_xwD}f z@galAk$Prfqzq=pkpEV`+fNcdM{4^dm6NFRA_T; z0Int%bTXci@4YtU!<5|*?Ys;Bm8aER7sK?m!5~Bgcw~W84z3hYq6-eEB+1^z3|Me* zMvvj$Znmqcvr$Cmzc{}Vjgtbbc^KXR$H?_h;c+^Pbl5Bs8Tzh;1vuLqiQGP*y+%fG zrCak|PFs`$C@WqT(X$Pk_9@;nMaNmF)l}KK(pM8Ge1Uu1MsZ&FNu;kW2Z&Hq%%#Nw zt!_~WcOO_;ZQ*&RYXPktIcu1bQh~n>AanM%xay>9I%*8J#4Gh`L#n8L+seo#YV#pO zL|J^5ku2khG`4EeOH`5KYNb>2HiRT|6VBv z{0b&S>m<^%XaE9J`olJCv&j+CVsR{-mSHy1%p}Gn2%hR8wtIZEfAG*d0}-}=)Kg_b z!RC7AI5tj@xa(YG^p*NDSoS!{YZwHL)rny>vQ|kIMI_l^2ke{~;fI@UE!_+HsIHJ# zM+!JBEQU)rR#O(b;xSi>WMy){pzAXXofB-aFd!)=&F;2VUiD_tD$N8jazIbKdw>w;Oi>^V1%ganl58h1vREC8bVd^q-<-SRo8E@}E5YAo=lU zLKxF^o%@dSvS#HwXd0&EiEPH8xvcES`Jk$BY;r}`!L4B`lUd0%^j;AP+b`i#T7L>` zW9hVVj$fLWcOq0UsbWU7_S|v4jC*VL_T)i_ymL3ZN`qkZB%%R%V4dS)pT-l^n|E2j z;_HTee=zvZ_%PWNq(-&tiL7N%t@$`#0_RSeU%Dq3sNFm`f2T<67LxytV>C&o->6FB z`D+n+tVc_#L*{VIa^1&RYnFg-xf1G3V=oXd`!|W_RObs#<7HZZXsY3+R1Dd&SuT^m z1u4bhB{icOXa1S}EwHZXW93{1t)0l(ApV+clbDo0^yt`l^G?C1Z19Xs;owYMyrL}a za|?rEy8pHCG1V}fVY5UC3-Edy63D9*EWOoayJ8&BL8UyT z?LOl;cW_w?`+2?6KnSABe5t;LP>_nAxPd)`k%aS*TN<0*)B~V3x?L6CGkuM%x z1n24Q@skHsUh9bw{o}+hpi7XBJ~wabX%1?;!!D^BI&@z+&TagS zW;yZD4Tu_f)sQv@dqYVv-wMBcWJx0=f{4%jy8d!A=+liYgaUN!Ec!q@)f!Bw#eJeU z4`q41_wahjOor(o4S^kA>1Ms#Z8S)(RO?&J*xCWZ%$U&_rD+z+sows|k+Mv7m;mev z8uUYCvNpwtXT-)Muq`2^MXV~1gZv|hOVK%!+U3>l&YhR1c3DycHqKy^H#F&u;S640 zRvC(DT$4OPJ|vl+M+hi=Kt@S>hE(TpR;Nb0L|_(bau2 zQbaB!;wsDTvzzfHEX+IS`a*7Oz~xe@{DqRvuTTU7sNqOD-$oiDgx@w>#-+a2zLfKF zq1oLIvb%DOMewXM(45B_7y zCT=+ISO9J2uE>Q~bma0xrODgv zL-*~FO6qi6ZLp%_ChmiR!?Is{F^?l_GUn;7BJX*{-Tne*#IS2|WFhharjLEg1fgbm z9vq#WqZ9JYMV6;l2)Zo6BI+NLJ0r3pi$bR?9eNv`JAh5LaFX(PdS-aUgZ*PKQMcw{ zJ3H_E;e$XWd-&nS*N;!-#5xXt4iM235dp@JNtZnBTt@PZHe#OG?qa8gIs99ZokXI7RPFzmKN7EKLfsS!tiS zq!=cYae|LOVwlVeW!EwhMl3sZC|;xOCPx3}LU?p#czrvA!BP0UTRrNqescvudYC-W zxJ)z;xN8F5;P~;%j9s2v@Y}d!vcqkZZe$u{R)6wI&+=Xi;yi1W1`@<8!Ixy%;f%6% zN@Njb=avQTNkcnA(&o0Hh#gialVvT^xi)k_4B>{MLRmO3f0cM$%*p9_c(4np?trD{ z16SpqA2|I{;@2=Q@9SjQw}GhBk&)QhROkVw=yS&@;Z-!YVG_<(-cJSnN4T_gOumu;wd6SO;>9(4Adbh+vvjH2;Ypi=ukDi|O z_bxMw(k~J%x@-XgLO*q!xAa@XcO9>vO_*JALNOhf1Vip5afIYk(8)6OuOQmRHWC|g{R#5>w`*H#ca-SNQUoW~=V+N4Ie_-^-e7<%xz0(U zB~EM*uY$fuZw}+1lYnaM=GgBE=fX`pqCO$u`3&}FASYKF%2fPW;x(OGFnP+3pl_c@ zJ34?>SPbDHX06?=!56*@yFiMQ4cWrD>sKB7eo4UZBpzC=^xN`qITeU58DTT)J3OWB^sG$}^QK4dV%3QCnH@(%$)~jCgRrSX$ypRPKe?x7?!^|0w5S zhoo^m1%w@z?v6c+A(n6plE)x`o?%udeVv%7a1pm_el6xwEB^ zCmY7@TE?cT6rh$cK3c*R%BPD3ED_A-EBfU%nHr$dfty(;aAPDm_)(9k8NI$rzmo5F476XA5IZrG>|Jvlz= zADv&w_x-cG=&4N5;a&Ze=Z1J=f*~KYo+SE!-?FT6eFug=R?2R?S1+sNSru;Cm0gr(aMR z!*$!C8Y8MeF=|Fz6-}ke=Wx_8ETNHMG!6%R`XAY8eQP=_bWGGbs_Qte_Z^2J*wO8l zpI1Q$X2Xw&JNLm7yi~eLq4g7ZCoGFvrA;oUG(D>8rzMW-*Qfw)6odU){RLT&v0MlY z@e3vEs#z02#?q<2k*k8n+GDIr6(}3~b#{5zw5*m%bK5VRwZ)6ethB4^7Ye!co*aCg z9G`=|^G9bZGd>&RA^1PdJbL6#f`wP}LRZz>e7LdD%PCOdH?b7bF}tIzwQiRVcSTO{ z4Fe>bD@gM7WrAcyBjX$T&6L^(QRQhWVnlr!Dsr(&(kG1Sv0;>Vny-(#KOmugwZ!@ypzN{~I@(}5#03$$IgiG+d#5D|Q<$Lt9V3vo-M>~S zPpT5}uFv5CwGr4za7@*e5Nu2_Pp9aDPfs8$+s`WDdlm%Sn8~=FWb5{(*RE`~R67F> z)EDvY8V7CC0w9ZMm1f0ELS9kV?Qx^%oB7otQGnthxcCez2TG}{lbiyKWz<$=!8ie~5r#hr=Z_-TxIME^PBkxjX{5uxL zcy{0xu{T7w9W)OU@{hH#ksNBC5DYJ=vA=O#DT*M|^t}u%BBU6}#`ZAbH&d)olq!w! zyMG#`@Y7GHxfed1F3B_$NRBy@{O;jNe=<(ipywG?`1wRXul}79q37d8m@XYrDRG!% z*0h98nk!|b_Lu0VmB=!!__73uewe~@H(k%qGGS##|lZ&>*OjMXNP4~ zfU~^&=Hx=2407NU-}I4BVV_jGTXbYzf@A}mpNn-d^M-t^*|4o2Pj73=&Y{_kG+$dt zPJ;q-LLan&$e2v14qU6VeORQj(gJD_5J&uTtY&S%gl`6ZLo^OQWrLQ}v;`WJ!QiiqI z{Dz=!I8t*QjarOJ+Qo zl+$1|OL#VoA)JI=!2abX72g&ym7IU`uG|KThf1tJRpkeY2+9^YKKzOF!An4~z0At7 zX~PE$cSomVb0jdzmsy#b53H=Z@G^yK?UP~KU#P^8?OE0@!AUc#BGF-sUFGcBRf1^T z1%rpib^+aA@<8f`@$qo^2}Gq6x+Q`-8z3_46?4d`S;fJclKJ3zEQFYhIl=xg_`u zQF9<1N-5E3J+|Yyr`>pj_OV4gHV42bUH9@2H{xDRzrgt>`? zQ_$`1F&;fde0bCWiUs#dH_DRhfO9^F<|+A?AQ`nRXN?Un&xBn}CZpixEwjZjP8nK| zWQ%aj%ae*OSn{rZdoi+zzi$D2qg+g4FjyNj?&{XibfMl3f_xlFbIdO-v)x2Nv_=0s z@$jYQqfP4S!RWxa+ejP44Jv>@xX|NSa-4_yg)w=waG^|=qC{*eM5I#KKrcaB2ur23 zOk?vb@k+V99>U3(9Rhb%e}kanmoCFRlHZ}38}Y-*($uD8W=(y>R#ljKnfV{!`Y9ez ze8TYrCfsJ7zD1D19JWSv7j^Um9XE>Q%Y2!(|FZZ@1mdjZooYQ$!NPnMosS*o4Lods7a#|uBwq?t zNDU$|3Ze#Ik8!DnALZQrNghh@GwMFEBE^QVVBAE`8c@S5yxxv4BetN(q3kL|?++6% z3LVXN^tm~i)O`)2C;qQd2xYV0y^talDVGloYAb7KY24gG+dWWmzdso4;)OKEmHQ~M zs3Ccfh&;La=V&^M*caJyfsGIriow=Uw;Gv=2K7N-$z-9NkG#-eZJDaTP$9i@sk+;R z;9GHwpfIF|1aPJ>&MoZCkYHnGk{N4T0F6IQeDBmkVs!aD*z->JPWx{u#()uHSEzVa z2@YscaTlZxI11lO{N_49Z`x7N)bieIqP=o^duye+)As5#HSs7{R1CSJwA=)3vFMQR zKtEF%fU3dJ?yFHZNYNq_fh6fG`fE)h3ebf@(onpQIoe}o(17@GznI4IYz`Db`2?fWH8>x0PCMvoRxiF%EzE= zhEtXc=;v{@1`t*7=>kX<35o^RJ7)wb`XfBr;#U*J+=q@Pzqw$08_0}<;_|{;{%h2x zPtov08m`Cj4?5ecUaS6Ets&LbDjysJqF1P^$rcGj4|S&fIjA$adFj5oP=9t)>9F90 z{VZ0f7RyxiyzU!*Tbod`G5p;e>U4k~eci&+kBikKH3VfkbxPg|d$BQS0ft{eNGb`d zPqc|y)(X{IT=WJU-PUk}Jd5;bC6gHsXxPz= z%i|J#OLnzUWP7!+b@aIoIuA-;ajro09;@zG!zO1~MGn0*zcQMMpA-@C(|&Ky>+S8G zdVAr7JFZRaxnNE3zHfb$?yuPnA_4&adtAD^r zuiFb`;gB$0ava9>u7ZlR@NC8orD+bW)gM+<;Q{N~Iv0M3Y zh~CO~llZOrF!$z^&is|W_iF3g$c38%l}YLaaQvb&;07vU!Ii2sO96PmtWxzdEQrvI zzXT6yZbE-+7J5axiqBGh62Gaiw~QVRZ=d#d4^LGChtfm?hdBZQ%WMn;EvK7~^8wyI znM08pR2H-(18;pPlF2N*aTlHQXfoeV{8qQuhF6Fh(RmsofoFtSqUnY%17uBt09bs7i6Q}Fs>uis6mPw-6w(aW^Ciq=!lL) z=X-;}F;+pi9kVAOMDcugS(=!K2JZAN8W6sGgRDQSj?iBoBCXWs!9ny%ybuJ-vxQAU zLL`DDUF4d1t5!V97SLXaZAlK-D2gD;Bnry{Qts&ICXU0ZQV}~1I`u(~7Dn|={nh;w zOxd37HAJh%{Z;AYR3eojdCgS7du1n~&)D*T!i(T_DDG7USRTg!13<@$eBlLpj(O>) z6}p|&-s`Syu+SQ-WCN=t*#;62vR?ITK8%N@-`CB+81Q=meAT#yvyyJes@$pG3wtu{ zg^5B_j>yK{@Vf-*G}f-Ey%Kz)OZH2G4Ti(-Iti;~$D0pd$&>iiy%uDe*D8&gS6vCd z)_7zW7 z&%cV57cxn1p$hhE5rkQzMgGOnj>Q(akF_!8hhKTSWPbXvyrf8f#s+ILF0w6_!bAD) zR+ka>BAj2p?~G4GBy^|E%X1d4Szd;CUW(al6+QdVAYoyeQ8P$zeHUvsNC1r)|5TyF z^;a0SWQVr`r5Dxyqomxt-%R`(*T*>nqwLEr87y)h)mWJK-^T;b5edyHB=qD!tGPKe zDX#M(f~k&X4-06a1SIr#EzHi$^KeLH)OYl_SE*JZAt(P8Fp7EuLS43z>aL?kC4dZDL={9@(9&44Ts|BZsW&jK{eIw!C>#uW z{g(aeGkTcGOrhB|nz^s4%ELAILxs$;^V|R@5OD$xn+?Kf+;8g_8Xf(>>M9pySyeg* zABN&BFhp2a2GrB4DOR$+0wPq|L^A*p5K@ICqWG2p@6lMTgo?nCwg0okiy<|4fBb}s z4C-g!xSK@;kKwX`nZ|`UYHvO59lAclYel~*CkZDCnum_A;&LJs%yQwquD=3jJPA7l z@|=wJ>|lu3W(16cUsYi&J;!9GX+&Rz2kkF&Sco6s!l_4BPfmKL{gvG(C#R(g{?|J{ z+M7(Q_Kx}w;B%clMc<&e4^I0`SK;~Wl?b8NN?r=#{}Emp{b8B5wPEq&1~$?Xh$qg6 zc3jFR9*LeoTW!}k%yu#paBF=WJNfmX==TJCd6KR1d?6-2PWFymgN;tdFFL7(Tao>J zKYdaXzJL~GRY&atG>}okPJ4bo{54<<6J?!Y5SN!Z2VUCu0RrE$!w$G!bhGBGM@C54 zfC!J29-j8U$DnlJkW|_r@YJf1Rvz`8{w{u&%xKe}oOOmw*F9@@c%USt$}?@HjL1I7 zmE|n9v^CcnZIsKdH!ll3eyfRxZmlAs5=)M=m-t#bw?4o#Ssq#xnw7zY&*gK@vzM$H zJaU<>JD{+$hSf)aFna)9%MHqJ)kCa%vK%r3R}GpO~!GSUR?agHGA$u4Wf zfCdI}SoBOdR9rLETbQTa(O?~8{;QlFHW1{frcm=pP<5?$?|9u>r>jtyv()Uu$X?uP z)tjh~=06vk(vTyHnaCHjH~w}oNG_r|dXN#~$cCY#&#wn@x4aHI(l%<+Z$|p}<(NFj z|5G~fA^~hOkawU4IHW0krP;cExOjbjF_|qT;?k+RN6RJV5TZe^;5XA{i}1^k6e_@v zTz^SQ`i4|^)lY3pKXY-6ZXh5)lJQb1EElB8gpl_C;(?R-e5sW#^{#Xzdow>?0_u}2 zQ2s^6B$rMv@r#U%%vIwvJXa&N9&lCb0UM%jaU*pA_UNFLr^jYPQX#JYF-{ADx+LA= z`(tKh%nIzTo^#ruZdmxNwvZ*(-g>2hOd7!TZ6pzV zZ3ja@lXs;d!7Z#8%>f%{u)WJtX;CV`!+IQOa7b&y#bsGnFLQb4xt%aEq0>A(j{Vg0 zuVA9J+%fK4$U6bDhRJyNUXuc{fx?-|$mgYOAif?y}V zuD5wp>Cy`Q_oCockM)1%o673zQqNITd4GL92Ta*ywr;#8sVpMo1fG7&%EF%I7sUX) z!3{u5Sr~^UCDD@1jGvqy@Al8mye#YhcT5Oq7su0)0*U5oZ40R=B%I+>{-%Yo3lDIN z>@u9R!nj?W=TkvNI7j&d3xi?G0hy7nSsL2oMRPDlzh~t^6R!9m8hEACt+czus_nui z8%*kAH!UE=xeOiB{duG~QO$Rv^v6>?TTUSXceH=-&^tLkJNM4cd*^*d+dqdzw8NUd zQR=X7VO?pC9PqnQs@7>#P?xA|nziUHXEzvxC>Csir9i&gYI@D}^~;h{naP)lrz9^k zK5F;R`k2UrV>On4nRwz-4ji%%4vxIT{Re^mn}CA+@Z#&or!s$Y$d|%n$mMSQ$SSX6 zXSGH9w-D|8&0r347SojN5dc&6m7pNvjs0)K`!@LkldN2L-w>3}Iv+cZ zq1*so^YwE_v&+tMs#yFJoYq^+e-|V4IrqU7lPpSrVsc=g=+})6EM+`IfM=NnQh3vj z!*pFYm-#`DYAGv2$&|ZLJLOpXHO3;@j!e&NgAjT4&e858@8sa5?;SqgTk#3NlcKmWw>b>T~F}aUt)!1Es|6JcPFsls0s`Opo*54G0-)FnMBX762`=~E^%n0hGzVQasP7C@Go~*}# zt}v5RV5Hw=ts5RY1m})3J;XH|&2`#)?AkBtpJ)>E0qdv4=a{2(n`%2JuFQS?gId`H zS(=g7qBT8M$2C1TKk*7KJFnJm4*_daMy*g}?&q0)T;~D0qUk%Bd~iH2@f^tv^7V@z zag6w=+k$*R=rW5ua`SN`p?zDTkmK-4aW-1uDm016ZSiam;L}WI8{~ z#LPq1FYQ9&(lAA4kzn@x;R9$GiWV5QlkxKblmAN^5@_7drxy`4u;)1bxp2@4T}4>MHk?Uw&rE01JzV z6_`Rt4M8-ywZ@6x(fXYsS~$C_iaSFdSnRGp#>)n=C*ba5MS@r$VG}q0z|oo_p{9s- z%Eo312Lq;Irh9D1R_Sc6HtvWtfQpj8i#JTZZD+xQ)0s;RL`ZM~iA%^8s8HDGbTlM) zNY|e*wq|3+2|=LlF7EcnO88SXH({CNBAq}$aqgvr8aC!A_G&?@q}y!QJS0zBD-@NG zLS&FnMEvK=`hlMe)8u<$9~+IT4;vVoYeEW?dTeuaaH##9Lz_J~I5z4z!snv0iF&#& z>gk$ocsXO=UEJGT-}E|nw$|9sc%{23HK*7Gc1pIxWgm4=27#<0X;oD&ho8T-cDII* zP?Uq=F57a62&83I8m^&Vo9ZO+A!9>D7h041)#4c9gYatt3|HJRpnnFs)pD^P#wo!{ z6Y$@B!|TT?;{Y|JQqTtCM>aBo?dm%0_?BoHGlrW(I*oycV4VhRAy*c51u1hWh6ezo z(8I=YejB$*=S+>1a=W+Qu57{|Znsy~YpUmONxytGINxik=dY@!sV9CRR5d>6!Ck`6 zrxi65D%2jmj%xJm+>*;)G{CP8^&=t1A!(W>)&+b!<<&hPkDkF632a^U^5zgn| zg;s{eaT25w2&6yd-kEt?)_rLpu5hLAV`9R0Z9>DIErKffa;TRIKhy>qc)jDk?X3>O zYFmg22w=$aN=C?%^U8I(v${%liQ4XqF7Ft!-B8}~q2nw<|FPKtXNE1FxRU1xS(e#Y zv{YuY!4}2uWjfv934P6utFQ5d#`vBAxZYe_+>aWl&*#q_1Hr&ZmC z@^9SDjeMw&M{RtD?0P1M=$s@AI!r8~=|moUak}C!94CvrYfj)9u-A*JM1F97487#;@vM{u!J!8hUXEZ}my59;J{VfX*sc}=Zl?eB? zn)OcYR&A@+t}v6l1rGdrmtEE{YTsSN18r`1>T9D10^P$~+fa>Z@knJnl4ko)5(<<# z2&B=0YSZNjDdm&{C?fp_9>N>1d}+b5n_wDXM81F#(b{9C-PanmN?TE3@axvXLijhX z)%rS^WEYYS5^27tpXT)Z1m@(1Fb~Tg@QOLgHvDqf5aY4)IGV7wY-AK>8<}BB0gix3 zUKsM&c_n-GFY(+d=COxk6_8!Mv%}-wp7*uh;Zw@fqdMoug8?5R>8Um|&ocCgrw_JK zsia#HcrHXDWQGUa5GMG|&|Fn|%kc2{?I1PWqf`_iG^S=XRxfxCZ*NzHmj3Y@oVaMl zc5-~wKROSN5x4;oGzg|MNT3pp<5R8IP3E9f-O@5~!rS4yGGP72ZytnLc}W#1ynLG;vT5izfyeuKy!};S z{~O;Q4E_wCCR_3<7Dxd^^oxT1zIB}MMW(yxnYAitwSng1H1WTLfva{}E1SLZM+dz# zuX1?UKfCaYbzx?Om=L!moKGq@qbOcMRZCV(^Pu0sXVA2b+;)ZyAAatmXik` zUC7rg=1_FI5C|;JJW1Yhqf5wdDO8?@zDr;wsp7AyWP(pZ`>Uz02Xjo6pjRU}q$2*y z;3S!i8@jF|p4^o_m--&G8+Fp{???p>BHBz;uPd)wO+Lhe|Mcv< zf9my)_Pq7e{@#ICIoo~oHoom2`C(lO{?b)B{C$m%?s6~7tbh$yD(>16=+dJK{D?}x zk#_ZKYT8Tk;DNCwgp0AI|HH|n%9ZjB(^2JJ7KtB)Qkm!oHt4L;KY^D2l0}S;)Qw`v zR@rTMfTvf_L~Rm5W!P)Zjt%cC{7I(qAjEh;7R6QkgTx!oOmVwbCV({p#~WRt#x;5LymISP409kbS*xXl*fI12{Pm0nWUrq-T}1qRAx^DF$_(MD*58 zQVc}^XfO|dop>@+Lmm-vk1G^LC+Dj){8r*OTSo*=6sYzJzv`BV)H2_pIkMtdexyHh zS>Lx}zC&}#N&h+8VqwEZ=Y``ihp4$Q#&&P-;21=%y@OLfSiHFM;mVco##txBzw>f$ z9!|Oya#iT#LMO5aR{q})NF7KPsvQvs>15Oi`HjSv8yVUWjC8emq1FJ`+Cmf;IMl|2 zgrBSW(4a+f*JewxkQOJazj}$*%Fk8zoMclxB-)Ioq|@Bqs$R63z+Nl9!k9&%6@*?E zcq~O};^?Cv2IYarCsWD;FxvgRJC|Y(7ogrv$N60gyW_fxa+VA(>WP7J{Dy_6it8{m zz(naj>K&C;3h0Y?1dS=21UJ0PyfB28qyLGr{FOBj8tc1d^PbzRedX>(Z;;MNdCWy={>%|>)&N(wa6Y8sZ{7GbnM!O>>719~+n z1NP#rNk&uws1^;=Mzu!Ct#vznQ{(O$4X+VLxq|xUm^orCM_$1MF&rcXgqXZ(I7oRe zlahXi&fqs~K=?y8I0fzv5fx=a{;*t(_T=oYl&Qf@_^_dlmjF9`dtz&TyV7b;?zpIwKa%fe|OR@KL1= zKO7Cw`MzFwA+abssP4?Q=8m~bw;)?8WT5y;Q57E{CpAvQ`vHa@LC?wIAxyjKsH5sk zN{o!IeC*-7)7|}t-g*8l21?o=j>S!UvT=D+Na|DN)XQb2f~d(-8x%U=K(I-*D`e!L zrfo{Li-x(mVpeKcLTq)MH9Y#=E}D1I=)IHpQE#uuD)V3omPG%4nEscEA0TA_GqeRy z&iN^rQg{W8fl>QLt#^a$DxCTs4hA1s8jciFu&2IUit54fWjv^Qy8!1<-w9D>e}8O; zj)81eG`admd(h;9p?q(yhO!9GO)f8Rb;xeyAOwCASwzt!Vc|K6#HtO6sJJf3z4}(Q zhB8Y418DKRLjQm*N1@w7|NnECX6-?%k~|Q3$s<01DgA!p#T%VWrqN|(xC~v^m61NH z^o`)F*fR9uw&!K%e;O}*)M>9aYJsdV%4^ft$<;$xL3Uf|Y%e0a4V16_6>tk*M2JLv z%VE&8ga{rTeoHj-wKbNUb3L44@IK%!cB$LOpzRwZ{xPfd>u1#JQ=gxW5~2tY1F*RsMQDT6-FU6Fc{h^DBHlxwq+2q zsP4?=1e~(v`GI{?%xCDJ8KU7VT47=>KS*sBh8Pqy6F9(z=gEOr^RdFNc@+9Cx4)cK z8;!tQAN%>3w;;~@laoi28IVcmU3FS}6Ym|qhYKnnXrgiy%2PP=_=+!JieXdl+sTY3 zXieB&+wqfUtxCJzy~8QV>jz;5-V!uKQmS{hFt)Z=rPqMu)c#;V-%v9vld$m4T7}@p zlH=Uf|IsLH+1!Sw(%9~TE50)G(+mF8qc;5w6v zHl!irx}QOd=H-&gL@rEarL2&p3pEUwGgbxw>`~{Mow#pS51vT<0#w~4 zs|D5LBu5qrOmEb0ZLm@>vxrX8c}Rc3dHw>HgvP(b@t*fJy0RBwBGbQMdkD?1`yRpd zdu|BR2DiECI5x;8aTjNY=760L67(p{Sj*myO!;QN2?`r*bG$bCEE9(5%HPA&bp8W{es6 z2Cb-#Nef@7dh~T9-HNylllB3|Bl!Xf?{Q?#rq2-i8lni7fURCQPDtiAPD~pVUXW_@ zUSttN6BEfDiKoFXKeI5V;qyDzy$UDg*moCl=&(P^(!xs?R%sMrqaqkZ6!Sqgna=#r zV(g9(o~~}UJ6#q)+i*GJ`s#sMvzjS~%`PlU+2nn^qs9hVIS<)$kBM3X4qAW`JEq50 zb~}Z1?pROW!~NMkc_rC-i2+U9h)n|g^1TO4QORWdjh&SmzU`;US=CyD)j6tdiVbd7 z+y{`%EFVEQPw!fp2(!m6=i6qz3*#pm9l`e(3UOA=xr+L2HUml}=B%JpMIK1*t)n*= zcmPihfbr5>KhZyqzw4FHVXrrrs%v~~yl;XW;*|shI925Woh%#6AnrmOQ~t_%?JE0g zuDxoj(tfs!JS`ui$@n*S02a{Jo?5Kw?YR;hXeL6Aj+f5&pJ{O)LWp-qGZV7gd+(4BaB%xqmy0%?UlOrt5P(ma^iT~0Y}3Fe;tTUpmwNl8L8b~PL&ri z?~o#letLY)9Fa({2fPq(4{EURZeKV~4R_a^Tgoi@z^5FC+B7MsILtx)UgFhbD&*IT zqTQ=htKd<}e^swgO8SV0@;j+N)^re~qjw@=mS_443(u7NV4Z>o=lP`H(|BXsd<~~&hP5)9LJ|f67*mjx|xFWJi1eD%3~mT z1b^4g{DvRjI>@WS{F857Fu$Q{Z9_gQ*@-AU!a#f$v!eAi#TcP54$Gd?|0VqlPELQd zbEK@l*_q``~*ic zngu~1L+Y^&jz`>}1iEuMr7r(3qEEcq%0b@}dNQbd!1$Zf&WVUhsV2*-Cl+fJ?(1J9X1qPzw2UAIspx!{X1;ts?A`ebp&Vo6OX%)m)w!zT#e zQL=v4YV{pJS9Rw1wmRxN6x6&byr#|dP34pQIPtPhtzw^vjGkLYZ`J*$0BZVgi5uoU zIeWm;h>LM^g@l=SI3FZ_8*}}lIk7YY{~F_H3Dh1T5=1|sJhBM+GKHIe+ro>*4KXH# zj{GWY#%wnu1nJSJ)62kke>4~n1WaySuNMK15!Fc-#%U88cdIxZO-)=_=^$*Hj(#b|S>A{ps$QeM^=b!o0GF=I)A5;wK@7Kd zV?2EXFk|1T8n=u}THQ+g97pJl=H2#-C3}f4r!%7Bn?X^5q|fJestw}0hwNpvUE5l_ z1EpxVX52WB@xCdZphw3~4vu<<-d@iaI{(|jAk?uQlBX2lVuPs|ca(OT4Wxp05aRe? zbjYbSnN>q6#!j_aBY{w8Luv9zl>my7N6PR~{DTFw=^Q0KUnsCWP(fs=N*PNpx-&q+ z`D>!xA(d`ex=Z+bt-}bsr;Hohpib;OFaEdLe)i6Rf(iw39 zQ+RvJ1(nYDm9Pn70Dy$+!r1;w4DT24i2j;{8u>yPD{TB(%rc7ozxgm0n)Rh2P;rGJ z`S41!K3~VF+>^{*1Wyd0Rsl+_`}Xv@Pz{Gc6f2je#f`z-m;E9pj`qi5Rg3GRZ~-2vrL8XqbkbWJNhLTCo)s@*&C3!7?o2j#ezhmHUe1Y3H3)7 zX2axATr0H_a9oIy;Qd4W!W>8@^o~N9NRwa`t$<&pHLBx^=zr4=xRBw(9Sznfw=8;$ zA()i1Mmb@^6x98-^oY@d_}Fp&5yqt5U6?41Uw5nU`UQEVWwdDJBz%CONakhfBK*b`37Dm>AngF1cxvv54-wFie)1 zE;tI^oKNfsIZEPq8P!(PYp$ABjp+0rCZ31XxGR&6 z_2@*#Y(Gf++@s@W)C`dQ8HYf#Tabv@;B~k;*LmSM#L+|SVAL4M%_uhiGyUa&#ii3y z^J;-HayhF_c48bcyh?{s4c${-mhyg;53nv*_`6&-t$5hfB5AxG)Pr7CA2gG=); ziI2u{axjvHLh4I?Dp6IoT5o&JMpcdHm%`?2SkTE5j*-_&>?y^ZWdCUQc(3pIGC~>F z7S+NBdVgQJAcmG^)DVaBY6&oBlPS0@*XwqnYKg4qj`j9V(SHmnDeV4?ew-$g{W}$} z1(S)e=x+vt&{aT3vr*};ZQv%sDp)e&f%&pr*#-w9t}75gQAM9 z#BztI69RdzV_U2%Fp}%u20Z>~yF&rEd4nF5&(L{8zaSG^NRfl)K#b+Vv7i@`2QR=r zx?lpg9OuvQ#>v8%)I$1GvaH`ek(SoV6n-c1!|LMr(dRH9Q1jnzbqUapzPX@TAuop2 z>y+Fes<;RNSfx2%P?5`>@b&7aQ5wyyTaw0b-Q{R{c#H$Z6z%J8FlnL3e3YTLq-4{K zv?=mXY1eIxXRO{0^eYJ1;9tKS+eb@q{OW=0rEX zKN#2|xum9_8?+^RoG-2T4N~2c3;)vsNG=(>Wzp3@_&K77rnZYV?Uz-|nh@ldna zH|mpxL*lW1g=4vyL|3)abn*iPv33iJTJgdC$sDnFW7Eh6I35WI>STk!pZl z^W|aF`($Sw?ZsxZiWG>F0J4-u0D%(1*x3wippDuVQ`&!a;Wc_%3n2B<5)W4ET~U-~ zi1mtoe@q@s2pu|ip)vzo5&~*+R|UmtEMHScSMZ6hd`-$lP-P7E40ezqx=x7XWV51R zY=bNm9-<8MeOGdSLj+DG9X^NG)gmV<5=R3;>a1da_VLRphTsQ#hkZo|rdP)JCHXdo z4L4T?D0madqdBB+SZb^-CBm?bh)wb0tRM zA&e<;Dh~FKy}eyEn-^;ER@6V^Q@Fd&-z)DQxRb(3ehsTcS4iLC*(|Av46(ZF{wgEvtcK=oaND6P3Q?SKC3~%s7fu*G=Z5)l1L*g zpI0HIJv)R-1W`P<;lYF-P}=I;tcqF}1)wcM6a!l~Bp!%k+IQOCdiyKB`okx%mv-7< z-OO3!vkVZGBJwvK$A+$B_`HrKjV4k^s7UN=tanMh<>C1sF&fE^_|#CVKoj7kzq|qj z>w%I9=)1)pXA;jI%90qSFjRw#ps^#O7D+X)=&F;2VUiD_tD!uwl5JRD>5?-nkkd3`Q(IO(bcC=r@=Jn$YIocBsMeiWr? z&GlP1&gPOT(D%T&A`1exW))`~D^$}nqEMc4tH*&EFlO@Nn6joadI@vu<^6dHnJMn}bPz zn4it?w~X9ZX~^^BMPC8~gage5<$q}oo+Naa*xC}e3f<=gKX?0uu-{|0Sv9`EAyMYz z8&VuOa0@?n9Hs#~?J9lfQ5x{K@L{rOiFk_*WW#T}n#BWYJetXBz|{tnDA-s-*_@DN z8s|vcVW@#*pt`8p;v~qm&2}Xf`7=fm199A{-(2KKKYBI{(zth4Ku$7;ng#6TIo?&t z2M2oxr^*9&hfuzJI0WSf%(+rh{q4oqm;QUiii-XVxxugZA%jn4is@zalh@aqHQ zbnD<0C?uWVTx?g-<%A3%EXMCzc*eMHiAEHl!sg)_dj!Ry?y5dO#dM#X;nMAZ&}!ursX&)F2KGVeIu0yBG-tznsIlA z-}*v@*KqlDn_?0XUk4gqsdtrCv!pSw6SP5~#DI9QoRN@SnkYFo8!yU@$l)&>=jU<% zqqTLAklg_00|(FG!)291#RvG?EJp z^;+$CvxG&LLNgd~0$qWI!9rP-R8~oW5pU=R(vL3^vHx-|PnKijO%kQH#*t({CRh7R{3MpDq1YH}0S!y3 zOA`9igle{IoP9I%1tYQq07Ibu?)f4C2ER2uT3p=tyd5rZxGC3D9Y<;zWG1V#@W+^= zWQTTYFgKi?Sxw^(bxq?w!kg$<99PjW*Q`o#wAFWj6^RCa3Rh#wbErWFHeZCjoqOXh z3e#x?Z4m!8{%y75p$i2cO=>zD zMfuDFj<6h9VV#}g;02Xhtld&(C-_8HyM;;%=Iae^b3w^rg=nYPH1#mcXj$?ZRUVXV z$NQ3pK(0zsZ;Xou^7|N9pB?byzaLPPGufSPuZN%n(>`l=vjs-flAV?b;Z}C~=0XQk zaqWU!tX`EX`87(B_aYTZ`Ie@cp~`* zOBrSTiKKw91<2e&Dz3eN`V=?o zg@s$2@W9zs*=_WXLdpI9U@*_ksNp>aHNc9|YAYC_Tvs6{OR1$_N^#tnA!LUuOXl)t zIe)8O1#Tj$wE>oW)53&?ucg`WIIP^-t0JI`M-$KOWd-CqYeE7UIba4yDTn%^=dlX& zSE=cxz#F3h_R$X2#8GR2iwNI-JQ&z24@)82AC!kZM9WxY{)gpZ1@?>O3CB&PF{ZJ0 zzz#{1&~3vde-4*Cs;+I^8i{nM@u#y>-8p#)-UScbQ0DFNm}-brNLQiHk$3S zipfGS9RKjLROo`}$Q4;cTjg?8)&)X!CE?w}m?k|UXP;96K7R>4(Iv-WyQ37V!%q9X zJ+HU7cN)})d1r^m_~>iB!>4^#!6L}Fk+BUo;d<;JVMI=?pGBkoQqcDwQk(V>!O)!4 znGs9eYk3{A(rPP>=524i(N+2O7mjlqk8HuttydZd@=zRHU++?yrv{GTjrZnIwc(isb7u z(o!cgM83NQY&f5hMP9eFVni`Tq?T+LklDs^v};Cr{i{iS!FHn*<0579Q(rTh7$?zb z^O0qz0+oHP+$R*7NF;A`~e*_>PBtUOEjt$|Ha1%BS!`<6#qT5^NHWa-!R_a^T+G~D|4;CA1 zn2xafyOjW?{N!LTC;Sz4Q>yy?4jw|A9UOu6-caf?VlIzVXkjEcpK$B}bZ7q3og%RllO{k1=P-cKOI|U%#AUR<|)5r2 z>B;0v!dBVFXlX1-94xD|z3R2Y&YpjmwTy>w@qF`TuytI|`XsK-7jmE4fH(bI%LrON>}Pqjm}qcQzpL)6FO&B~c;i zAci|Bdenw|dsIL)x3{`VDDGM64>Rrhc3Slu!F z?hq8wvL4${>)v#nc%=Klb0GN=gG@{|+2TAq+CxhyXa=hbZs|uS&YmTUe+S6`_#O-b z=(cyN9+ybqwgX4~vi@F^3CNvxrGxFbl)5Z$mW}D|JI=qyvn3l?-98z8ZqDe;!ZNyT zUy4I=F2HnoBLB9P$4wwH6fje;jFd}j*#3o#7>PqXcZxvt(eaamqu!yn*E{bq^Cf`` zPdSYNh_l4K%hH^L^`?D{+fZcPIzC1F4H^L(Uc)uKSKM6yHF|EzwhG&N1bY82G)C}F z7VS`@xqg@Z#g-h$%nZCfxUX@UGjN#!>K*A9o$sw%EA>GI6HZsrwEJG-sTs0KMu2Pw z@muv`_=8DJJFR#Oh!R7!rey0yQj>T`x!k|dkL=VbQm@vUK=Zm1e4~5NrDDB>#2#@h zf|RaxBJ`#i4`>W6j$pKutH7lCH}$V7mrUA|0G9adoul2;-V^WqFz2pF^8$Q#!A~9> z9`8PO-Cmwfl#NtJ2naiyyVpYJ!R{U9mA_|UWt(iCE_b{G=)l|B-dsfkYnVV-D?_a; zlq>K{U&SM*c-DDBV8u+M|{rtSFz`Z)`WXF{eA+|^9epuwbrQI zS;2pqarS%cE@EkQxQiRLEuS#+e_B}GCWB&j_(LgN8+D(-MUVg6R-Sj3#~-6KL{;-} zF}3kH7M$vxYCTYUV1EOWiJw_`(Qvb)XLwQfuzsY#u|;^EpqGplX@xQ!>r@eQw4-SsJ z^M?;s-ab7!KlL6CKfL(*@u|2QAul(69xa;+ct`b}Hy!7%5-+gSQrlOhJl~wvth6Nj z{iR5Fd+Ck4h%yJ&|X8CE~&9R@)m^bw- z@e7WCxlydUx!yvgJG7hi&03p%sZ}oTLjFM}u~JGCFvU%49PM2 z8?k^zjZ)t&_k7u}h=dDcUt?97Nm2gFTBq(+S?HjerT@rYuev7(EG9r``vmt18MdS~ zjN^O)?4evy(8pHzO@jjV=^?wozml4>f)o3v3-`rZS--2?N z$|hK1fs%Vwy39+>wsHUY$?;rxa-6)on<{hCK+r_r$|rH4dUCNvFIfe=-N)=C#RF!q zsA`u*nsB^DlqTwc8jv&;p7J1!dNmXMQ|S~o?W|BmL*Hpo5`~*Jq%wpK-nd~>yyxH( zoz@0UjWZ-b=8Qy>d6dl*BWl{hErZM+`zzXj1LDRH0n$`bvfVEMcR`4Jf*gjNQ*rFz zMi5$qWiKKp+`-|8?)6)^iIY|a;{Rftdx6eAtp5HHZk)_?q{gYZ8f)wy>;_?&dvZaD z6(-~|@zamFl$xVdhdtLj?NxaL zyj{ow-rtW#8dF+>Owo={I=Cv7e8%_K?PjPfi}`8YiCiAF^-aUG}x|s!vZ2 z4|aR!eb41q_^yS;6z(g|Kw+ig$6F&26TTwD7}kc7EX64brK~ZwRGpD91veeX+`Q-d zLhQ3KdE|2EeU71w?Gkloc-@x*G*=$|%Ry0@iC9ab&g<4X-4#(YqSXvtRZ8!X{UsF< zl5nyzTdG3D&9TeQ$szjY$UkG7&;Q`9$7k88{df472#ELg!D*iYgN)7WRk7y4O8@a- zkp7C8oegFFqS+QH6GXIfoifqed51PPN!cj%OWUYD&Ohn}|M6g1HO_eg`_bfbo+&Dx- zpIKu|K?w#zaFj{D3s|<|1g0r58?o*dW>|@pkvS5wg8=kdr(nTh7z2vUNMnM!iE*6K z&ETb7w?vW44->DX)B>Kp{$cN({+{P&o35hjHV(JhC(3AB>q4DQ|FFM%etgO*na>#c zN9y1W0-JI}urxD_*hIOc3%M`doH zTF3^%8>OYEMhEVmqC)u|R?Vx^v$2asZ7@g15v_)A*dWF-pl?7kaV<0dGQr-P9W9iU zGUNU5w@8{_prw_Rg4w!Q<9XslW9#;ts?a9sAsaV_9Ckikvx51g<@^H!Z1qqX4c3w|Zs5uZevoPm{^PaB+#R~(5yurO|>v+-Jtv39k+u2`}L zj4%rgt@jM9wrcGP!q^qR;gBE48Iv^82$v;w>R7s3LA5!)k7?Iz zS)zj$PLfjI!A(Ak`xruOWK7iQ_P^kFlWyU-GZ-k(xOrx*tl34kz{|DpLLq}3q`R1z zSBbjd6I}uf&^^UNb}bCl%#$*xek9(cnp7>)btXv57G_ku{M*6cU#0cdLqhj7#(V{0 zR=Dz)@fh49!v+)K8E~cev8i7PadKa*&0rxAeA=Zb-9wWlzOwF|5o&Y{$0=H*Gsuij zQd=-{iHA(~m@}C5c53bV`W>&@{)(4lToM6tp(Y|A7XWO(YvJi<2Uam?%|VG}wYH`( zmtVtEY0S|ycSXunEfGtqt)|yRLpIv3XBLJgd}7C%lfqLQ`|d)Fz2B_VM*1`SIc5Xi zBCLQPDc7cFVKioYUx6vVEJ(KZOSqBY9EN}clraK~=|k*4{EcS4Trq$Kk_i|9%rJbz z!mEZ9TTR<;)?>2OZ|xQJRjuXa$$ws?YBi`NB7E%XhjtSF6tNT*`ANzm#HEOHkPJx_ z$mNQDXvlRr*MVa~S4HI=f=~3WN`|C~PvS*YUnQoAZLzackW|0Qn0P#kon7da)vjHn zQ#9PyioRxujq*xoloe+w;)!n`?43XI_5exl^-nzn<*c=Xtxw-$(=$j>)FY+cpK+YU z@(kUKWHtW;Q;^i5nQiGu81%K`Y{sm9k2vht+jvZk&5vVWrUkWCQ=2!_U5Hnd5)>aM z1_@CKJ(&!fPeP;v{&RSngQK(a?6P<8;OYL2Vgyksh2tA?x1`vNN-RD7oY;xgi)DtY zi*UK`wZX1vwrd{TqSi{Mi!+)9l|Vc&yb)aP;v07h!2fmzgNOP-nsjbCXj4*55i@{t zfWxNHGfuXh$Cb%VJpMRaZ&+o+WTo>wx*KO&lNjgE&%=M8_T(nS?qswUQOGETqUzi= z)XKwIdl6yeqY?W^r^b>qy5rGm;t^!i3l2dflye{LYQ<5+029K@Sh8I)I?P5CnXBa) zw_5ck;yV6wQK_|Ad9yzl*jNs6u|q6}oR9j)qBCTrNtGp8Q=fFdfiy^PWGp$(V@!nR z8268i1S!gKdO(o@M1js8-zVAJucH~g3fTBnH#_z|Ovh>7Cys4og$rf;b6eDQB&Fhmsu%nv;lpayu(T9PF43;0lG@M>_+10W0Z|e%fmb4uv$VlWBv;C zvmXMVBHti^B>o-aDe$|;C;dr6KkvLm;XM+wRP931rusY8yyo6!r2B}_M z+E*Am|26S*q?Y#OF6z1EmD9cclM}E1mTWNZiLSNwXBJ!<(n4v7Py|DZ!xcLhsY7%j zx-1qPtOFZtrnoM^P%qwVAz#p}iflY0>bowQ=3yj_KATU8Fz}8bxx);Y4csXfgu2#k zH~b^{cBS6+ve``L=!`FB!{3U1V6CE=q$0qQ|DMct4HZMxM1{D+v8)pnLszAJ7@Sgi zEy%;D@Vi5vGD7A7n1*&WnM7gYv~qnXTD2eR=clrweiYza(d4JQQf zm{%#lcl8q#=cwXjPNAR?iKNtKElC^NXNgB`NXQs%vi0g3^lE%NG?u`hNay>sOUZBB zs>OC!ZnxJjlrQc*IrutD3YgtGTbc3MSg7j%G`IiAoeWp6W)`pNK0zad-qaju06ED* z(SOj=04Qf)iiBH6!v^8CzS0;BeqFy_r_5Z1&1BF!56kL@7FL$&G{2VpW;fby1@(IH zJ>TKdc>fSb=NmKyw{e|xs ziPu<7{4juEp*F*$j6EbSyL>4kU<>vZ@*>h>;{YR$u)>$F6r8-#r zb>hX48lHsU<{C;N!5_7ILJmi_iY8W}{p{nr~j^h3;GXI(vpx^@xPqH~Q zQzxz!?zGonNJyi;%w4O`qpH8Q6gI3O0{~eIF8T#jVWaZ^xh8Y;QGx5`vISZ)8(iA! z9=P?*HtjS={r9mjRZC>XEq@qDq#_Kr-p+`LXw~f7CyPXSa6|oU(x`8Kwr_ zo-MeICtfxy%y!Yr(=!6KyG1DXx({8=ft(1P|$jFW{lI z@Da=P8kO$a1|`@8ZTe4%hc2}sMwZoiw!b1@JQyyg-Qy<@sB+g6$MKI6zd%YxdAyRz zfa&F7wqJynwkik9r{lQc<&0pnM@wu+yWBExh93?FpEIv3P(Dy5OlFZq|C7xfRsPq~ zW>M^v1xTD#;>c?UO?+f=nrx|~KF)`YPi_iHW@vP{KOAxN3%Yw{U==QoTVfO2_ zdRO)Fwk5!`*7A11AGu4#>YIKOu3Ib&Dh>t*cy-O;M`HxWlBIsRIEbWdZjLS+a++DB zQBES&FQ8;N2VOlq7sxRsbThPUyx|Wfn;e3`?5gDFFXQgogS}hd@{49~>E|s@Sja>Z zeIQFlmr-VhG*(>lzWxdQm6eS80{XCsLH`2ob0jS#fFq71?QIZ=Ll|1KONzxAfQ!cW zw?P28c9_sEPfV`J?wwO^t25o>1+t| zs&A@=FQt@9+@KI&z-Hkd!{WS{9b2qSP<$_!g=%KeJuRcV_xq0X4gLCs#Y{(0P1+)P zhrM(3usXa8D4pBeM(QH&f)6A!%k(;y9Ov^G46U_RL~v?uvoSh`&IXhln@U#OsWqVA zY&Ad)y7nPK^4?%@U%w)J$0iXi&jZ{gU7u_ayJLGW9jW7sXx0j7dv~E4#r6i@p52{h{DTjd}ox3dBP<&G5Hzt+U$CG~rze7xC_S*B#~rD)LPmfe zlE83$8=oe1S!N&gk!eNk*94KMb6C<#o<{UMMjR(qN>bF%)1?2g3hs5M>)r9{TWhrS zOICgPolb|za7-BywvdGgc*IimF0>OaOX8(J!!47plJIk0pN(x-z1DW4Q{$_L?3&5h zm}y)i$~X{OB-s@Uk|0E~H}{nsaLHUjtk_F%dO&9%#uBd=QX~-PB-_~`Hw{#OjqKXjZDo>^mNW;5R^)wam9sLnk#{hxDd29oNg_RWg_a}<6tn7l;p?ReD*Meg9> z)8YBf9A-^Coc4CB%a*&NZ!QQkjrw{37l%V@!}*RIyKFxF`Z5{Fuobo6mW4x zjInNs#j-xW?kOp|mXYI}>o>W+uYXiOz)FZ5=am3v@@SU9J|B!&ev6w zP>l^1BbqcyxLSO4RwzLRKsp;qBgpc6FipMdhpKrwQL+*;y=P$|hdZIuN!j5+{z^CN zL6fC&$6G~q3agz|r(}+yE>@qV<)58f0EdOD)MIl7XHtNPAo($Nl`F;zU1eoEZvJ_3}Y7hogvXTo4+p4lD_?X2_MapGagwJn@4 zomX>`F}N9}3AOFWq@)Nb^ACygQ0?3q49uymM5qti<%q}0!y?3s0k~v)U|mLezNyH( zWCg}ZTs-s3vDP|uoM`PEpBxMx>Bq<>@D2pIAcA?eV0XwqUS{)h$uv*Zh{7m{RPf9W$<>VGfJW zMs8+^e;x4ed}hHHW2;D-md-(W^IU^L$Wx zv2xgXiH{fO$wLlXaw^X;EXnMl8UMQ$_#21@Evxe~1csP&+rVBKMjSZsaMZkkmki&a z*ERtXF^luP9e$GlO`r;cadWKy5#UPt0BClBW%90--8?J(5t#$mLVtVZk6S9R z13h?aZR|XM38Ejc$y8-e2r)N~&$3gkcc?wl7Uofbt;T;2Gs%<_m=s>CzC|Gs8qY0?43O;+hG=R8Q_v4<0v`@hElLxxlA;|1}jwK zq-(1m?@cuC-jbLZxF)g0*xI}SHwx5r{=Jb)?4PWLa{ICpeh&#O;_WA>lS6U|uXxjO ze%?W_3GOB5%nx{h1KG&2#hr;@)%eX&Uc-ZFU0B8@KBXR-8Y`d8;D3r@ral#~!T*I3 zy?+nREt^INCDI!7giYn5_W*X3y#%ydR(6H#gAm_rAHJHlyeUSk+^*3CeNchq5;?U2S6l&pI2zxI#T7*_kh$nF;iq z)G?|!bDLyQZ zmsZj*A$~nK`gIEKsODz%04-hSGPEQA%EA^7w?Y1e&%$HOw)8`GZF+PG6uHIsB1|yR86$vP$a+}w(mK%YB3>-IX!i~gZiOvFEbDRCcxabzi;2{0K zRYA#@T}_Bu`*mQs_&;HfJK>Y{BXMlmmOxyCmGuVt0N^A5 zrf;>Z1J^66HWIcXD6k1H^Qb$=UgRY;vll1Cr4~?$6&)Wt&Qtv*;qi)y0-Op})ls+@ z`)FnC>#=Am_zUkiyFV#M8T_or>RX~xizrG9gcC{>DZ-fAAW6 zx{!ZJh~jBu3F`EF+%^d3GG>X<(>2pjWl(5Ni$nt0AAz!0s zrJ#=wIVtE<%%!>DQV-YLs8y;S`x|mWMMx&#Pz7CrkIs;3juCTKp)$+6Z%*2rNeNt# zBW^0KpQ8?$T}ag2_{G8CA)Z%bSto-;o|C>;5Eop7OQ2HV%(ZB47srr~J<9E7yQ+Y` zh%77|%YG+B^KMR*;Y14?;X2c+PKJi9Rb0Q7_#&OsVMtMwB)B1@C;)eR-wy3IZnV48 zWHNh)Ds5QuxAaSn%V<9;4~&eV9}NcoIq__!1|(iB=i5IR*2|!rPnGQme#A_{D&>-jXtUh7)R3nKM7PqjOnerUx$OaZmV=JJbCL2@$ou4{9so9aOf{NP_ zdI-n-n-)eqd7G zSm~3xfj+6qVY3>AY&4_+1;)>q;#KNYc>{=%RpjFsG%2M&71+RVnv~+dgyfIa0U51; zp19A5KswJ52HQGY%F%+`IGo~c8sR)`);2+)<;GbYJF$vH2%jKAypjj`2}lGY+GiH_ zd0hXDKvccEU9CYBk@RRjv@IK-C3h9|R=DI#5NlvdxT=GXJq`c>EFm-7n7O!X9ToiD zJ0Podz^>YEDFE^RNW6kl<2ZSj8C6*80`tR)u#xpK9csG7x^kcL1QZs5{sqin7m*~N z!G@!A-Jz&eZ`BZ>RgkxN9^s^nMur01&g|;EN^+Q8$d;LRY9(I(>uc+(iPkIpO2h;( zLm|g<9H@ky^mbNPfvhp2_;oz227j4^dsL%8wZ|Jt}giH;kXN!yH{A4o=E;@mZVS#q?J|;66j5s8_jx=XFz#Xa=ttOg-SNyy;?M|}$ zjJGs{QaE?IO=Gr3c!XzIj7(2a8dpV%iWIkql}|^VZml&&Et+FYqhtuB_|gUl6z=Eg zAqnC?9%Tqt_#c&`LEyj{{cld|Mx=yzM%qY>#tn(2OA8VPBG@sXoD2h{XmpFbB7ms; zidNYgIGRs)z(H#%=OHAimm=p;5)qLfz$&D8pb~Dm!iV+Kdm$Yzhs5hFQ|dK5pXT%( z&vlecg$2+;{Cg|=Al&dwBapHW5ToQML2Jvzq%RWmCPae#O7x*f(7~8UP)Ugdm8H!+ zPA*&MpsIMEzd}n_vt@20uvqf;2l%g)EDMth8org93!IBd0?8^TrtQ4~2b8>m_M z;?p~zw;3?2V0Ll9dWF4Ko;%L>UWTQI5%`Qc!&i-!t3@{?)=lQZcnnA{J)70g(o z(hAi0phH4yu`q4D)~=8^@|j3^6c-&j(QOEM6kGWVwg7k0oM9={Nr-d0Lg$Pq3JK6j z4R=T(^t3A%@J(H{egA=!LSy*-B<4>;XJFi&UN&?wC<3m)din*-fZt~icTplzm>8ST z$gpC-7zp_3XOq~FtnF9y`+gEMrnT@V{E7arICx2|#W=u=G+Pc8EEwajgx@p(fTHV( zup2Fr<6qNXc+$`C&?*^^^1A*n;%r~_y99TUe1p81f_DWcpv}>k{y2BQoMj7yZ{Lrw z;(d`S#hlh-0f-MiZ>P!mbv*~4Q4GQlCu0$88e{_7Us0tne~6h%YJ5%EHZz-sgl2H@ z)i3g!i)|>Tz!piv7h_E7PkmXSRPEFwF~$M6lrb8T`vHjZvK>21zO%gwfsohGmXVE% z6mcU4nyl=7U`#?eHlWz-&sB-2L`Fqf8raxy+)b-am+r2&+N-QyTZ-gIfj^&9`CGcP zNq33{e1$wD);!GUE#;9g`xZ+{LM0K51#mcxhO!UIUPUn!u|bP@mwg8=EeuYG4;NCh zN=~X63hcp`0*_U!8UqJCpAn~k8bB$cwx@ll?M=0(0WJlE;=>4-tZ!FMjU&bW8T~p1 zH$^y}*F!x|<=#enG72pEK6A!#m}1vBB>__L;9&3I)W7x+tB?uSgA=9KXYi5kX36BE*ZRZZ03vWp_j-maRt3&q-6QU8ojmB`I_<^6*xSsA~(5Vdwj zsUjQs&DorcX+%-efrer+Tea8Fa;;nGQj-|#*dAdohwjOYz!yRL3WD%u2p`aYvLT0N zatS6?@c)1A-Yz(j>^c*xu&t5gB_*vN+6G3RQLU2YIuqX$E5&sIJbudGp?L&-u=Gz5}$jq}ocK!tyts zxLe{%e6HT;p|98bnT(CY&fp0Qh!9(q%{n~dcK43UA1|PQ^6Ex?y^1zZo|0gw!S(D| zh*zwMnt)9k$DH^yDs_oxpZ!^Y?iid}000|APeb@&@CcGZ3;-mN zA%EFkBGOsf>a2K%(|vmI_U?`=-80U7D?0kwq)E;1?N69goT={FJ4f65u5>0joRWxq zGLncaY9ex>PqNSLNWM9QGI7F_TZv7XmTzv{kp>;Up<*E(;xibRVIfYzr;0Eyu!LLY ze-vvky1f?U?AiRKtX$)=kQM^eHR4>p+T7^5Ya4e_snns)k#}t3G_heG!z{Tmxa)RE z-o-42v}9K=ea1D>j@Cp+Ax(%50l6xM<)f~Y`qUvHFVXPNR2^ zugOM1{d6BIlKP0xdgYn=@;qAEloaB5J@`%Iyhzr?HCJLPXqHR_BHyZLHOoYJ&Th#L zg@3e3%a_bAD2~9=I4>JrnX|d?3TxhT|sl~-3x-*oD{qYsK z3&|j<1fAJ^1}P!=+nEfxbVpxLvRK&MNM`y2@rYx4wLJ z^$?R~SUp_q$vs`CSekq4eGQN?)Q9n`{}~>)*I3W8_ms8FIzX2k1O#v#hGYqEqEx8v zw50%#;_b{&fDVk&hQIq{95V-PZJFY<0liPn*2(ct1Q~`tCoqJaI-F@5<3sH!qw@-F zy#dkfuPJNLB<3Gvv z7<9^pbuVZjgz~r#Ln2JPBez-URbl5O9Bm{X`PtFV)Bg7PA*=guM|iUy4?CQGJ5W$)@P!^mu#s?99!1S9}gUYEN|qi>_&2baCAK&05QCt*ijY z`9UW0aa^+rb<1AIuhfDG{*A=*I52g?S~UUAAb%-b(m8TtE>gK_(aodQnw$4!JU zPdBJvS(ufH2=WUkcE##+&+g8p63lC4zQ80Dtft9I$JsEe9!N>)JE11b%=+gU+shJv z0gs~nGwCD!Vd4#{dB+q=d;BEjb47Q6Y8C8fh%qUYq*QsvaBE)S){_IH1m$3l=V*8G~GH}@BRP^r~m{pG*XCx-yNAY(RMi?|NB zK}e1|6%OHyoO#;E39RS^xY~1DZ7)Y;DX0}83e;|+Yyp7Ax6H$lRggG&cs(z6b~ss} zce=Z{gc>X6&7f`0YO7q11$w@h4C6b;niC=+w?o3Gt$L?d$B6G272p0s>boHz@O!C; zCV(iIzg4=rvN4bZ`35i!a#~6quiJh8CJ@cdNwT)V@(eaM1GEITaq#nnpVWcE73a$ayCrUPr*h)ZaP+k7L(j+Jyd-Ix z^-YkCm%YlOPhy`Mc`(F8Kvcc&zyM?;ES6~UNYF(i#`o>S79usj>#b8Z6?;qx@Y|8> z9*VD^E<$`{E`Iu(&-#bxCLnm`5~kIFrc5_8enUWb3;;*)yy1n!Hxw+NRcd^;JDxn3^!W_Bi1gi>4MXQTupe zi(GxJLL290d^8!Ync5U!q#gmZS~cefn^L*rW5=%3-^l4u6}@~4E1IU`mybL zr_rh{;dd7bW1dA^!H^86sRBdSU}s~ljy~b31`kGDd3HYSV+_L1zYqJ_8b&aki>Yrjprsf+CZtEzi0(|Dng+(&MK82eap(ORFO2A1JACC6rqE=$t@DQeB zxRVCQsPv^2cIowjwcj?+aGVuUskYrsKy1`WmL&Zb?Oc~{`KGjEq-M)y@)_`$8EMeQ z1||N947>9m@Od)(ugF~*HAwE`)BZbtM*oe%!jhLmMc*m!QxqJ5sxCnBY`ok^_2Ici z@r7+5=y84FVWnZ^KD({o&SVzNt8m<2@^`;qd$)1N;~w8JUw})~?{pSc6Aau=QgnS> zZsgd!=6(A|>B!&Tg!JJ^Gw#ot$35}jUAMYiQqRj0)f&~5VB0ph+ZI@+OGhNxMvI15 z8f_j7lhz?CN|A!m6Y+U#!7wJS0FDO?m!+X@${WEPbb6Qh=RpBpO5Ns%o}gcDk<5vx z0dk0_7uulF+|0gixU5ysWbBa%MCn#lK`70xZ$|-%32jpyo^eU!u0c3V#0>xE#O7p1 z=l0_%{UT*o|6bxJ7@`~3VX5Kg;SmS5dj>HFf4S&ZSrSXT6Tz=#hhCIu!cAw%YOUK| zTI-+hAN0@M%HiSe*@f2(5N?>n2~1iUVwX6cW)hDOW>vU)Gis7T6&I(>95I3aqz7Pt z%FtwZTWNT$q~5h~=dcuy315>KJF8RvYZymJP^BHze5%m|7(20W>IRYKU`PCV7M0eVa7R(I)xVY0s(Y;2_tgcNFojN*x zdcfL`o&I^>OMloL%F8OkWt}_lh{4;`-X_?Kag76p&5XIXwEOE&J zIxmaFPlz0XBj;%VuBBmGw3?)Wh!QKGHsR-J)u88@0%@xnj zOK#r6twy-}(i;M%e&BcE7ylH1LVc9USW0-PWF|_7wZAP}q8hfOtTqsB{YtO=#hGY# zE$JU40loLZ;gj5?0FAqIBEn$DZ{jcY=Zn)Fmt?NPvq!WFb6^rIs_y2);D-l?A)$|- zD@cHvCV&{x?!gA-1z)pB*KW3u{pj))cFYqQ#~&VH!y@=wptt#HT-oSrkqg=6`632| z1NjcVp3FH-Eu8WTM}{e5jQ95wkJpCvnGBvfT`)|e(Ps0Dg-GawCe*{k&1rCL2T72dDqd<({S!7&VbYXMA%Rrxr_L z!r_~uwAagp)!7%z6CI*PQ^GLjC5gd~LZ3R3&og>Yv;hNVRHljgZ*kXT=W-awQQYRK z71vX{R08D2@%uN4hn1SUfMf1v%8d$R+TfwY#Rou#cCwxTaiHJE3_EbXmHX5emQ@*` zhd2U~He2g=wAmyo@`j&_@`RUJM=|Ni@zL(l`Na+n!}~czno=7Z!0d?Qp|VPGwZ?YY zKDLKd^bo7a;H)GzBvPHhrtBZ7mI3&X8{OrR#J`Q=mH;?*P|$U)xT^td9e(Wd(|=P- zn?nk`oRWZ740%%o>xO#oKIP%;@E8*CpYI<&+a(hF-3Y0=Xo^BWmT1L76MxaTtSlHr z#8ot}<|&oHltd8mQH7W-`nS!k8ktjHo+)$6Ay_9CscoJHZfd`~2U`VcA*TO{sow`h ziSg=x7S|oLd7^;XKQZ5E%6!+lJ@=m5Sg&Fw`6dWgeb;=E*~CkvRl}XJQRW%fdBs_R zMM(J`eRJI3Nqvp5G|{+_P!pm$z5V{t0xb0pY-4pz>;pQiubE%6m2*bS`QO7$(1QSU zEKRV5jgjH=#Rp_=JXa`|!#3`RO4SWyHfZ4A&~Ff*qlnqi&~F_dU4Z3GA~H=iNbS z>T?*oSL*i}+=xbDZ_gv<1#1%`gZeTwHSv!E99jQ_td6%ooJZHPJgP0Uf!(o-&j*)l8fv^j+2s!-m}Ae}h14)Ja>Amrnp53ey^jd$%QNtoaycm+zJmpv z%oGW&t-+uaKEziOUoJcsWjg8~P*%^auUD1`gnPN^PhmjD+~1%a7(7vC60CV=tT|J@ zvaL&kz<*gRieg_l-0K~Ka$Ey~HmIsTISE92341vuM)S!fLp|yv4#1L>A-@>@Ru*2P zJPoj4E-MmgJ&z0i>haOu!DIE$7CZ;d9enJWgbRnJDp(sO)pl+b%c61RmPj&(lgmujkf$!V2lP;N+{?=~|wdPR+s%xVO~R{>MmFu(se zOB{khMSzVz(4O)~FyPA@9TcQ1po3z;M;2cBR9iWa^2Z@6#(#eNzGbhqcUVp!C!%jQ zy#KiAvSE#16^^4#choCj^|aFV%&W?(Rnf%b4D-w23PDAz%(}8aPrRa2OXLCrk=}bJ z&=Wm9b03|aXp_BCpY)yEk;+q`Wyh*Z91%7G#JCHU;b~T@b8WfAf-mO9MA*6{yXF>) zqPNFO8XS$7cGStkkER#9Z@wfqQ6jR)C}#p5oN!(QDD1S>3O-P1Nn0Wy)jNp{_zYxp zfZ;;@HOxUsJO=y`X++;A#=J48Ows%2-227GqT5k4cK36EuzWXyzO)A*gtYw7%xeI# z*NSNk=yB>jwUd`FAb+heaxy=TT*c*kkQW6*9}#4Tn-gaz32g#=MR1-ze|435X=TwN zxhn#EPy}2KQ90Pv-@-agHhc7f!-FLQfJ%-Mv{9Duhl@Yu4S0#@Uk=)J8>=0+*43gM zOK=e4X(9bRA2p5+3%og$q%UWxzd9t94Lfuoj2%I0FV+Tq>4YO1!3JVc5%KY|6z^v; zHr#E)na!|?qRU>^=MzC|g=~2sZ1f6Ym_LKB8qUb^)Fb-JLsfz1z)~`7QA^B!p09No z^btXeG&(`3cWfS+chp*AC^{&1zsxNz(uE}DJn164ai$X;b0KrbY>thH$*@?qR=mF$ z?`!UdZE8nCLtF2J4ABuFlV+Hy3n2K#IY?G2O}HtjYHh4Qh`m*-lZKY%(mV`ZHGUma z7?T!}z<65tnukVg6|G#qcXh>wGCD+Ds~#y4hw9QNv-qDn75ZraYZ0ET)&l;<9M`0e z$gATY4fW0AZ4^Yb#YTNcI0r@~PFgT#+u1CpPX zh99JKIN2Z0Nhf%1quZ$7sjt^N6%;KkAy@(_#;tl<46$`3eNG*+XBK^25@Hd$%+;%G zqX2I}kiTQH)*RK%wn0vxJx^31gf^kEvW-;hja^h#?z0fu)hBkXFG}w`a3)DS|pfCjHt;1`LPCR zmgsJYNHiovQ^=Oc*5XDo_)pi4u9Qx)ATX*mC%$saD8iF!va=(u^bVZ1ce5i zQzDaJ$FP%c#c9Z7458hj=%hF(7R)bkALLdRXl?A}y%#SRdFs;mCY`h3=ySRk>erJa zv*(9Gc)Sn;m&;1cNJMNcRGt;91~c$Q3o~$IGz;fRyTZ^Hc>kV7Y92Ucd3EwXi^nsZ z2IJ@@wmeS31)<-)ZvJO9McC~(rr)tp6UwuMg}yY$x%ZD(AiYZI4GD6=B*hU-8Wc`Z z(C>z1JSnub!_kv60RbJ(c25r=WCqI9Wr?gk8|mz@WjbD~wI*GgBz&>+rjpv$TBjZ< z@bFxl5Fb6K!cGC8P8`5hP^?udc5$6-1n(ce9E1fTSz)mHp9B_&I4YtS&c(ZVtBmP- z3?6lOmQWR$xw)dK!LiyV*&(IL69VnUd;w`72qQb%9i?S{QL)~>*BKngnFv|Ut=Jp` z5XZxYticWJ1vJk!%MfyuJ7p5!bUUXVUn+mZ(AK}Z%wvFO@DfEWFPS@DGrkH&8?2&uYKRq~qXR+_F zN(ApDstdDRaiu@7kejSA`bp>)UeC-@XHJ$~9)xiDmBepx7^|AZT1~|!Tv23~GsDH& z-v9FIDhfVAZWGg6T>sWy0`kvA=@e%p)fXJHjIbKUI1ZIHWK>ts1yvLRZTMutBbl1I zD|>|9Z_i+5+z8$1R3WF_tMuxZ`7HA-_tx$}E~y z7fnaiw8nPDwaWsWa8`B~nl3;nnmi4XozQ zGTr)5V#OHGUrm|($^4=r8?M!MyR9ltiIrOQV(>9#R+_Cl4gSU8=L`NMX~9cJ zl(tBpNHz`$YZ@aM8kXquDwN7D6HKy1No2rvc>lRdnJ%O5a9~Y8hGqgdk5l7 z>gS@;t^`nO#g3prToBKfkt-`LWy!p(#?NJSlq=Pqs4=oIQe2X*`3iAd>k(mo02W#i z$Dk#6%`2jq(@+n&HG`JL<4G-x>2Q5%pt?Hm45!b?a3~5DegYK=rOZe0ii2ltZ!aQf zimd8iUtRqvu9nQtP7Md$**)yPv%BN^yHE2wbEU#{L4{M0<1ywfO+R4&VDFr{&bcW} zPP}E#BVH=#k(Zb zYp`>1OejPd^5`GOojZ@(2%%#Mre&kRD6StSDR>txmxS>5A!an0_0)pIXeNg3@;#4{sWfgbC#{`l(Z-(%4xJJwPQ9Ig{6o)i|AJjpWW#IIc}_RCCu zSfsaYE-#Y>uxF2`3RLK_P!`mYxT@i_i?fp?jxf#CIJSt5q#HT$=L4$$xh7HIJ;#feq6tyecZATQ3%?mOKv*|tEB z;Dx^tYDnz#9m|97s-`QzVyg!p?L?%^mzUm$_w^MkreHDaG}@rL`dRO_l{T{81IHZJ zr_&{6N`AO_z*ZyGO0(`(mi!;dkG>sAa;Su6rC?I5%m-j@b*nB2KN~&G$^06o&q(}G z;$^SOR>A(1!;21mj}Zgdp=*wbn?0CZ#J=^`(MQ@KTe_aGBTpZ*lKgy`wy6B%<>f+@ z#AQBLW)d+JF^J~<6%_t_h=&`A>8`yjKh7KZ`w=Ao5tDd&vOlf?Fdp`yNVpV1RKmM+ zF&O6{U*3C7CvkN>2ED0}rn()taO1dQo1T(`(xHA8(`oSUCQ>xjN|)nEnc~b zAqp2cqTz0jw}aIN+83V zZQOM$n>c;(e+KTH;-u$Na7-{@^-X8^Q6T9TG8tQ$M`vlPvvQ$eg8TH~ZDtG)cFyv6SqE`rePeAIWT{2^2qzd!ilF}4cK^uT?r-n!`XUK1F*IK< z;J%t)XLC$B|G%a_=0v0kB6BM9%3Ey z&qxSa02=SU1`4oa9pFDQt%bH>|ETYu>sv$cVj>nvPqpdxA+mpSq zL7NCO(BoPG@azf-mHbiSbvS}38IFgWkrswlN(vERHlJXuDV`oj$4?KApyII82Y(z1 zac(C|1?NgcSY5sE)fvBuCo!yk&xCrYp>8IaR0GNIC@RU2Uf`alf&y;rbM->@@Q^%( zo%~mcSCBfsDY(to^KNfU%eyV~Jq?Jt)A*Eh9N}y$0BY6M`7GTH;Ui!k|G$5}y)O(v z)OX;$|1@rX)abm*0=gIMB^kAahIry%#2pNaHO^U<1X}=_i*_E_4BZaXSQ778YV0^U zRkXW}`xv2XknM8bsbPz8kb+#mXlIzW&>7~fVP}}3;ec&@509G61qwfU#t*&+@&UZO zN^QAPePxM1xXU;Se-y#NQJd^2EM??25s`kRvS`WPySlzl4;bCuww9t4T9IZGUdPKbSRQY;=R`*;r!c?ye11B4 zK6AI{icD5M482Rj@|v6@?1})|6`y7_;i?C}|A~qBh{By5jpEnw0Lk9upa^%ErMy;~ zTWj2D^eRoa-DEI5^4rCON)0twOY&dssHcnJD;CBS*PR}wPHV%|sjj3?VmL;7uC+Nx zor2nq_3#ZVLl1`qq`L+sA2yi&mFAWsA6@v(H?(y#J>X>T_!?UnV7(wgmS_by5etY< zNk5-gHK1x|I60{je_nG#WOOi+=LrzT^uyl%Fv4kgEyZd+4Y3ViDZ&lv%>y2BpUOS^!NEg^ma0S}XM^qS!Q~Hab-$gK#2-3SCOCJjn|0)X1z;TbG6dsn zAg^(g5F|~5*QS;IpT-I?nq%^nf!D4`-yUJWZJ8HToCsvq%Q3+Cl~vEKEIk76*}42M ztA<@ZBo=&>$^0yCFla@@lIid9gZ?4 z-h6ILXqQ0gIF`P{Hc6E?kzSc_<8kz7iI?Qiat*!6>s+^R1TsYS+iPBj&6On-Fu#N5 zP*9k|ksRuWnasy9g`jznpRMi2U}~S@Gs9Wvg)oVNi_vEF0Z(ayCSvWw-%CethSNDtB z+8S^YSOlr=Z4!}>1~xb9n6 z?b8p$0j0AnHwqMz9O*v=eFmBFPhen1KZ^`pmW83#v#rMK-kSSr#cyBmJ6BhKllZyP zb5jn_-LrR&0EJ8Y>o=b4ECJ-)d93}f<+FpG#U&2}br!TMcG>UN5CPvuBQJK@fen9@ zcpA3+-iX7Kv5V@H+C|$%w?wH4mMu3I$NbG_{Xf?sR0GOJG=Bcp*Mna;L{fqw_^L-dSpFjjEwhiW2g!akuNwQ5dr{k&4~mQ(FP!s!T&8-oZtsDL3JavV?;_EeS|C= z6!O4pX=^;P$yFZ31;}pK45@X{+~>SJdYzGdQ{z-2fqPmx1mT9VkRdz=3C%!uOFXE zY9V%9@c^U&U)KI(hM?Bl4fdJxv+gRudYq!TU$a!eqMwy5(z%WRsDNMr zZ=A0(!k2-*l%U74@|<+29I&7j@VjeXi*XFMeix(~$#*vHK;c@?8Jpz;&BppGU9t`q z>AYh0GZp2-A&Y{L_T<_5Z2U>+e$?tc0Z*~%M}E6deSh(9Y>*Ly?R5~S%cv-;nPCE` zn5!8eyCAmWMj@HZ9Af_OW-^~Kue))0uGV#Xi(3?X3EXW00ypaw@=_S0Uf>Q%?SEWq zz-Ft7hLW4Cpb=oF5dA6Fs%&yi+Ah6@t zM?(?}B1J7o6*Q13x}D+w&1nW8cBO)v%|m=IMZ`{ele27O0MmW%Y6gMw2ptlJZREEL zWdBgWE{1Ksw78sFvw2jnqrz$t{9Ik98^RKWPlbX7yXq;gW zO>uU$1L7xwb`))PeZ4~O78Wm{P&$q;(!JM}Y#<`_mo@r6s)3sC45=Ysl=PsBRS_J>Btqv4EPjE>WCkkf#t3%8CdnIq zZ-ND7OU$VnGpo#pFlVe(VymTjxJm=*S+)lG^4zJmFsWdRc-|L0q(4u*a#Bki29!gA zvUJ;;*fm>Pi{_`+23pELY;F!IO*Zmaab0w-yDrEJILLn{ld(}D5qAU+);7A0>QKZ4 z^Wj!kp;L`8R&bAhWHyfDv5}sO73d6bRvq~G3Y}OA9z4Bslo>O6;DlLP1=Uuw)nUgW z9Q)xCi#Mn8kFpBMW<)6h9)jL`@abbo9kvW!6*`Sm69fA)FtW(Aeja06#rKjOSx3UZ z?(zh{_5QK>S*L)Fz7!lfzWc@y*VvDKjj6fLh`L)$yp}lTkWaOn=tx92Exv;`38vKsb1SaT z z)0>gOthx!Nk0NJMlm?L`p%Y#c!wv0tOEK-%C7D8>W<1(998c!EYcw)x79* zJ2Y6B%D}|NfDMnn6w$w2(gMkOg!VoH0vd3Edq2#b1KAF95I^einmE$0&xxY)UA<>vI|Un{FnLv+ zX1-64iZq@P1Rw zZIR_pT}`I9F!=9hGG+6Mo{o1&fmag+UW!bunEyR@#NJ*s;r9jDVl1Z@c(od9A8&p0 zC#i7g0EI)REF4mKBqZNlxfW58)i^i;80$FD8(4DcOINfI*gy zYj{81H7q{2N|&kBb3og?I42Jw*VP&-k+86_D?Y}h*g^j~-)Vl~>T0f-X`b&P09)jC zlY(N8hNcmUd?MkA78`FLKRr1<+C4hIkl%ODP^ih5mcoT0DS-=HA|VafsqC!+XB=0i zMKv782S;b;PF5Hf0e%T*%#Yw0)2aTG|A{H4bAg=V?hnm(_gsOSBc~KW$t?i%#o+%0 z_euL)yAi4U!5H@w%%9hJqS0( z*XUJ!H7PLkKVpTU|MN(R;ozg=+}=2B>;D}NQN&jOZNHrOJ%z~wm_gh2YNdypu-ftd~dCd zGG~l1IeudU7Ma#w+JBpQ4D@|FN!qCNCL_c=;7zlt2VrRPMpLXccou;8Oq@!U`-3G+ zWW>fP#B^w(EVyF;mn04<-Q8Gr+l{+*6nBDTibGE7fS#CNiw8a?;F4V9Z4z!3>cej) zo}w_YWs=TsQX55{0a4&j05S@xVz=3ZHbx0ZJ9o z$r2BQe_~#3Q;a~Z+jH-^jrA&XCqqZi7O1*VVl}Fb`3c6k%+Mct%Dw6%f07FLXBJ*o z@L(plMs=-5xsF~PzMW7LY?Rx?McvS+eZA-D4#K^(;rPWJ0#+$hM3~8LnOA8XgFEQ% zh2BMUg#rM1np!_w#Qc%cN&HVt`6J;3qRrCg)fI6p!|AFxf#<7)^&cPIXAgs~3HT-{ zmI43=FWVBv!NkYVNLackD<-^)mbL41Qd=6lJ*S5x*HBB$*nf{VlWZKRMH(J=L9*&9 zuJO+k57rcM3_k~Npx)_hw0rDaGW_MD(e6}mcyNcm(kzym0RmqR(LNqzyU}a;gwO^BH4E3#7u)K zA~C*J>i*e>v3vq!2?qbkh>|q-KE7%&Z{wf_W3dSh;fQs$*x3tZf+){{0tk%kg7~%8 z{HtP~{uN^d!&F`a+3h3mo+1zEVDRkb0mJxgnNs<(AO!w78ZfH1Vh`8|nQnl>K=p-p ze?Ev!Q>a%%4P>~k-?u8%(QKj19KzYqdT6Arp=o`>n)7J|trvxjT@F7A``$O`dyf@RO?Yv4?nE64&BK$cD+ z*7+2s!+2_H!Z!#04aST`8R5%FV*r8UmmlNGDW-<|SV+5GI}P&4zG30L#>Hz4iZT^C z8los;4aGtDTvUyYcH-w8<@7j!50oEr^D8FZU%psll~~1L{xp;38Ik zQtq!LZqq-tGZ@f1q__jK^|twn95U?e&AFD-B>@V+0u?JFRfqKbq=qnnsxuk&wOyzI z9x1%}Cy8&K8WP7=M*W=~n3prR&!#qbS2na+!u+by0#oo6nC~9~dm&(3PI-C1gjqEj zbI3AC%yxn=rD&H~V(M=9xA%AbSwxlDyxMw?xag=G`%WffgUOH}U>!K3FHs6j_`>Op zC~~&nFPx$%0z8vO;=Orxw6lA(b9l`9LiiW~=vy2^F1mOq(gZ|_Eot$hto+oGzKKUS z966p%);KojgmkZZJlVZ!x=qfPg}Zdyd}FO=^xDk0=I?m})OxF&rj2ok7?AU)lLKVs zA;r+(VPK~uJ{!X9T{sXnC6Z&|Asdyr%jipmiW;w``VXIr@lFHoFkr#%mV^rQFq8R* z<~PVUy_mgpYtK#&54O=J&UJ46-#@+zK=Q|VZJjy@DqVCbM-wqEgM81*%!DI!=K7u8 z!`T~9~ie)$;%-3?+Bms0Mw1w9;30Bjr%x^hB#9){h zxuhWsHkZO$yT0D7^ct=8rL9f_y`L*RuoBiu5FgH}4I)7BP$ykwY=q9Mx(96B zKFk!4S5$%pQ9xT3uI72%ehn2Yi;C3$krne5+x~{OD{T<=;(`?e;b*uy7;SQBf;hrjtG3Y<*^HN?bV;%u(SqGV;ei?I%9qKB&Pf(2 zzyqZ(=F8tKsIO=QeDlKuaCR2(DP5_Ez`7zFm<&AA z(nTP0rL2^7*kb3oy;iT%qzfZue;lY`TH^SGEJyQN8$2{$(MkyFAk?MYT^Qu0-u9!! zFTzlo;;$~wr1}jPno~(hiX6W9qb*uJKKs4UFd6G(mzHBi{1z2 zy#r^QwCJA&$GeM5Z;hMW`ijhXT6~P z<*<}TE3Ryzg8K&^)@D(zK4;r@P@$8GLN6Fnds`)c{$@e_b9zsD_{^wUH-?3quSF&0gx|(JG~0eFdc& zwfbGxp?~^6SeT`-L*{0&`Shk}SU-X2VY95}=%?Ziu8&)1T97{D6WsW`- z(PYh}elxW}P3Dh`AaAdu1&OtKRjFXKWyy9BkKkb|Rl%ZW;wL;k0kdRj`{@Z}{`p_` z{Ag$Vxb7eAK0>|J*)tSvymfH8OQ=*vm>g6YRWuP>`W&zzgrp_*_c<60&=LnMK5XUy z{(YR#X0z6ETPrJ2iCUX{iHKbeYy^EibsX~{&gig`44dm==u;;08$rhe?Q=iUiOc{-BbX-` zREmM(Tc-!exenX^l2&MAC&UG|H2)#JL#-Vs2nq_=+jz5~VMfH=Fodmf(EyJ>jx8Q7 zz*lo<_rgue$LdjS>B0kTYEV9Nf_Y$32U#1~2c6)Xa7aeU(|`^o4Z%$jVBM10qtP3d zVIXp)C_VLxWu4>yh@mC(0#k#`t|t-p4z`!P-ZjG{f|Hf%TA_b_7EfY4k=l(aOW57+ zJqVuwo*72p?IdfegXxbyT%dW>k`Y(Oc5d;fBd9JjDjyDVc3`BqMy zzz^_5DIN(E*kM6C3!WUjF&-57l8^)Ju#ovEg74uK(uO1np}0m6ppT~=8IotI%W|;$ z5a-C>kARQT5Ao^AzG>9HkR@taSWope3kxOK51u4F7!;onTRCjw{bdZvVCS#^hH`G+ zq*&B=lS;FFP=WoO4KFYdNuV(R@`^5)>bHE=!fZxiof_lwQL;ja4-n_L7NvQVhiHGS z0G`?ue7U|3{y_H?2eC6pWCdP+)IWQAKz?X>PHsBb;&9VH#+6#TkG5e$g~Ggf^^T_} zyB!z4bsI1_7CtupEZGeR_49ctC7dyEydWpT3~vheHNPkoVgJIy?u>2g+AeypR_-ln z2iE%t@NRwn>dFT2Jl#;-0L;78wgLy{l{>zzdGy@0Sem6a1z7|E4z$f1!hc>A-j=l( zi%+0l$)0ITPpv zQ?*hf0Bf|-LM{VWFDjA~mUqK}g(Knxyd`SWfJF9x{&)uM^+rgjW0chO`rRH3Le-P? z6KpGevowefFq*;77u|Zb*Xr;CcrQwQ_=zEdJ)RcFmHS03MjWI&77YFLgw-fnBqssm zr*SW1z&H?6h7CfngDBC4za1B5GFGpu7gGw*D1!fUO|dDr{f5SN)>Og_;}=_i$>?wBd!fgrn}nQ=()WL{hgFWI3cp~ z;sC1z8s^EfmLhn=5s9b(Fo%c63{gyU3qzt(!mx0{XhIr;-sYisUw9{C!rSD^Wg-^j zUnR5F0|x3aow!n~UJO2-Ov?<&K`-@kE`bV)cXECHu7$x&x4XmSW;p+Iib%jpogD4o zwlchMw&sX2m}Vd3uL-6MgE6=^zmCBf4Cy$=d5w!dqHd3?*g`JJCUT%42s37@42d=5 zwPofo#LWnBL*qEvK36Z#PEb6J4Re@Ud_C+#tZj(X2kAx%G_kx!e)G#U$$%ku~i$cT(Wjf+|sW2_o?vS?}WmseNG-%)Do-K)wbdiSCx_zl)N?g{X@CYhOU zTUecOUneV`vzK`}(st9kKZ<`K$irfyEnRp(3nlg{kx1J-hursm1srSQRJ+Un#9R|6 zGx0F^y2-(~MU-PB*vL;cdYa?Ek=l)hXLJz5v|Z_TFJojpv4f$BkBt^`X6JKadG_&mDWY9xyNB-i zJ14vDHYLozk9UUq87!Ti&R+GfrWpcGXUSNdo3G|aC_r5lEH4fSi}+~Jk`_OYf~7kL z$He2@HCR(5JQ9$_Sbj@FOX8k_7Xt4iGq=4EOCBkoF%PwL)dUgPNsuurhx zB9#AnHSx4SZS*OSYVtmRF@4ljjMtmy8T0Ty&rYZpj|0RY!+A6*!#TYKET=Ttjo=&m zJ_Ef7t!DE-F?kWtG&sADM!!VNW(m;}wJ6G5&hV~_^fuJpNF5knSY))vRCiK8AedVk zpd`>~HJdH!ABy&9HiWdUq$DUxJyIk~+nP-P7aAhtA>|K##ee8-SY(>65)=DeA;oDSU5&{01K+PNYmjG(pCV zNRQyeC=Hyvd<%m?>Kt8iC_Ddd;>jB1Du$TSwG_q(MmmO=*57>AKScb_75jzM$6=;> zVuan*mENV;KO0(*!6stB?Zk8pe!dX(Hh9^X3tO?*>_AgP>?I-mEcgH@^~Ah|=^BcF7Zo zfMtMudExj8y7GdbO`d~CgUNI+-bLd?&^vegJi4O&)l=&1g63g3P~`~ zD@Vy@GQW&b8V@p|GkN)BTODLl;3fH81K2@^T?0-}E+|pY4l>Bf;XnyY;Z>?Q*wGE| zeh%WIx3!qS;Mc{myqiKSK#wU*GNGtW!xKS5lFmj@9CuM~wwe$**(AeHse{i^Y4$R} zdyx0>rUJ0835KO~GX&HnZW(w1p6GrVlWll^-N z)Jw(^u;t^d#cH)%hvX+LFXy;zo*?>?e#{q#fhmKXS(!5BB^Di$F+YJv39()X>IIUt z)f5uV>oAktM#GxE1M`fIBeY52Rgs30ZE`p0xkqjhx2+RAVWEgw$~s)jchTsj7zPas zcK!0-d_bt}`K5a{&* z5IU^Cm?2hcGE?JIt$x6ArCWE`T!?MTOmCV0eH_1GrP73F4317?rN0q-W zs1Rb9wM!!1od*~TgGI2z;8(>lIG{%^C>FSG=YpH7Jbn=QIQiL-E~}tlG+TEXJc0*5 zU+^cfqU_&>>9PU3hUH^T)k<>Iv^IK|3&P#AQNB5SDE^HEa)53rJb(~nKUWf`Fyvc# z;DMEMHOv8q^(!;B7zWou-uS0xSz@VO=9bkUf&0_>^ghrXnWt4 z=F109cG!G*=dpHQme2M9r+LsCdMyf~mhZzV5hM%De-SpUuUFV($UZV6Q(6UZ-$lZeAn9my+|O7T*rN&&^qV(`#`G zLp8jYGgKBxe3ZrwA%pRG1lIFnDLNxo)bil%2;uLnTJ z(zjv%1H!bJxpH%p@mlW-c~&&(3=L{i8gRUYMYH zAXJ(YM!i1=FlU4+D<0AO7=#tC8Ns)$n6AaF(`ZA)!Xii|0F_&>-KisR_>N{tlSOo- zg#b9$(m2Ia>gJt)%{$Zv21XV>E|!hO!i!V8sw}+MgGUN)BcUd3b~b23R=}DWa^FgD zFLQZ06GLNxXuYb+!}JHhEn50y>vC(Qm@j8Xb`R@5fqKfPlgyUojjoH*7m+Bm5pM?j zW}!uXZ0$mj&sI#7LX8~`;?bDs5HXP_w#(>8VxlJ_F;P)tqEa6`KgKyW(g<<0`fHL# zkenbv*<=fB)bJrT$_XPWM#H8ND7tCWw`6X=p#IWxP!mkgxh&9$eU2V%kg6nwoAE?Y zI6_9kVK&sX0&LQt#`aphZKKwKX9Y{}t;7p9HOV$nI7dYh{68DY1vd^o>Ye2grU62b zU&EXk2@hICi*9>GtfL`2fxtkTF~;$EAMJug<=iJCySqpIM~AxzF~1*JY8eNpb0BBT zMVs6cO$}7@mHv>UL_Q%z?w<{R{|0w=AW=>$V4Z}a-D@pna;4XpoLH7J zxk?sUZQ}SL7JFv4Gc@JEITBdi>FEwu$MLibH~2;AC6rUPFNR_eJu8-X$JGl*wG8sK z_j%HF$y!Wm;e)sPbXR?(1tLV{;C=k$1n*C#BsAX`3EsVgW`V8kxt=~1bO@+pO_f$q z#&F0*_%f>h@~R*90j`{)`_0vUt^6;%GrM2@hddcF-!{zHO<)UZDq~66I?!`SM&^}e zVC|CdOY;iAKQ^12aSxd<#M2ua(63egFiKq;CZ z#~wdGr139neM*_znatbff9N^7wrM>JLs}2k|C7-BCvdb!-Q7h2)_L0eDQ=m}MB1<* zQyOYLK;F8t)^66JB)jFVH2uPcKYA_(+`BvA5c>q6iypeo^6+^H|LusBM&M>uN4N0W z{entEd|>6lra?>(FvYUovCw>_dU_6~RRy%I3 ztB0K}pIBR@$;tQ>_f9deIXZrNaMV8(!DF_^P_T|S=LBGar>KY`433Q}ZlZt~ zByVmrptL_!nGKx3I4b8|?}sFDQP<~uQ9GwBe!q=?GcIn%Jb7N#*yeG20S(pve?Hvf zDTmuocCsMbJH$P9veHb5}`%m5T!@RR3<{iIX@RLV}$JVFt0F`!MxELFW0F<7|H zFL1CG>3fB;A6l%WCv0$e@+_e<6OG&j4R`u$7LjK5 zf7iURrBg>r>(3yU2#sxZWec!XOqGKp9xM zy84O*LouCk7y}j-*;0wE(i_fo6xdMZyVB^u6m{yAnmQW+{Gjm+7nlA-Z?cFYfn=dN zS`}d{3UMerSdfM`+^=aKpZf=U=PrmS)aH;n6HCPuo1iHUds%A=*OJ*KX1stx6pBxL z9Mf~XY!)46zF=Wn1fQSgDyudYXKSqu`95ssIm5i_fZ6c+ET5>j6B%sZ^Y0roI__Y5{?$N=Kd;a*5UobiN@Z#;`Q%Tj%5O#%!3Rq|6 zcNKxH1%j~iFg!ibNXYzw`Tys5w6Kwr36bBK1W3Vld8L@w1xj^ zgTxmX3YI_>T02sIF^{a5&tJQAoRzqTkV|lGLQkN80L5+byGghEJ4yBo@Nyw+6`Tdi=A*J3c*pld58; zC*tc51-J0--b*~@aF*A2@y-Kv5E-j2;|(tH2e+zWTZGbDTA*t%ZHU|CsKnk^@uVrn zQVb%mRR9#V0Z=}BI9^_YYBmCGw4XtKTv8v&S4%iXHg>cjL%ENFQ|{XU>bK-3_O!Zv zQF-%5Jr##78*sUw$MaMmh5`LsK+ffOAWo|3EA=fF+2VSQ#7pyx{Tp|l z92cT3Ie1H`6zyNfejsWL;0VW@(t)}EZ+01j#qdJc><;1NWAa1 zR)PT(bB*nTO08D!EXjXe`dUKT!8?5}fMhKt`%>!jGl^*r)biPsPta|5*FY2`-Mkaumq2P+ZJ>7=7u4DEH+xforwq zp0(`Yh2n?_@I~ST-ka=A#KL3AhX*t~x|vr1ul|gQY}kG}+$zeQU5vV?;{ zu(0H*H#sL4-lq$FZ@CAmovUSnZ=pg0Pw>bo2K&={SFU*thV>8 zY}9Er(AU{ze$6(ExKTvv`oCYu9)YLD-f&nAIrGw*66)U-1w_rU251mW`Imx+BcY5b zh)2w&W`h!Qfu_R;okKxd8K5%ak-T}T#zhyp9Tx>yZDi1qBEcg+H2+iY@Jm{+rSyy$ zh6Pf(t=-k0ru%fGsZ%&``9+7g%a?J}q$gfnV{r|=M10&>xd$OdV$GkzXhP5i5?*y7 z+0b`MOnCLR99Xghm)jZv0B&tToBOrR%OZ{|2{vrCxzTgCIy9j$S(dIV+)nV7Y3qgf zOIvRtC;+iDk1z%UUAJ>V?fuT!e}QDt7kE{)<}8a6z&u%^Thc6@0+M)G8-8E#el)=Y zRp=Fg8FGj8LmA3{zziib4KuQuUBR6AjUvVeF%5o{~XkD1kE#{ii?X1}BYU;qzGT5lVWY92S*?qyCC-qS4Gk68MI@fQU; zqz`P^T5%j!I)Eap4IA+}^Ci8&@5Y^1TooYN552?975zpdFU*pFY7ay2mkeKp;z9P- zzq8n>8UxR(i*t#gCtL6)cWU^#37V9?k3z1n;V35tNTCFd)fobP=CU&2kOKLVxT?$= zrJbl^X}r%(bGJ`S|5EVEOfDF7&7(PbW8k}=T%0|7(Ja z$ZNrG1NojCTRutm$kKd0^d`xSkyE7e5J0FS8IORp^5dR^jEo~dV(G|qJ)%F!o(H7CSxcYZf1n*F-ztRPfkpFQT+_cdgRLJZQ zT>fn<&lR2*ppvzSxNda))WFC`7xFW)6a@O-TE&yt0tdl+LN-{=3tN|zw$4St@7m@a z6~}!W;DVR}f$=Qi0&oj+02+U&1=R*WGqn&-0z+U+AfbSx`gcFRvQgF-jMsq`TC4XU z{{uPk25|DhZx>QAtf1?=7S?_6Fseu(HCuNYRSaVA^96s>VK`EQXCpui8Aofq*J(95 z3jOH?7k_n^6tZJ%Or~#e zO``qdWNt*;~ zLGS4<69U|(wtRW^fhj-{3752y)(-O^Oi-N^Px*Axd7^cLY8v&bB4k{d@W9bGjNGUm zmLe>7wtMKFzjLzdZl4_P;m|;E!(xsjEuZeZ>J@V!+#ooY%$VN17_(j1)G*HHoV9~3 zwzFSvvaM}iaH}~>YN0|3=iB@wwU$BRVxuekKk=f7N`x}V?fLCsy1mXa!o=z;BtymP zDg_SFTBZ9+-eXkWl->wuJe$b`)LF&x5`;0ewsF_3Yy#k=qYtCHf$0u#^!>w&$C;+i z{7+2BnbvRt@5RO648Ax#ZLb7gi^lQ0Tor$gkb(Kz(aA&~)9Gh*LHh*EkbTIeQi?T& zqpHb(XL{gwl{cEyYEC}}Ydt8IxS%>8MT9ERuQUg}Bs3p;Z~nV>r9N`T?VDHLI1!m% z+eE=m#56IOOn{)V((SrCi!?a)iku-SB`iVMcfTebIHng=LY4Vl3okGD=oznQd^4;r43PwG$V7Lrp zs8x6n!cT&FDb~F$`%ZB$XHj-E<6Ld(VHmY~6}(|bk3-uPqeM|9 zN()W9N0i{lP=}Dt!%(}C&~bBgxgLhz$5Nxy66t)|B1s|k083f4|4ZT>ml{gNIDt8- z)1BR?Ct)cmc^Dgpbh;-!%oUjcC5Dw+nJ`Z@$*24ivxUnU;!vpFI>8c15k3+^*Us+t z@y_n4%fbh^s-;`sy1HVKU(&cI*0G1P4*M3Y@}X-{nE*!U)xMv z7UhhYFG7`59jbZdkxQC>nkzsiZ{b4=`yk9}-6)ei(0oUl6*xtJN0J(&MRbB(DN5KM zDa=^CF?@%z0vLb*uOp6g)xC$ZqF#rXATCAV6ibEmajr&uVE zk#{j|Nv&M)fF|AMZo9D#pbERNcduR7aU`#%sMg%Zy1P>I?%fV!`unxDZdGnljST1-^H_i`2PpLk{Sog6)j0Bn=CaBt7c`-VxM zt0;Bo)uNG6nRI~P{c%nLv@)=`ycF0hJWU7Xh6qFc95+v9j8enT;PIavfVOkuwN!ZP zM7rBMB{FBZ5N0jhD|OwPcKRfy&FDF(JZ9lF##MmoX=e?KJkFV2Yq#9bHRmOM>6^gI zf+!K&fPUiIETz1$SRIr4tL8}^w;ZVA7P?+G`afCez)UuJdQPV4b45(+!%}#CsVznR z)oQnn{&Bb}C-b3sW@sG|*4~^J!#c=3nip}y24rD)AD_t+o!f(4Q;tw<@@&{Z0T1jM zVLK%Ej(lchS(7c)GD&7>hakSG;KKy&4|Z>f2aY`=hf~r(hrFs3Bi#uI9^nuwqJE&h zw86H{2Gipij+OnNU;;Xg*_5mWV)Oa=vqy8%O?Q5{bcJHRcqbpuV8h)AmtL=Lxm|8a z7>4&VnMI7#u!hHvGXCDFqdKa)Dmqf?j(*e1gHFS7uFG|b^WN=MdLd=G@Xm0kB)7=~ zKh|2cjV=Xp_(p#j4{G$fVEf!ABN$k?mU&9!xLkNeorb%*dLIS6e54ceWxaFq#&QAE z*`uh&=X1vZ(-jl(Ptrh6Z0u{t;M;0s^D)jBEBO4t=GSKdq2px0y9#iWG~$aEqZQ$K zc_8}3NF{ShWX1qKz{96_Ch{S!p}#p}3%qgk7q}Q+gblJmTo=B*wdSG`4=Gzbz%fe< zrIUF@qVIIMASOS+l#T<)Y=1XU?FC;?J=EkzOXa2*%okK|D$VdAA|B1B(~P-2*AFdh z1O&FFAG%6iL=?6mR>RJD7t6wMCeFgIJG_rJiv*89mw0(@txV&1v{$=p%C@mVTMR~n zr;~d}yKj9r@l&Q&*uS%T*nekt$MqCQM}+-gJZ6CSV(TnM(dl;zQNESS7rC^*o_M|o z!0s>->_Q#B1`!y~CQl%~;HuV}t#;i-rCFm@TOxP0+I;0cY#&Lyp_ryZ0AV8eUM4s6 zYM!GM!X;q2K8~sb%$LC$cz%~19yO(3vP45Zg&PJtnibH%JQ1nnNC8x-`a7p+ovL}` z0M{js_bR=yAa4sj@4c(5m#9Hut=DV59-@|x&jrFYW$D?`R z*YHs?$Bl5-w4gIGd0?ni0;d79YRh>OPQ0%1)x=N9AQl=rud07J>y*Ws^coaL&DQL| zGZJzS;?l7ErWP{u#s=#hXD30L%2~K9WHUd?WS*HX>AQzWr720icro(P(#X#vC7r(T zlzW`p8|TjWe+N-21Wu?Dw-Go6du)LSUkL>unEQEfUwuToR7P;aL8R}zPN6dF9`0_R zAD=EjgOy{L2kQXas_fnV-zK&Y;jsRVP{y{~MWo%V>nwfyxfo{e?toY~==I|-syvRc z4;8mbcCOIkg0Uq4DVFi6VD!8yr-xjpe*={v5MTI zo&Tdn?lI897>J(MvA=GfNY4{bNPrcy@-*GMj0$4qahfg2`8Z30Y?f!Jwkx$!Z4-Q& zm}`tdToc+4)D{^621Y$fY5=;K)7A_TzKm-8BEF2x^V4{tY?+P3#Vv@2*(hj^3qAVX zJ4;CK!dGl3-qF|04Bn?YJCDsvcihs9gee1psp?LI_6S7~<_I6OYrfs^@>+tp5Cbfv z&HR%2(sA6j;V|5rQBCELx0KM?tf3S9a-BG%TsGisdFJfK37u<324L{5?_JHO{br-u zQ5k{nC0_EWp?uc`y{>mA27QOiah~*vLGw_-`1*T< z+T$A2%~lg_kf_?jS7Rd-i8}(}ue#I;jpu>$hj4#M^7u@SAhEDB+&zf!Z_^E?@EKtq` zsZX#436P#vKx<}9;vMry;+z`5vqXWL$Fu=Epn<*#P*%viY=?6l>~&2Bu7)nInks)j z;3zJBU*!7_aq)oe)FQqnz%_T*tNC=utaQ{E7wh zvYnEL_O#iYfm`w|E6=i+bsBAVm>^nPX;1VBz~A~2`NZE%*1`4AGPYBP{;xcwjr#ZL z^%g^Wd8gfIVSxPOLa@g+&^75X}}Et%{e_>ts>)!;{lSFd4QC$93G72`*8MQFp>TAV?HGp?{b3 z|NfeVH<2(EGdv}5oV{q9fY&4)gWg4GT3JKgqT*UcwJ+~#bEqRPl;jW%$nesw+1v9} z%R(v~k&y7oX_%ZDqGrOEl3?f|)?R>vhSGtAfM5=|3gBBCYdqN6m|?O9YbyZCw1jhs zG$j=i{ep#U7d)it%$=@uE5Sj0hiEb^psgA%XKAama-l)K`}E*#(rylR&iBujrhGP< zJ=>PHW%<2*XCis#)o?xDSzJ0jb07INT*baOiSJsN#JJY`0i!N`pG%^qi_H@lDJu4f z&cXyrG2x%UppEp9POs{s?w9@E@YM*{1x%WUSwKO)jdLV!APui-F{{VeFX6Fj-S*O2 z|9t>v!=-~iHNTP zDlSS5F}Z~X(-|jJUa2$@Yige$(&g*SQ>$wffDXsG!)C4Jw$?98gzxXI7jlF$-%Gp< z!lcp%ZIIyq4}KoDM7r-_B8T!OwZ!A8Rv>mNOZ+DmD3z3ejbkayqMGW=8f%?pQZ!|+ z*-$CF@j%+03ccgoc3{2WV|o(mArMevXAm0%iMSS>#Ln(&rCleT5EBruUcM)_vUO}H zgKbg=RSB1i+EK*lXlu4R029=UMJvT{vgk37qx$0<8|vk8B6;=lFI`=|5j`;u zB70k6w)D&9JNhJ}bbFi+D^uVf|3{1_*|DrXUUsQdOW*@$_a}Y8>&cz#-CBk{X&3q) z`?Z<)Nj%D(c*wd1Y!xXWeYn|0IhtLbE|Zctw&5`1Me6E)6*qa!%VYf9)f~HQLF@KGrGulhb2~l+-}yCFhsdH1;OC=Kc7D2S zMTMLhz9n5}aRIMkxA0{O^r{t4BqArf(^4WlznB*T-=n4Sd(t(|;133UG=@up0T z*-l}0_dK^N3hnNr3tx+8`}pZ2O0oC7R!nm~36U(3=-^)`p2_g&;6~2)O4s$sRw3Sa zZbAB^y^aS-@tk%MH-8@|0qzoDP5gd=i(1xWOp}yVcR9c+(=Uj6H75(jhZnA{Y*b7H zN4wK^CLBR@ZB=>-I0Gk{Ou;-&<1jE~p37UlaoG>l@rnb%@@(0xEt4^WSc&nM;l0JW zsE8JM*?`cLSwZ|nCq!Zz%?uHYBnQ9LbAecYQMvw(`H{!p0gnf~zgN{Yp~quku2#GE z+-7}!z;Onx}>{5~cOUnE0j z52()-&~p$K2w%qRBnv-p4&!WL;nv%gUa#I+*I?fUUooy-LI~1%)gGLGQ!pJO$Jk7a zCX>F78;xLDU%(&C;Qrz6`czeq)y7JXPM{|f8RE6)A&mE)om!5#=+Quv6reQD_COe) z@h{4v$UU+tGmJK9o4hRa=QspQ9+ygwZ9?W>FH7js;K+%`1?a)u*;e?&V}bj`Z$zsn z$49$I=RP~Uymv4OJsh69gcrb+h~JDuyr#t9eOz#|^>O40+3 zkya%@h7!avSdCBN%^1Nu{Vv2H3!tv8W_WgGy45Z^LRC7%3T))1;~L(9+JW%CtYP(J zUMTVDUeJutr29yPX+S@dSgxb*I3gvktqbe{-wvuIWvp~n0<1qa zu5IAE2tU{Zi9gC@{tMjysKO-&EX(PIgwYMLjiFD zD3s*94s#n9B~LWtq^zg3zqoDevpPWcvqXuR9|_ociG2dkqjHbO8l{z`$PPz?C77C3 zYAF4QCsk5I#Wvs$5X#PibP~BWf zT-(ER?=$M!E&}h8d$xn`Im*q}yn+9Tsk4i#*A1VunhzToX!O}omj7U z(x1h{iU&}GEx7gn{`ktyK{E{wnR?y>ZSf7SdV#(`KgofC9#Kl(ozaW-RDBOu9L zlKUmMbd+GMuFx+Lg0y9{=eXv|UJZst5VZ*H$M@#RcHZ6v_Z-bdv^G5S zSoZLTyhybh@dtuYFK048kLBpml?H`zAW{DYKkgrb?%rsj>sYmay5s6PSlT*1+ETV+N08dOmvX z+1q&qjOVPIqm0kbTX-R1-t{;E8+Oi{f`2oEyN4sjlhRTfz&sdN3#|S>J{N0BgG<}agkdbCq+%3+j1dEgf1+K z@h55 zv?tye-+XeG8y7s=K74{x=MsNxpPwF*{Awx6^Q6b>7^T5@ahpX|4piSVfPE$xOUelF z(*HuY(vrW>$%xh$a6#*~eh!mCZ<6(No)ir7NngjONq1;)oH>~;9%D-~{zBEU49wvo z2sm@Fn54y2nqo2gJpH^}+{~oTH4`I}aQDVCPi5ZR;xp^B>*>O$M)*YVCHoT=UcV!%H>Mh41 zuz-}JXG4V03t?ITGV}lq{uSO-QX_9o3WiG1NcL4MGf~q_lrjr!HW(#0jLdG)HACC7o{%@z100IZgGt`HjRHsi^(1MeG6- z;Wldaf_HTQv@Y`Bl0&qCLIe+E(%A*_}GzB*>uD!jb9>gS*=!ON)bT1R;>T{3JpOJ06S z%OaV4{`zk4(Zp%+1DZ+8&fTrnAI7qNID(%(F9Lcly)>WqZi@2=8F2-?cXh=N7JT^B4 z&xpbuEJ#bPpdKUL9vVLB`+aPFMvfb?rw15G4gkMOAcj9j)H3+nlat+Xq;3?%24W{L zWe6aKE7<|fS&x~Mi3>SWaL1chy^KB~S7VMEntz*kF{URJd_7Tn@MMQQBX%BZ(+DXb z+D9J=zknzw1w>B1PYBmL-mnyHnFM7uadF%5^TV85(>Vmlf7uIS`ibA1={Ou9`ila@ zyoeha5q+&B0jZBo|6t#cUp>M1Q-tj&5PP6g&MbM=i&%7To%Xj6Pj$tWe5vYCFg_ZZ zt-xpvvuH5q>gy?EGmH%Onym)QaYI5sFZ}bi8u+WJUdM4$?v;o_Z{7iGbG*s z(gg8FIKK16z$FR0A3UXrUg@Yuf|#^G(XsRis^+GO$m$Emf>#a`@g+QYGCw_xDmw|J z4caQc)XTYAm$qEyv_wF|aR?VdnEIKhU-qDLO3)>J%;}c-x+NsZ6K%FCy$#lQiDe%7 z=t6#`O4PMvldbSe2}J`H!DP?t(W>D?=N2WRvo%VGw5P&?zxWKtf8uB^VrMEkbVZ5l z=|fu>42soJCsLq05DE!;T=x*YCo<<>*0wr^@uikdmn1z{1}v(hIyuAdWXmedTA0!Q zfsQT-)3993ZzLOwN0=M}g|I4bC%&pF;28OQtReauJcjI|)~EdG4DKHe>rd&4{lF7( z>eaiwrM8PgR`|RhMqlL0STq)NcrqX_;qeWNd?I5|aaqUgAE$oc({7c$x;F@UZhhx3#feLyeLFwSKVPYIN&&>g)ARrPt~#u~Fg*h*{U1 z4oc4?dw+xbj}MIYOmjK5d~zXQtU84yVLhC>MQVd(vD4(dwvEqDzCzma1t#7c}Gr30vnm6rT-2WhN}Y5JvQ(xY*}-ODTe;(ztoR zb3WTW+}#$cb3XG!Efp2@pBj0g2S+E*&TsvlopS7{3uoZynj>)^J!C6lOyNIEYYh*E z5)g{}lqnn8&EWZ%9E4;yb6&CLJji=EuoesOzrT~odK#p@hGYNidsbwH8Wq}@jTR^5CX$>QK;Zia=xh;%%?)vw~ErQ!q*4$$dE zyw%p)8s7(jU67AGpBS+Uww-y;0VpgLkq*etH`%Ui%`6kzf!lu2&COF*_}*ud8uWf1;Sfn1m!~KD<0PVyZ)!W2 zJc+)4gXc-M`DVqjc>^4qagpAG5suA*G9DHw(tGP@j{U{p5=)r(DDl)9p_&?U%c$7{ zw^0%@)=pADBn3U3(<*IeIk^CyUovl`I7jtVS0o}JL{~)Xxh!!VR^48!S80;uRi@qi zm*!{WxG5K6zk`on>oKN0Xn=#Lk_?Q`#$KXl_dKFvp zG@q+CdOGZon)$rquJgc=gn;+IMF@V?7jQyCX~a&L8%hHmbvqEJ^GdmaNTu&gn-M8E z(}-LpsG0{51TF}A?pzkduFn|`Q=Gttew)8>hiQ`Q8+xLYl<8sguFlEfKQBBir|I3C z`Q#Z7=26$V+H+fyl*QT(@WkVs%bT8v7wV$miPz1;k%Mrr=n3QmyZ2gr8>5(AiF9F= z&&X4pc@WB3@Ic|-`v1>7fDL^Qx1hNfesRvi(i1Ps&_8gK`0!U#rk zLEI1B%M_lbhn(&}Cb6MwC}g)h#bEhIDV>3mi`n@j{HFv9iQ48&2D{(QwWqA z`{%bTOyP9?U}R=@*Y7Sgf-xb!-#4%3aRWUy#EF<^X0#>6J0Xd_VH2=qE6x`@2RTbx zxG^#{z)f*ZlWMEk>Nr~XlxFrmV&I5bEjpPGu|3U?r{|i7+k{m@0KbRjc>z~Ds-c^u zL(-m&I`sftcseEuVMC-qus+G|PPP=ntl;Dp_7kgRL?9T#pCulG#+1&|R%gZU2KDsd z?OoI@AMBj(pZP7LMn4+?()3@u`Mv##{!w17m#9Tug9mL09Nf(Dl>E4C^*=~FZ^Ni{ zc!FJbe#5zl(O!0nL%|5*}H zz*M~Tt*fiA;r>ZIl7zl|r@ARsLUmRX!+zKy^4+*lQ;SB`U;C@XvojX}-h<_f{i4PT zAwaUDgv93pC6^UIpm7d|mv1#-+5!wwoCu4}I!m5T_j~C+6pfkp-j|rHaxNrgmCqiI z17?uqB}3*n6R+*CSi}sii?~j$-m6!8s%#@+Ep1^^TNKQknT(F4;_?!QHVluAFeC2$ zeP42+fUSy!_Z4ar8gE~67xD=6#FYEsi z&k}Ch8!O9@qK^il*iyI7`#|G+|LGB#;2hpUfO!Ok|8oG$S6KTSWcvUto*I|L3YZ@) zKF?<0#|Es7h#*9~&Uo=+7SZ?;n!No6OV&qS-lV0vos# zcDGwlg-$w=RQ-1Jdn}2UGQyuFHFxFC5BmLUJlbxwChuT2RI1`={FM~axbO%}x8cl; za}9JVteL@aE~>DbZi}75$F4y|jHKLh!QOavI+u_=cMc+RP5fA!!gq9;A@D$nwn&$j8Mw zG%`i&b-y8=s58Kb64bJK4x_4NFhcJ9x4x5RFi9=MCMu-ReTkyJEYTl334yEMF*lI^oR+vM9{KR?I~yV}L=_9GymU zclXd|#A&|kc|VTfRW{#Q^Scl4{8YdHCH$z_r_<1UUIFRkq-cI6i62KpG*v(rGx@Gq z+luyXz5rb#(v&|Fz@E5N4U=K#c~&dg>zwl6TzIjGcP~~M8#e?KmyBLyF2CY^;;lHOYGl@}dBEh(&&(A6eixT5udkkqh|3XjgyNO`bpcmY9Z1HEpgS?}GjDElh#k z$t6X?oVZb!*Jh&|ipqsQU$H4K@kt~TvF0oK6^Y#Jl!j3cVBBN(J`%B0NVvRm=O5g7 zt4Ws(GlbptVVMCgbK!E~(tYgSLC%Q;tdTG+mH!1ppe|l7D~vUlw*7(E z_}gfGgmX4Wr&JY|LBQ|sVz_HK+0}P8>ze`6xHzm+X-B}Od;yED<#jx$x4Y zTBpc=OpFBzm;-pwQB0_MDOK_4&d`Qwc4-pVl0uG1WX`AQ5kwl$OzU2QL&2S-(n@~jB{!{M(Hz`dwO)@A0LtfqD1Lb!5lxl`s(Sqa!MBBovM18Hd=Tv+~hTB zz&NwHEdSL9*3)gmgQuMh;4E3l8QcMb*O;P-39%0S^31|}avrnNI3OxvG=F71!>Msi z_79GGUn9X+Ix3NP9I%0{FSSn=z*Xroz&a3hlF{v8!HyJNfTlX;- zz z=e;phot?jTa14n=9z$#m7kRv-agP#G%gA|laeF1jftV#I_fqwU6_SD9W^F!-lrRUC$cV&7xqbt*k5yhBcS0>3yE#mBT zE7f-3rTh#gRvWRkyH&Q?gfGmx0T)&(Y25&Q+|06sG*niyKfasWwfh~)Kq*h=5YNVTdjmoZ%GxQx=nrkn+N#JjkBSO-v^0KhQkXfDBNu4#H0Msddrv* zJuORQvt7X<3vKI?$2JdXx=dWQF^aR9-G%Nxp)A+OI9wQ?<}k>G5>Kv#@XlLq(jiHm zny{F&h~@vt-K~*XZPzS{IWy0vi#g#s`xjq3*?aD*zI`!u#>#B2L9}uH4XyIhSKbxq z4+C68bATn!dlkLwURgFF7|ji_JPbuN@Fx<4no{&0Mwbzx=7RaA>^e&#$Zudi?XF2( znrT{oAWSVyrJ9gcL2RKoY0ijJsuz$#1|1PQcWRALbCr2YMeO{hgV{@JwYqtqv3d7V zt3IOFfL-cBS1D;+ChU?5H2z>fBO&@>O)YqkILKT2gPa7R3TRs!fgJVxEgcJ?agJW= zUq{`BZW_fX82s`Xh4ZFiaOI+&Mvud#eavQvdS}Wc&byEnGwnUC>jZvzK&o(MJ*aS0 z%%~b7S63LB253@@bIK=b9H%5ZfAfBGoksiz{k{t`6rsa0olJNlz4gkO%xZVDHA2wA z>-{6q#2BUveiXx(jaRh7IxNh9)lLI^A>0(Al=YX9Jnb16YUiE$gMcz_FS39p z0KNV5=SNs6+TOZ;2adY#hRSdhuha7VlKx?nK{0=B*VoZ?eZ%iG?s0Y$m10-_2#&|r zXra7kS$)s3@p~N6in1*1FBBNkMlcvBR~_SYWO71{BaEWV1$PIA=ZaKu{BDdR6C@E$ za@YV{@5+%8eh(~fP{Rg+p>JTpY`xQH|4NT92Hf52#ieX<;kDnD6Y_lr6JfevZ&b@$*;u{aq}(##L}*7_)`k!riycHiJ=`smK6A2tnu$l1GLV0JAG!FORL8%c%Ro zJ_)~GUH2=k4XA_tNW{`Q?HZyqrtMP3Hm9Amwaz2eOjE@LE~+3*21Mte59EB{|6-Mi zC8wARMjBs5qedquupI{BJK-mCXG9#tFneZfF98pcdzb0(h{wU<5w+QzNy4>QKA2Rb z7=^G30M7DC?}~f^%{Qh-Y8cC1liWQ_9Om`Ine>XFbnsA_L?kllDj3%_o~)60!k@u7 z(C359O!3pd*zafbW1DnncPgYOUKdq)Q_KvjX^*T73OIOYMI?b7;tFB?_C;}2dOrBH z*efBWb{5M#=fC{8Am;fym_=LHZ8m>B0k8;4C|jo2uXAdJj`S-#x$8K&Q{_J~6-`W(7mJu7!qQ~wT0(1V@Yw!gi;ew`zJ;G!-q+RdK6okFb^6o0C_*TwZ{x}YYj z(}6peq?G`pG;Lyg;CT$hzKC|l^-T?)E+R!GstQ4jAI7;t;kjv=&U|55IM1zH&MLL#9Ut}$#c$%Ak& zTu}RyaxdyzJ3bgWOyl6%`j^;X+VccI(XVe+NUI1u0{q4==(ptLtqcBqQ7Vcf+_EIb z5F%a*czLWJLz2f;oAPK2?zZ>zQXQWOpTa4kz_uaK}~u-lbST; zu-Lue%}EsDodTY_T2BKTKc7{2{2yRRQjAAv!UCgb(2@)7<$z~V@IH>Edgbr$NiY*g zNQbJ?c+lDD$-&8GC@oz+Jem@Uo>=yabLbO(hj_?xLM^mMSIS?mGdK1**S zf^m}MCQ@Ql+iUeLO2e&qZ(m7e>b-mF>T|~QHsbSN7>>7^VcnpN)&WH2W{R5nZ+K3f0+h3=?6_Ah- zmD6qZq#60?N*PL2M{pY~l!?#*lpWh&FTr0&@Yf0&UAL+Y$U}73$cA)<8=#Rk%3+i* z6oDH^kQE$!=|`o#r-vah?*0gHDv6ci_fKIhF{M@z^~v$y#s1USe?A|OYT$@SfdhWl zbj3QE*8U!)C_7sk;D!Oca2}$;9XXS;oX-*}azX2lw)oRfQQ(uQZTxGg zo0;kF4|&2XNO67)yx4jOpkuu1?Oh%Rq%}u`ASDSX_>S?g%ULkg`s9XubnFjv34q-J z%VBo!N*!^!F2(c$kF*ra`<;5%ueIOx&22&i7la%u(kygA|E=}Lh|i^k+Cfuc+xI&m zop$7-EA?k~jfZ_y=f;`Y!UGE#l~Q!%qbg!35t9dPjFy%tV)rJwRziq7!`jWyU~QVM zTBAL}E@3gA?N&=fn}ZP+xY;i?#5vW4q7=|Czj{k}u>>9j^kR~EF@@jeiTMAH_2w|uNJq2=snlxq_Nw|{;ps6q zO*8zR)JHY#W@+^9vSE4ce{?XW*_Y4|*|`~lgTxlwb+Fw$9O502 z@yR5Cx(y6P<2c;a*`$^MSe9#45}Q~a4i?1mh(}fgnq5# zcZ(0`2l6i0Y*uaxYlCvLWM__j-Z?x*ktaLVN+*mWVFUm4Rt_1h=}}1$1bDmBoG5LB zd9T&jhB@SauI{y92_>BW0wzcsI}Es0D7mBAbU_148tK4R=7ov0s$a|5mM?6s3%3ds z!=*U#{Z>L5(8-{kHCMq~gvX;nK~_0u*%F&+EI1oJ(%Di03HC5-NEbo-3r_0)617k7 zZ?w^HjsGlyt(N4DV>d%kAIsjSL09!oty6E3_OKv`z4D0qcQD-F#yr@Y3N!0LD+ds= zcHi#6HB(EoVLc?$`S3K7Rx+Mvu9Cj-DV+^2Nm6hDs1}&*G^@Xg35>W`fdyFg`|w2= z-kc(^{1)&+uzKIK-VGKJb!(&2sUs0x-DYGhKUFrj?;|D@AHfCr@@5`dHBhemJm$x6 z;Uf1e)w|B}0`N9UMRiyoMScB{wnP~&tNC#qClw)gA} z6k_p2FAB<)|GB8O65nhY6e~=9afP|h2*R!M&ts|xW|3NCePa_}A7Pf_mQ@WHwoD+B zlwf;(CCcgy@^1CF)h$zL-}B+D&{z0Z4#qNjDTnZdF0;R*MEiDw=Aic&7zc&bZ@H1% zO6q2ZyAPTn^pqV{<3bkLZ-U^4OoDR2@xoDC3O8MDm3?b-687U~4G-j11P=t>aC%-+ zY|7w>N34*0XSSRY%To4!joGw4OgG)YikgyPrwO^_4OWN2!Aed-uG>s6>{K>e z&HAdsX(Z$S#`7Xjyh+>-C6*gqAKo#nGPZW=Zd|!YL;c#p-ilt;*-7vrtr}kWQrt{z zphyq{%s?GZ@fOuU4qjIv4rX#SS8kH5+liY&m*<4SN#G^QtEl ziD%Rcv$I4>U!=i{B7ns(S_71sl{$c**T2&wECeXCt#X$!Jtb2$Fk5Sr)!V}m=wSsp z#x!UN*(^!-j>u>M`G&FQm*UT!%C5t2ipXOn+NAaNufQITEID5A`xuVhMjHBFH%}eS z=-V_nsKiZor4?e(bdDs0-*YnVS+GoOhvP{LJyN@m{BFI|U2U;cMk$hlih;AHqRasSoJ6LY^)^<$WYv}N4eMu_FJT=p zLesN-zZ+yHO&(xzpiYtE{c(P$l@5 zRA+KP3zE9{ET0BF%OQ${K=EP@t2mWZmhLPs@YN|U$jRxeqm$mTzu&v;!F^m{X1Xkl z@n6)h=41#0Q6kFMt}wQpW8rR@dg)Rb@KQfmZarXbj?&Wz%CGZEX7iWzUpeW60_|Wk zX0|HHVa!7kd}5MwOvjaIJ7Fvr7nBK1o5|g&2!wSzBA@QV0c9@C0AGb*TLuGt<9Ve- zi&@_S?B01N_n7j#cRlaE{p?X8TpEc4&f7Tte*60ecpU&RboeXmp*tXfIHLmy$ z>Mu27APQSko1Ad0-MM*CtNLplWmHt?&5zMYWXj`<&${!lr zWF14nET|x%Xq9T6^>RePtf-`{vL&|3qRNpA|NKS(67^N#$Rv(GVxmGYz=PgOnyWC0 zk*~EN9l9kz(=Il<=8-|9XD12(imYl3=#K1Lkh#7M0F)prCIG6WoZNFvbc%S##a{2k z-|Ou?Kk$3|`+%`8XxQ`Y7S9f0nkB}7(rJe+%F)NYOLV+LXZOJ!!vtkPKc0w4U$~hL z-JIsO>b~<~zdy%dEla>c-QqCBx>cDBa>Yu7k*O}oC587Rks=!OuAvmsbNv@hPB*`J zO2;QYMv`A4NaEsPMkmiqtPnt)iW})dq#-F>^Q495IMqrM4CB@Ck35O$ez?8(O{kCq z{N@h8n_c~$32p_eEE`w?5&=dWXMWFNARL@Cr8$OPRmP3bZv=mI_U=OuT4b^41iZ%F zSvvgdH`)Kd;;Qc`VGsmw7{|YMFb>o1*Jfy1=;$WHh{3m_Oosg9Tc-pNq9Nj6ep7!e zlK5XU&1_6p5NKbvRHU6XxE)2&aX!G1Q*=89yonrvTT;B_%fxBCk{?!s0SpU$gAeu# zuX0lw4972tJ?$O8+`BwKW}_-M08swRZ#UDI?*OzX?Ka0_5 z`Atk-SDW<;$^8<7C93aN`^gc-4<#!(Y7BfT1&q>0g$0b)4yH5u3e&5?VjiTos!4ALUuG82c^~aiSj$6ms|oCqTt|ZrywG38QFcT-pfW0+mLQiG&-AHW z8xmA=R<+LIPRiqW!;~-7vD$=VB?O8Xjuk4ua#B9(f=rkc85@=haU-~@ZBgx-iV9MR zh#r#c{~hE!nh$Cqz;m1t;c^iANNTv8RdObvLTJj54olIUy#g{UmEkmpIQTxHN#;!7 z_iVb{X?7`dmMJ(%?=mO$18ZZSy7t)z=ZEhfADhI_RJx^S{WiMv)L=W8)#m$Ye3AB& zXTw@cbB0xy$PN3`fyZ7r`)kl7nodRI1>iQ*AC;xD)$V!`&6?ZD4l0C_O z*lGLg?ROEDdP@?YYxY>`rYRu*7kjxmd&(tj(`>##4B1UszLLo{TGW2=JKI&9=*{(( z;$H-xqX$fz656t3ub!y?sxBRC07O^WuESsBc8TXO=Mh@qR&f!0Cf(r-eKW&MubrWk zX6&rKED8gj4!CABCfVSWJ<12fJ?7grk4(vTE#Q3u*A*t$&28tA%UdKu zCmn^UFK%aOL+U$tDY$hykG4uG*Xs)J$YJ&h(u}yRH@8VF_znrp=&IcAvRre8zN-sE zI@5O@>U5#GNvB$G>_Br1clGe6tC2Qf^qC^T^FhN7RAj6a=!r&N>Z&sZ)D0f9^LWei zev*s5hQbk68U%%^-}}eJ3Vtzz5O*{}h+7sy+zMI2lxK3a3G>*5Ss`b-dL%hP`I5R7 z9fe}mYMU4y_RveLbF^RLsCUQE>jyHO)CCF6O&uOCSmjfTe}e|51(;A~a?GXgmV9~{5TP4}Vo_sZ3DOhmF=XV5s@!=cNpHK;=+}i?XT@O}QwkuWo-e4%?KyI~ zv#L9wI(iF)gy2N*s`=-b?g%{G#DhrWz(C1Y>c3%qc~W>MY3zNH=Q1A-DS!e=C$II7 zL;#m2X_f_7{`1F_tGYW(%wv*~nEw_=W#_F94EYdG8ME&rp4p_Iw!VoDUxZm2Tla*| z__x->Nv*uCr%?Oc)1wpr`0(lKIr~t2a5nhx>Z_;c3QOI$euW`MKAciQR3Sh>CwsZf zJ@st|-<1?oda`-H)2QC7Z`IqCF8Z#r(?fN`ufRd%$+<;Cs`8q;8v}umXxvcm$GrDp zjEhAcW-QwYQ71wqpT#obm{i}%WP%vttp)3G7L;?z)Th=0^M((o-2>TP!Ej>L=@ulk zT~@-MEqsv!a~t;hg3ktoiW0K$GB7;ITt!oAJzdoV?Af>f>ptzc9BAckMpgScN}dgW|UZ+e{o4BC!7ePT6X73a$RW5 zhBj47S;N&Mm55JK0Voum(pa7(*xL>WKHW-_uBggLUR%HX&5^F=cJ&mYaoS`<3&!f@Es&@8Kf_~79*>` z?|at!guZp9wILn6Be;lT^KD3njIDIU<&or^hTU3#k_;8%UPkW^TpnR!NyG&3fu?8z z$7Ryp7<1rIg;Odp-@1ga)Ov6MM~xf&nSN-K={HX8P1O%Dt}L~K@QoqE09H3p%>r=$ z4SwD`23fzc?RRR`-ub@YsMY=I`s#zzB0F0Ia$4veSiJ@VE@RkT?@;32_EtlDF_k=|{k{>96CbbgeIJY^~m{ zSD9jK_#;1E)fB#jPa5J<{#xo|nGJKPx@wv4KXWhy(+)P<;8~T;LnAC3&ETG9aU#Ea ztCE;&C{3Z}R%Bo~pe2IaN$Ny|AlBrK!A9$a_K54{?(F1q!4++`qc;ZQ05}{J*lpqC zxR6zl_(%d-_0FdXZ-0b$l3t(eQac8_t^QUnbY!lOw1d&dX8bEr4{ zz>N%IQWvlO-uu1dj3_6#a4~1kt{xBsVha6EB|d&Dk}rd-f@JvIQCz8b^1bdGuNuJe-X?j zm4k2w*qOG)B;EjTs=O@D-0j$vvw}rB1hvM_YNy*?Q+pCuCZfULc5;j?xi^DAHUMmB z;_S{gYdOH&R6rxjTxf}0>wm$S!c)&MRE^;b?seDYun3vw0~4wYbox;IYyhUZy}4Q0 zs*NbLE)q$ki^cTYru5*&Un*>q))!QKgYkuG>*c4&L+7MTvN3 z5*KCjeiIe02pH%TySS?oiyt>?ZINvJ6YJGVEnm)@Ab_VIVLM@v5eDw?P~fczc7m9#+Q|@@QCBP@MY2l(pj`6Oj7_^pAs7hu*> zzyAW?6XExQlqWT7ITJ~*Se{C-j*|31m%h%eFfa2P`ftR(QAydSNBc+T!7C4vyz0Y2 zWrF%?-J%NYwQkL0s;!8&g~MB}F{0 z3@3XApn;$O@kT@`a1zC<)sB#Ch9%LL08@2D<@|5{d!Jt+IITP@n-3J^L#_Cs11tZ` zfA8hRRC6WNE@hb`*^Qo3xB`|`*=$}lDEd8okFDX3K05ly_{rv|V9hE}0L2@@r#x3b zv>sV%NF~;9)TNGJ*&{;M)R?uMbNkD3I@WJ@*h!poA0vcCU+S!s(qp$;zfMvM^ki$h z(WwtbbGB3g1(Av%W?%9=lzZsz`AKJKr{Z^5*al83e(|yX7n8Vd)#m-Kzj^+Eq(f|sAwe=)waAm3WZUzNnKitvzwaRzYF4chLE2E#b^`3&#d z7)CEQK+S(y|1y)tg+I6J@RvFpiW`70%qh@_F1g*_lJa2^pGpPw$d>gZ2hCw{HUnFV z!^XH=vuTo;L@wM^0bk6%nm`f@1tw6ht>4jlkcF9=-w z7Y^pY^zG(&d)kHQKJ>|}9#LxfNYo!Rn`iQ@tT)!T{YsU~{Mx}QMqA#IBA|(qAVm%e zs{Q`XdK~Hbp<|7YUKEyBgWPfUER=Cw?(sD;Qp}O!br}MhmtQ+O@CC3(;{v*qcRlaN zF@+}$G+lwi2<&6edPcR3ky^!P9uyg7kyIkp(1nSGV_8I3v870IX%b6VLyk^u_G+^& z24xpR)tr*xz7uhnoFv0=Wojz4Uro1}V^t3ti7Fjzyo27?VufB2_b>htp<%nJGiM|x z4cyGuFg2MU1MZ*AsIo$1pdXJqvH~RpTzfx>Ip|b74OP||kVjChxIm{ct7Ljzgb&fS zaoQ_YNo)G0S?`S-m6$j{y}F7w8{H}Gv;e9XuzeZpq&Yh?p!!a`>OYc}dSajE?-FO> z67R&|)dzKa293fIQFUzEi13w$WR(6cd8F`@ND(3=iUW5Lm&tb=*oNr+S8LpBKyAiv zHAzSq`RVFOrB(ywMg6Zh8>rFzu;2gp4#qTlM^5ut==iWfNB2JaS}9S#k&mv_pD9>3 zRn8YaI**S5SdK%qO1r6L?}1zy($1e&%m%FQ(|tH*Nd|0#(p_Q=&?L>|hY~4)}~xko69F0IKHG#;Y(MDi0zI-GK;o znn|mYL^n<4y%(Q_CYf(&-i)-Qcod?c0?)4JxuMzJtP>jXHd?Ft+p0rjryCRF1>BK` ziqm@EiN})^<5dOAxw3eF&WtCh4~6oILr;DqY}Eu~kCYq?;d9sqjUlx57Mitfyi^#m1;_^V6Wdj+z%p@ZD) z(1cyXRKE$V>Q7@*wHUPz0FFyP?_!NY(7xs9g^pn~qBxElbr&zeJs2#&*bNYTro6y# zfrdHDxJ)LL3SkE>B0>^-QM^`X@cY(Bz#P4r0kRqQGr7(=VLPipDiU?3;jT986>@O7 zcAvppp}RH#UqytVX+Gg+NBak-t5b?(fwi&96ptDlB>X@p$q~|V!3Rw0grUk6sgcT~ zRY{Np_?(Ma*yM>#;uPv#W>+8P$2mbSB4AtMzf3qWd1>Ar;fR8b?*86cLkJ^*1}HkE%hKGZ^gDm}a+Ls?z^dh9s{yB$KuW4){)OyN*jq z6k^lNmB{lGB^!5$Cq3&C6$mF(9Fn%&huH*iYr_%)c#Sp1soiiF-97>}JTLUlh_3|)9`yg>~7 zm>f#@KNUvHiK4VL{Ow!8Xn{gBM(+Z2GFayAt!{gpY=R)Ydo>}VAq@hA-r}MxCJqLs zrMHCAX+tzDD9y;}xA6?Bi?ltE!YZTb3~t^Pb91gt92$Ax2;G35t?`hBMxMH}32Mb% z&r6?WJk2ub<`to(`>0hXw7e9Hlg{3Q(sLjl22{e;2Mp-9u#fD_k1o!drpst|d8>kU z<(n-5Tev^%MijKaRKawFtDfW%ahe@xOL;=sF3xvSuWMAcPKt3> zuxB(u(ImjgpTjbePU9XhN-lOy{{VV5hlfE~O|(r1>i&a%|MPg3Hp4w=iGY6#r`1LB zBI)$)Y&VgP*pa)63&*@!u}Dy$KLowGsMh19}>QC0OcR@ zhpP@W?qbWq!G$OH0@v!J=xGa}-RAbzJtbLAgRmYGliHNE5tDAsMqgs!5&6^ z*@z3e#gsfO zDj?L55zA+kQfy2=%hYV33|tI;)(@>;Fg+UDxN6za%l*}JQqev*yMjKz*%5>rp6{=$ z27k`x_~}75uLLA;2`(an@2(+?5}-2@oaBXH->T3-;(hHXzLxF>bq)Zgg~g~!!~*bR z0Q!Hi-*+W20netmqO$S3_?~F{d~`vYof+2zms^n?UuRv^Lm^zE-bpvj$#%s%)|g1W|DX zZo5F{qsOO*`zjcM|GxFMNR9RumQzS3{ZFk2I^3tjY6Sak9HG2c?0i4lyZ``N?>nJ& zCr#J^fG<-`2v;(#v7ObNXs?IXE_|-DivvhOL7;+P$51sFaFUB-#D#yb-L45R5L4?8 z&`NHZTmuRav1-oWhD_8@0%HjuYtaw((aMM&{uch7-K?AUu@?1%Pc{gaM77S~kY{12 zu%tAPDPn||ug?4n&{|Of;a^<#E?EWf5vB>>s#$fxU;?j8R#2}HEvfW^{%Lx+iHRJ% zzZ1pJr#&Ph1GD=w-3dK8eRXuwJNEZ`m%Ya*V_+WR7xnKy6??*M|MIoy*|+%gOhY1;Wr0XFcK@4>Sr`$DqyofMD^1|Qa5?UtBEC`B|TK<==&`b2>}J5 z<#~UB&1$#(Q**om2!fq(kRYM`bHhxGPU-3{tta)`y*i-;Ri647#kDN^ImGm7?uJQg zHgdQJ*?^e99Xt@lUvmY$1x656nW{&&i({Bba-0sEQXPV3)}6ofy#L~86Q z<(QD_#rseFv)=j9<<~?@b;-cWB^D)`9m2~nIubD}d9!hj9(IH0gccmW9 z&SIE=^l21fG$JX;!+`4dE6q-HI|m*u3jfmPnVu$0&#r-Xd^e2A1qRh1=qfoh*0sZE+*0Nwr!~ z{q9{LFG)l1)`LyIjYf~G8i50FAG4&n!Du!@2PU(6aW1!2_(`NDXyEMcMn4=j$ugsu zLoj^TO=);EvN?!Xfx8a=d=)~~oXaJH^%r*%!g)bmFI!Wk7|ez`UAUlnuSRsYVG4Kp zeR>jhrf?K=8E`2gZnPu-3DkOcfZfT4=9aH>v|B&0e(lt9a5xLIs%u}(uC7({^VIrn zbQn&UG6knb5%UbxxFXU<0=Q``Ns`6i*-(Tgl{0nW@JFBPSiXj|)Xs5FF5*t>f^bBE ze4r=4;bh6psX1+3R@gKVxdU3fh!`iO$#+3B zO1hICKzncN^gJilY!N#L-q1=JrVn;`j_|??^&$#oUd%2kP@<<@?bK?$v4gBQu_g~W@e=Zbf6kS!^O1&-MlFm`RMAdUsX9HjIXn9o5$ zg~~D~Q{_`7AdbLYRfxvcY7g4zspi&$K;A^z{})&Z%_SE^**CAH7$vSzxF6a!9}Byr zfsX9x5;cZ zypbr;ouaSKofB&8QcX8o6!G4CW~xQD56JG&U=Rd6K+XvNf9Egf*E}4|`hZhCM}}t^ z3sq9+sJZ;#4gjMt=B{Dt#TGNS4#XPKH3hf_ZDLrGIWKON)`3&`4-ma*9_N&r0`Ih} zzRy)ko`79rB!Ds3Og*3#6=sAb$|1FeKzSH z>Xgby`7BLD8&blso+8~1d}d3(ttGCC+5RUx?A3VYd30V zlI|CrpJE!^QAI(a{dQn)xQJG2e9Mf!%{QK%a1|O_(tj|Z{W(eICoY8&E$lc|3u@*` zzDma!4vnPFg$#?|0@FDk za93j;8g3{@&60?+qMz*zCv|w!Bwd;)@m^K^z)PC zVEUi}(+_^Fe;mwmI+C?xsv&UfxDVgrI8@sg7W1YrD>G<_fQV)A=V5aMIr)EwLD_Ak z)R5NkCR5MGn@pX)Po17}FK8^bXZ;#O$6}o7A0kqWHPv?dj)SjC>Lx##-Qob8Tik<7 zp0|uKX*}IYYMY#(ffj)ZYn;bvay!TLT1+*WE4bcZH6yNT@qq*}LdB!}s5lRYhok=4 z;o$|T&7mc2NddRr_Sfy3;pg{Sjcpu3{^ts-0saBAgDyzDNw*Q0B+W+mJ^<=9fJ)dm zx54bQ>RUMnywxS_Wz0Zv)gN_r2&R@Q{mZwQ#cRG zLSBVvhM+ZHTxQj|3+ii9KQ8P>m-BvaLFZ4stlNS!O(YBq`(%$mME_PIefEd4OrGa@eUx`uDQL`S+z!x#>nj_F9t1F z&#rv9@^{_PogzvDW+y-zaA-seCsNu$a&39!YSn@NDkjVBDtr)j0~>nlhBjeFzOCFEQnsIp?Z4mHIiTrUgt{Xn0_a!MA1Pr zWPA+TsIdMwS;2R+8X&W3zuJScXXmGTBw~R9ub$Y_FGmv3b7zDtp~w>HLysfW&IzLD z16oI^`T2ph$x97-)DZkN?X!x!k>1uX))WL+@d@ZN9Eb=mB*Kf=2&*J+)scP-d>Y=W zs19&Wu66vZKr+7Vuthw7DwYo>Gnk`HRS>kU)2Yy3jXV3O2ie9sr~J<<1i1(uhU zJ+NYz_{X+aX@nmpq-Fs6C2E`k*Ac!cTPdbBMec`^9iOF$m=# z&xyu|3$dhL+2DN^ipu+R;cF#z_!M6J}n4L{07^?)?k>v{$|Ce z@CLfidnfEQ_g&BXJtyOw1(QwJL~A*zVN0`4x4nkm>(aIEM*eOd_0lx%UyeSNxQ}k! zq*o~=Du#o(uY!AC1}^2Det(Xf2Uy)~NfEH-_@&Dr_~!F;1>zwDYwDw~#LJpB`NX2-Q5#nnr)1v(Z>r;#(Nf2!L<0=+ewNO;9MN{22f$ zXbXHQ#0Tjtayz>Bil&2$dj9A;Z#H5|9P&D+2=RM31nFEnAqIuoD&ct;c1=E0U=ZUd z99cne+u!v2XAWL3kt1Gfze^yTr3)GuBZfPAChi+$~q-;yIY*yc8+gCsc=3E&_@;3JfXD zzKo8zt*ZrMgs9Fv%?dyLoLXTTE(ev^j9i-dY~e)d?WDP;rO= zU1ww3U;zuv{I|FFG)XyO?&;Bqe|-3KHNdYFtC}BPef9KQp{hTRSI}}zWN$;itvJ-2 z`b652Ml5>R<=Ir}mSrn;*rd;52u%RJO#Fz<$oKkv`&%}(@}Uq56#Sv2KLL}`WzeMe zq2Ji5B2{q*ujwc<=-glPTaAYhqoK=;(<#hWn4_rE8S*{I04c_53W4~TDF@<+cDG1! zq4g&sby{WLI0!1zMsME_!-H=+7|?8Bi;aw~hOtB7YSH(qw1HlSu2;4BPOY&cEFgP; z<*c{Ae|+HYU9b@Bw;a4%wD+LLNWt^kZu=XJ4g#}BJfAtDBa3jrRUQ%H`Nz(}^QyGz z#QQ9OEm6SnM_6n2rmfuCQ5Iu1L*_z8)y{l9^({5~;_TF`*ho|<55QkHVSRKgHR;_w zX+f{DT4~n(%4+z>M2;TN7cyO5JD9|zIniNBa|MvT@VV^GjWixAi87TV@TL(SwZps9c%KC+(r)SQFKC}tQ4!qe$lk6VY857L3ls@#W(Z>?7cr4W^nj!A!5 zmg-(@!EIT1$lQi8oI=ynvS^3QBH0(wj{{B44Y`{L9LNG^Nvl{okhh`uIk>-fK8(yU zOlGmrLO@}N5t*eVIfeifZn8pdn3`twc*JU8`dr6l*$isUf&uJ$-k)Otwl1P6Za1?` zXQ5=FPW;<&YFas3au;B?mG?N{zM&WkW};<1cLN9VI!ATpM_URwV7f8IoX%KJ#X)r) zac#{`OtQ_XbHOIyu>K1Mp^FOxq2U9EEt*V8yppOFCz@$@2*(g$09@Fe5dxq|7L<+Z zo7?MDs9{V21FYL7>EOyR-2C33;P=+v?J#H#q$qIv%+oKYx@r#ET{!hW#9-{!D>ZZ$ zc4Ji1@#V{X_5;{|_JL{$aCUTd;J?@(N;>A0q+>SU3v%$kZT)7+OW?IKQV4`p4Z16A zmIawbDS!SYZYMO?I9**oKiEI=D;ImuKj@w8ADmDO$%iDzYVhAHIV_cGSNRbt6R1d9 zj^wF$z97`-S39tpK$^ax9~lqWp|xVe@#V$WPWC9Ve|enGuBr#(rz?K)^!Rk|Wj5O@ zGKJ(qsW}3(olY#*w_ASWBy>(Nf?vg4q<9g+!S+54X$+f`x(D-e5w}*D5G<9ikve@7 zud-0dR&bq+@j=WckYZ@fCe?A`P}&mxtbW_W2`&h=w@|!-jCkmJY0mE?-AhD*Lkv}4 zGne&t4HGXgJ6bys6~gWFEsWXj8W;kW*!rFAD)7omwNddC(DdMJWTc4iNI!*>2sh_w zs&X-|s)o7;xd^W8vdWnWyTZK~KjX$C-BB7=+trH3XZ6`#;8)e{%@$c}m^T-O)f970 zqG^&Vt$-iG5xj9Q7p4*1Eb4=DN~8P8Z{V1J8pqqbIp<$XV?d<&6!Q~dUaT)+a&?4D zZ#K8?kYzwN*SVE)OgqQ>1*DDzA*jQ;ZB~zCH!OLcqb5%S2ZNFcgP@?MgNu^dpZdv`WPe`5cE|+5w3Gb24;$x!fIWmgBq~9NtJ{cxfAy$#~%Bn%#_SQPW zMOs96pk1yA8~fcD!Gz$^S(CaaXl!={wNaE2z>EQE13k1wgjj=By3UHa>;I0Gv9meFlgaUA9? zDoVgo-8?#>Mo2abGw`ucRfGOsYyjwj))V2aYA7L)V-zx_3zoFWaO%XQ2I+GNV-5B( zpHm9%?_-%#L=jcbvL3pX`uhiar~3!zK5jag5p2GXVmOF_B^6$u)~OQ?qtV+8_M3tA z4jqKI`u%TXT5L~dv;#Md@M_3`h)c7atYjR`pm9=`WmeR?V%jt!Xm@Hkk(wywV=6nc zm#6eqz7=)7VP5@OPRE?2zp%v1icaCk8R&FG25j z0A+$hOP=>d>}bPHi(47>kjrcY@EQE;H41-+uJ*c+-y+V0b<{mVU%!0zbTz068KbWc z3h|=GaToyn(f%Y}ZOB}S;f8<_k8&tNSigh0u{Cx{-4IP)v#QEMohCL3>}9hGPfD}s zByNHLSynX`b5n;Kr;_L%pV-;y7NIJ=r+=3q=5@}31SX7Y!EB+d zf^GjA$FVh!!qOS8HY$w#Zhq}ix87N0wGl2t0Fn`W-AO>wDoLz(g-W-}9-ayc=7DQN z7?vmgoBI8dWbe;>!I(0FNP=e(gnqhcy)Y`&fVJ`(Gh%07jFny>Qa88nHONtz)wfYl zRaeqr+0_T_^{{pEtD~>7-uP($^7%#B-+1)15t(q|)%p3u=Tps&!+PaGT`G6d_Z`fe z=}tE%!rMcUfZ$HK8Y)>76xY}Qb!3;zcMv`A;&n8af(!5vDT?I`as5c&TXi&H96~j# zrC8V^{w-=uVJR8IoPY;i4P%(M+;mt=>bpy+O-}0QKGe_Zyv&Wrg)2AL? znTQ>fosM`e_fANlViD?c%pz2!9k++^rec~xFpy$@S@jBwgeJM`Ta`{H7>eP%=2n!S zUe~WB=RB;?HWlMQULWzvFW_PFTLGqM3Z`iQiJRE1&c(_8tKQz_F__u(bR)`TZnljO z>Z$&yWZY%-^EFA^h11ZiQ?jx^8?>wcMUKioMnuP7uE8x3Xnqn4>Rw609R75rihcs-o{J7~ zNkKsdeh=ERh}W1OyVI&GOdRY}Pu$^Q zV5AIkXHe+j`87T*h)WGMka^(nKj`;e&_qmLpDu;`qx~Wy|B; z=U*0pf^H^b_F-zDRN;UoI(f-kS=bc&`L_&q=W;&`(2hLSe&k9(JU&;8?(Jqc?UNzG#b0J9#llTy<=E~pD} z{*Y-wns`Ov&Z8lV3A?9?>oAvhYsHD79Dw=8S%^eD2BfDVnoQz4w@3TQ$ZKvtAQMm- zP)8i50refT4-V6t7>-qNv`lgb95JPaGT;%OdzTNENni^}9 z6@_;RW=nhBK~xMHwKbA$T^QJ;ZeO#$1NpHv;%yjagq0eN)`NVUB!ELCNLNay0(P-d zj@|C`IYCyJY*J!kq=`^=1HF80@IJ^9|*(JgO zWMG*a$^zMKiK>;|D~!Z$gEGQ#VfK5i#x^24{^zPvC&8Fz%Ef6-y8X60Re#NgBAocB z8T}+r#vN!c-XhmU!QtQ3|6Y>Ng9~}%I!KHKjQu6gd#N8xuv09$xQ%LqmGPD3GP!PU zeEV5V_r`_vG%4;iXn${Ybh2E=Vg1B4|9|6gP*(6|t$_WyRX-@grvSD1!@B3#6)g^^PdA?Ibfd&I%)HZoUn zmb(|5)#%pc6G|6BpnOi|&8- zgpc$={@BU>j$Zv6(XimfW)PFS@x0%1GDNE*sP-vEnT1#7sFEfqtA$%F0#I$dsK$mR z39W}^wZKrNv^90MZMi@|#f6$j(rBk!+vYz0mzX;(@K>pBbnDGd{hj+;wfYwLar})h zME%APR|fXJ?xrRwDPR-AtFRXeU&RDlUPWVCm2g(%x%MzZaHo*+BV^QD;^7ubQ!SAz<}5l2h2Jw||A)-yzNV6RBVi zTF0=0ec?jRgBn=rKOSj>gS@U1=%mGVYN!gzrn*BPb1T;?v^Iax<<&_#Y>wxt<~ zNhjE9HFnnNbWtrACnC)OAN{W9ecOQzvJ9^V(&7}BT8gh5V4%+-%LjXqfRme~IWiuO z^YD|Lyfuj9va?!%kd-0}je?|zo5lbXF@Y*G2J%X8_=0b=0!&8}F-i+sm3IBL_0~xb z7dkvtde6_G_Y4i_fg)P52jk5ApNp$E>E)-C@01^6B$7x;cvh0mT4jt8A_?}uGyNWz zy!^N)g4`d9*f; z5ca17M`OHS-4kh8w~GJxXk5qa2I4riH;(jM%4sDp<7s8ET{*r=twM5Me558;NrE*| zP8a%xD$f3SJTd};Y58D~tv}9NCBqU#`j96>Oaj z+zk3Oj4A|JnJOBB%_L>X^tmI=Ct^quOo#0oFXl zY{*o0K6Y{*95z6rF1R&GeOOf-oFjsSMIj1rcTJ4pp~zjUj7UV1G>K(IvPmWT-5FZE zcP_{Lm3`&t+4<=nq#FGdDYO0)>zPc+L0IpAvetG8% znBT1anUj~$dSiVXtipGt2H0Fyc5}JT+3GgPsErgk{3!-*XDM9l?^&((=v@*s8yIbD`}IHMw@w} zJEeXzq7FpkuKOL1!lVnwsUx3ZpEY+C$39S>LuzfdcbuoCUNIj zW;1Xs=}|`zVS`KeC7E1J>s` z#3MvGv93Bxe`T)f)3nbbcw{!vH$N(@fxwx}c=(dY1i7%3mLWteEy3L!0<#CH1x=A- z%19I)VM-=LBAS+)mVzN@FRAnI%xc5NmWqQ%YVP19M*t9M4i&OFrn|qczt-Uq&!eh7 z2OSnTG%kF{X#aIKCkm|2yi^Oj$Ov%*q#6r9L3xl6wLD*Ea}aR<>PU~NyW;} zd|M?eUUz1hqjBNdC2m%++0M~r{%~O_ZZ=c;T;%#D@wl=>E)^cSqd&b#48lNlXXNQi z($ijJZaC!83Wj*q;LyOOlXZB^r{9fpf4x{O$wB<6Fc*62X)r$(Nuvh|K2 zpdFRtCuW#iq1{Yhk4oRQSaG$os<7?b*wD7-JK9s57It~khJxjGyY6FztyK#AliLXs zA`^Z)vgWhk^stRf1_S-b^U8W-FqyEB9ayQg)tj=ZC9I_}NhE0tgF+7!gsK0&s~-$6 zHNYmekRBS!eeO0WcMV~xH-WBn19dkKQ>fu4sY>eDT%{?%PANizE#rCSq?Mp{IZ?jE z`f0$hXVYNV?4(tB;BC_WIJ3aClX=BGGayq1QRGO!3Uh@{Ud+Q~VxbDX@$34-HK)KD z&L-=H$sVvX*D77sfItclvwf-`BGFHKaC->BVOJX{G}y(iQ?XF5qb>a`R|r}TLv`VG z(LqYpHCO$xLWJ|8I4atS90(`m8`cYEst(i3PNK7;x^A$CF_J%XFcOoCX{Kq;yjC|F z>s^Wjv78jX{CAy<)QmH-EicmJmF|72A}fC8$VXS|&r+2uj)^OinFo6yhvLX3r+uD5 zlO*)?lbF1dR?Kvr?<1Ip05cb><(ur}B&b@x@cWckq-KBNxza%w|f@q- zc8fdCPBt&^J%5KD(AS8#`G2)u_teDA;r98xY#EZ~lgABzb2XZ}M{K8H2^Z*y=?>AM z$~$dhKProEh-mUsWqgL2Pmyufn`}W16s?@31$8O9UyQ)m5AYHZH)e=z7KEW8j|@MI z6pV#cg8z|zMo{ku-*3@~y2uF5^neBq`ZUsoa&!=J`Ry*=-?X78FBG{?)~*W~CWn99 z+CK0~GkewA?EPrT6EioQmXdzgO#;uLHV2Kt^N#@})3KR+a&!ZQYC68@bkn*8_2MROw-gN#oVnqsg7YDZeShgW`|b zoVs(?t=~*xE7xUpb+q*3Pn3@EyW8DLQ)%(3_B&tKKX+bG6xcvt?DxNCJzWFCiN-U2 zXPXTu*K5_Q;m7UDR;OBTKzRgzHImM_qABq=E1h>%`9A@}a#;DdyG-t!L`N>jqGgGFu z{UKk!cf5Cc(%AMpwQBEt->1fUb$#{0>G9#S-pMn6{q*4c;_~3!@15-X>*ojiM}FmE z@A(J#@!%wA`I}U!`M>_<$^IlIws&&y6h)vH?}OR#!O{7_AoCen;yhqu z<_BRPk*?3+ts2_|zJ^`(TtEOxUEM&Je3@*9Z|OHd%6HV>!SOMg`;6CTEnJmqAk`*y z(?$LCOu9CsPH#!2Y&P)Ac2<~WsVLbZWe0Z&l{=#ElJL9=Eli(?1bi^L(`P3lv!D?Y z=QkR|c)kCMz&VG;Frp}3ENr}oW?5embdH1Vc!*ijiVyI)f&V!WoQY{Sfp@G>{^iH; z{F6?5yUw-(E+}=0@!Af$hX7a@PUPQOZ?x24TY%p?a6^7Tp<>0%L!mhenBjjS2Z0IRCp5N>DU7e)N;3V;GSR}I7 z=(N$sZDB2P!cB8sP%@f7iyfo2DGWWDm2P!IiQaQL9U|6cDWZtMTn%l%V_yw^8Ngur zYW}N>r_=sg&ihy-JT*5{YF>n@k$@xBdkkhFZSl9UNOsq)4mFD{&^{Dvk^Ji4SPv;R zpnp6sIo`$8m-I67p1Z~#$Xj1%>nn8?%vg7;4l4zKQ=T%KpO3Mj0Xy$#}? zisJmndVA?E&Ee-}o=E#4DH)wgo5T=>0bNnZK*57Z@#DhHW1JfEFv-4b*CEQ=*-(wI zi8}wH{#R!>;LWYaaJ}!wV*1b5UZT?#ODf^F5iupPPBS6&P~o^+GC<2&?<`g_I``$r z0ITTYe@Q>4$(hC>P?=d*$zH~Q1!;xg?&^d_PLZ(TYyEqrb~j1?S{30wf1HCG1MJZS zPa!(epIvA-h-k-RFBd7c*|~wC>6lD%fy*8UHW`w&g_4T2-r~xsrca!|h{A{9%(%gB znZ;3!k5i8Arc;nl0L)Y#`Q=PzwY%90I!r9`5~gR{s-R>@z>o+KAW@!#>f{-=8mB3Y zFz}Oi15`N?gfExr5I7o(s1w!d!WuN2WxH;6+3QTYorGe^`%F5i&a60PE|v>i9X?WX zza`lz)Rcr7FHq*!g~(h|gB51wSIE}fcJod_tCuEw+0nsCpbIWQRV*e)Q1JMx`Y{JK z^X43HY7^v^={dYIev6eiGn!)0q8>-mGjmL=<&jv+LnSBUc`mGR^d&x$JDtd+RyKp| zspuUoj=M|tu58{fVCA*Ah-5*~VzLPM8atgB#vEffv(8EAlVd*bdfxwt;Z>@g1~h)# zciwVWbpxFR)DfzJEM^mU?gqKTXtvi7*XH!O>J371K&TuIQt*ssYZ#avbiyW$(&%;} zhFXNAzy$nJ?B0eZc}Vs)%iT|Mq7V)3$pEi73_z3%FA}PA8|Yj!t^V z{(g@L+YlGVj70ceW4qgs8K)@^au`dAL=U9Q&U6p@*ULQCF2Me1i#@}US5=NsxxT;E z1tErR1epcFhWfv-aCV1nB*YrfamJg?-w3rCW&H(0=ThbKZ=Hm?XlfQnWT|Nwd&}#I%9{=CLaWrr402?J%ItrD}Jalw5oyQxfBVAeyi6Dan z4MP1ny6np|4=Y(-04}6Slb!=O@zr-W>ze>hsDNaGyF>@@7I4D=uSh3IcQ9UeZnH?m5TB4z5}~!SpV&mOL+krh2CheRm+l(n9P7CQ{=8 zxdq0_zc2G@S{!NcxOcht9IU}1{RzYQE9;$?>b2{w@G48syj<*GUIG(ri)up;l>=zST+Z<*DL^LRCCNIT{i&o;>+ zZ-FW~o&mcxWE5w18?`lBVO~$*(2jnxlOXQvl_qSZuNsF}I}%~N#G_LL8q_{ejdrLx zCc%T#{6V2`3kVxhx8x!wGhNq{S=Y_?zq*pgO1^PZ5T?2HTHBz1tOe@M1c>a;#%Mnt zXmIe-iNHU3%_TP{NMKx$kMWoN{_k23_~udj%mOJ<$#zx7lZJ0PE_L}5Wjq15cOZ57 zVx){GCuKYZK#9uPiIF&fOafA5i;_vesmW$?k`|7v^%!G+4k_8su%k3i*<@OqyLXb4 z9pEQ5Ak{_-n!g$ zff|-D9)omQK65--84`+S4N9~M-lv|Y$T<~a+FXn;W3-y>9>gG4%n4lKz>f$@*j+0E zKp>r4L^^lL^G=P!c9^*)uL$Lt2$jtz#4asg5}rwV#Z=RTXsw$HNEjNNibbE(&-M(c z{vid?{A!02=8B@CG-#I7{%LNiY%BabVZl36??~!m3Qq<=kTRnG0k3a&OkL!+W~tY;{52>wy(6UL z-*z(0Spi&v)1iP!rKcy-E|Go_yRRZTTYt>fR7>ufx?=Y>{GBFnC{|d%#=K~DFHO0< zNcy1o%fEbvI^dww>a+9S*TRZ0mDt;j9)~?gI+s@!u#4o;fZVD$29e7?tR@EOrZv)$ z3_7E4EtrZ>Q~=VW=%osr+vfJxJtaw$h4TH-=~aqE!9QV9_UXyN$>r5PDtlOpFYT!4 z>uL665_Dtpesi7W*Es?LtfsX!QxT<`)2sm8gdl+OI{SO;uTHOC^QLj#u+n|W^9%{E z95U43l~&fuq#Ooa&kS@Z;c1bpq}dDdF!7xvg3@+S1BeH=^=&*W$p}QiHiG|>WH+jQ z3}9jjl`dru8cOy%{r)fL=Wa48-Dy`k68_FHZCiqmoG&Q6}N%zBI!pL!A^8Kadvr)h!QIoZpdfD;@{d%+NyJU7DxMI_Z$=C zM!4}E#a5mBYksTou-;VC*pfQqtsP0Kj`iIy-8J6_=?y2qmX_5m|Et>m_m_Kb5{9 znio>H5_IwW{XN;-fo|fe#OI?JuF}u*%ZEoZbn=LOg;|#I)+E8F#hy~Zpn%+o3d{lz zd|mpt&Tn*M{R@22rkQ7a2wx#rR{iQ}ZfxNj({Z1qQgo{|v`P>m$^@Y_wsKL(rY$#T zV<%9W#XTy#l89hA$(d8MpUwLZ{mKqtGQw}-M$s$9ZEZQi zWEtZRqDI*P2G6>v{NOoPO6t{aLZdWD{T3NDvcfM-lM`sa`YRxid~n{|J3bfo$a0TV zS*)oM+K^=PiU9-6OUJOc@H!`|VvDqN7v+o5IKnhC90+peSLhSF*|4+nQnAzlh8Zb< zop(JiCfq8BzBVM2bvujRI0)V)DVh_1A3tjv>Ai~ENDunghzxd(-)kAU=Rj%4T)Bt? zlkS}-EnmrotjZ=*gp9BB`@gJzNMKZmkQL37W%N>dc{&zRwSJ__>n@B)`2%Q=(W zND3ogTjN^+v3e8Z=m$Zb>F5L0{bIjQ+LWym5xrpga{hrFIs`5_V=m-oOb*R-{L+5t z*V}A;!NLg4uRYUZgjFSt5O*=j5!Z!%A~(BSBti7+_?~nZ69tyFdbeIhM_hnsBR^d! ztI48LuB3~~Ceuo4T@LDIVi=fowmJ*j%T=8!8i1#r&nx819!ry?0=P596_rcA1x!ZZ z_~?=fKO+KBN@B#M-CX0LgiFt{EYZi|<~YfyR0_P{0v-1~d|xWZB19e)@k#O8T-<** z-uUpfsZ|wJ5X8elscVp3Asb+UZeK~!T`tpFrU5g3+V9-l#-$3EGZ_@wK>_6>HcN8Z znf6t0@A8<23x@o?6i>)(nR5sb(oc*4Rf+W61niaM{;u(j>CbaK_jRTL>A>}mJ;|9RAi5E9VC?*ueU)FT=ifw=5)NZ zjwS9_T7Fi22hxo$?#!f#>iX6WsuSM;QesD;c&Z>I!L1{)R@%4q#C&jHC#}QW?OMr!-C)8?yzbRHgGI8J>m2n3ZGPJHRxig z|Mh8ySX<%l!Ddq2GsH`JLS-=p#>v`XE zGQ?S!aRT3IhLRBg_9f5TupXVll+Xt$aV4+-$st)ZviYs>Dwtwrk1iN)=^6;n)X`mz zR!ZSrzUz5xab|n|>~~eQ+onE>Y%%_D)$OPZC!(RRI~n1uO%*Ed9-w6*BmdtP?7c-g0*<>VRHSEBr^fxieeg8PsTgl5~T5W<>wGG6L&aXj6L^Za1giE~9IVRISP ziSw}E|I^z#yn(VUo%!!$ly+t|HQGX`2_&3p7v)H%``})yv5lk5|6JW`)!M|{u+d^L z(jdlwz6iv~zG6M00}}GkZ|p!RwT5oOK{PnYPR3P`7pbCE{id6|3dB}dklbWalh$3u zjK89PLJ)}ExKcgfL+u*B!4m8%N_qE!i2_3%O{vjq;I-{eTIb;SVDIwud__{oOhsz! zUy^%Rnh4}eMEPAX38Syf>F`2j=i$hF?8*_wu?ZYuk~}pt6zt`jr;=s^<<#G&$uNJj z^D+$TZo&S{k!`rlMBqxi%7l1~qcXsm0M5&}iQ1xfV?+qv+Ng8@*@OAbP+WehY*MkB z1&K?Z=i;oGE~H7%4g||~!10Q7)}$mFG-(I~VNbZgGOSYv3Qs0}4cer_4eY5Dg5I$p zArI0kj@>u)2R?~O9Ep-1Y`1HxpU?3B;?-mm(Uk6A?ug!b)GQK{s^azRt`N2%PzUxS z>qn(lVm+w|IiT5ch4-z;Jp_)1{uRaH!+ZyvzUvi*s2ZZCEF7lS3zA9&%wKPAx9WbY z-fnEyRs-0d_ugIX5lm9Vy?1yR&l(ru(SAjQq#~adxNCAiv=qnTGGc_7H_M&;U_Ftz z0I{1u5tLwAr@mFA5w~~BE>8A)`{&1}ycJr~!+z%;_fU{Dd}}cUi{Wl&dIj%^k?D3s z62k}yQ@>f?!W9&V8gu?Mdn3tno0U#ibUGsjN~;_ql@vvd+vZ}0rt|MHP&?C^TAYjW zLjU4xC(!LZJ32e?UqC7_5Pca)1s;t^1uhHOyWC^UHcSNHiu8|S>X^)CaplfG^!xU} zgU*l-Kfh7dprejXW6N)dC}>W<#b>a5H@ZH;5215MwBDEi|(X&tE~{+#E%ihiaok##hSyMjOge8{s{;0$}XN^n%MwgSzX z(xbQqjwUJJr3|Smn0l|HI&+a5G9;^PS--7vup1;W4h_w<^;3rjbld|Qey+_kx7rl} zx=co$657wU6RS5|O-_iNnIZIgC9U zTr9vXSdtKf%tYjIBn4mU_g`SJaz%oA~A-@@LG+fFN}l%Dxp_czz-+)UzL#4L1y<17&JZ({r@-nt`xnCOZJ zyu|mWd5J!ph1YKSv)zP6yxy+An+#7hb&M?wb;Ri0276j!S}mR!wDA5c)@!Hs7@VIl z^7&%FA3;�^Fofgv_CrQ`{b7U1gQ@BWQCEa*nGN4pPrH9~R z>0Fx0xM>GE`#8WwRR@x0XfDJgG3zR1nyt&r!3gd;H(EQpXcsf=)e>p&$l_|U0G3%e zsbYVwpXW(@Yv!F6b57u`78G02h&+jiUBS>Dd5B4T>UlqgcX+hvzgr=V-^IR7nwZ^u z|EuL?sb9Svz~(HSt&l&G!^Hwq_IsE#`)GI;e>DLqIxHxATUCgo3p8VkWc8LvzCi1jv zH%#IPSK-+sDLw=c@4IbQMrrdUa_qvDhR zv4_iLyc^?XWrlYnjH&UN1!+29NZ(^gq)()e)=oLG2S#?6Y_NzlB4W%L>I_ zD0GSY@}DTI`=rr+7inRZi_en>2;VaY&J#BEZEF+=ZWtYkA6oC%^q{-(?0-y~Tw;|0 z!QgmkSwSQOURzJYfPy|uf0(%Go2_P}d!JV{vy&>lWypUN$|VBq5rGK<5n$RkXYY>Y zlF8VkQFFoEkKiQDE*9Es=frVw0d&v4Rfl+*4mS($UG!h$X)!EMwxkjO=h#dsA|V%h zy%T@0xA*)&>0=|QO1?|s4pym%Z`ODPlMySFp;?rVDu>5_czIwP-sQ~)z@5I#*3xq{ zws{898MRL4q>q~eLZ(5k#3SO0vdi+(tZ3T*3oNDWnLD7Dk%xM*xak|32On+<=XN#A_Q^S-QqYH;=3T!@npLYWA{JezBxWRmSO$OC8*a>n=R*p@CQn)J?eNe}M6%c%O0Kgk&4iYRq~R=LgRa zrCw4`8~(g2(~B~p>!KKv2=V-Bgx&9uT7zo`PvYjk)KrF* zT5uG*kNj@E(_L**8yYdeCowuT#X@eOA;7n1XdPk5?X1&mZea;V;;`S3aHqw3$K+;= zYxzXp8*)7^zrT#H)YugbaQ0B?7*q4-Zj8ai z%OnLV@GAdRXSVbbTW$XGAxm|VR7)IaN;A63p#6YCr za;vfj<&yp(W+X+gn^)B+`k5_?9B)1|#lHz1^g&NGr9NKA_%wS{uE79gDn+Z0OOxzh zH$2R(n=#fCGI+aezK2`~>);*cBRAISBrG9}Gje|7&DKy{9Y^_9^rRsuwIU*pf@WoW zF;w{RrO%CDdhbIR7T9og7fh&~E~_$@U3b_Oxf zV!~5PQp`!TPIF?D+$MaZo7PbQyv~BH`-6Vp1-s&=*xIm>S;qS~hRSMv3*`(_3r7rH zGl!EIn$2nlho9ad?>t!zCLE+h6!`D1{#l%3+w<$AOP;tF#-r;86qxVYugaB9tE~R2q?xE4C+w~o<&kA6i4|bXwAXMA)ouk^U_D| z^?Hfzn%_9N^Hcr)LmYoCtTkfH*B*66S5M~ESW|`gf0=lQ0XADvaD)pgU$nWO&QT-W zW3=~iQhr)czLKT&#?jco{Mnh$uo(c-urSBJkLPKw5Osdx>xNq~e|4d{u&>I0MnLo6 zgX5RE8Qt0U&kpG@pT38`ls^ZpHeNji=IWykJ*+_oazCIn;6|D!0fvmTd_nn?bA&6h zIhYy5>v!F>9!t6<2Lc;!ck@03QX1=z{95~69;44=TWF8zASDCv1kuDlkNUUhm&I+{ z!oSgMvm_RMr|s8J1jg#btm4|a!z6M@aF$4u__}_mh=9{9Dv@;4@nhB!-}St=Fc9gb z!N%Ix_{r|zM@k|NC@W*V3P3+5vN}YD|JHgGsWIh26Hx+r&rqUte&Ij;;7sb1ioNky z*tdQKQwE3iY=}>>m%i=byOO$RPd4v&8r6ICt$Mq{PP?E}_|*-7!*YS#q9Ii&WC!E$ ziETpN~e{jFMtt~lDxHnZ-o*SmTkL0s3Rar{qe_K zXJYUd;-#4c7-1UE)|4eZ6=9<2x}feOBekem5xy~^oF!-1*GOAC!M{J$@BH+U?TGNu+RD`C3J+=0?i@t+PH%mHYuK<%g2FJQU} zz?sSt#a)EZU|7z-oX2u~6lrB=WcQm}xHV&)d{GX|8su1znS>enEcZM5kd0;a=jw3d zo6BWFxqqJ8;tw~)jVNS;#vpHZKoC(I^$QrQ!5b&+436x2-$@VJxJ1s7l`zFpSZj1i z<5Z)kk8Wq~1Mrx^5`;UKJkQb9k5uFe0txau(no+tfXE40GDIO8VpqRmJzpBK#NgxE zxBak^2^LJ3}x)7#p^E6a$2k*^UO6b~<`N;-271-5}Jdc!IP5c%jCgAW%Cbl>b;lM`& z2@d>u1Wr^K3qLx|9Zr_Dgny^VMWAZ9U|X4%eab{B&E7Q%y1a37UgO@kn!<_goi@+w z+gOLOq)T*$7n*pyOCyX}B51DG^fxxT^cpdg`K!{E@ilf-x&xVU9fh*bZ%cPA zT2w+QcH`)5)t~{ToW~X(rZHEEHhj>d9%PiPA`uWcwj1PNc{HmQQJ}L4wX1d3O?|W= z)KS-R2qh~^VwyB_J`>`T!)(Ok;_;LMM!E56@rqZ_gpNmpp{&x52iU7f6mNfKf$4Z= zFF2}gdU{blqQ*wrkJQnm8T z1ZU&IS)>4?RS37}9P$G2^D!Ggl9n6+2M6W@uFl!}m#&gL(OE#f3F*}Qwz!uru;%Dd zOj~`V$D!=1e9k|{R5neq>-B2_Zn5;Rn_p%SNxNLiUJOQ`fkr`xJsdB z_$!u(DZ8=fU_xf^jWBt{uTmTl!xqTq^UVH!$H9P-=D9T4-!(-Ujbner2{Kj;0s#A) ze&3aFUS_pjTfY*t$bWV8)q{OhUG85#zgV5|*(g$=2uXhC`SWSw(YSEl5yVWv3fO-p zv;f5vcs?R#niH}Bc=zIZ{btN@!R!GAz4Yr~XMNNEfS$%}SH3nyMnZTiv5Wc9ZO8H=E>; zoFCybOiR8qvMpPlYu}6&+md^H6Wthz0R=GhB3yWK0qc372LXPYCqL`yKDx5jx6i5n zeSWz2scOeCG|g&uopbit`}J~R{Jb^8S#7R0+hsN6p{2i@ zaZo_fL!&?e50Zc=>ro~o#jY4B(jFWgVE*Iz&iNjKvrkk?u36atY)eV=HlQb=F$KUI zTenhnKg|u$wAbi-)SoVddF*4L^926#B?5me-kYw#bl`8a6<7oM_5B|V)`C?SZ>!q% zLG=whqGq!t31To8b^}2JMASsTJsKSJ>n4gCgD6(OIsA9X^z)~qlf7|#czVzZMjh)l zGpsZh0SjdFE@Rd|x3W)6#Y$M^VVSnWZgT!`(e6+?huQzDxI=B-L9sn^Z`>;a1Vw#$ z9^NGwnpjq;`tRts<+yiI+q8ngKO*u8Ji?XIW{68jw6lE>kfmt>Qs&Un+OHn6lgW-g zLHK41D}(i8b#xtYYehuLxxymxkk9aia=ZXVzCJQOFR6uI{@65XXuoe!b5Dk!WC;(j z;;u2cenPJx&#a=`=uP9JxTev~^xHU-Yk;h_!D`^a0+1-LJE~qN#4&s9ja=qZ8amR~ z#+pQ|m|=^@G>&r&h%w=CR8(%pKWX?wM@R`)7P!5@KOFqw+sgk3n{ zk|3e~vxR$4THmb<=4B~+L*|wiyB%`dNd+|+c8ilu>jLnoS!u4EJ!D$Os3?^Uk|&1O zu3tffs|60k#V^f>ASOUg=ss+B)yOJ@RU#P`$tjB0hJqQJ9*@^#0?EE0Tg2%p#%P}LuUrUcvBOdanLUt@tIhtR-~t|-2?=M# zW9zGgWTn@;$IlLS_l}oVPWN^Xyvo_b$M5VM?d~02B#Bt7YnzxvyLrc}Y_Wy5582aW z(u`;>ky=G}sEq>U+*f+N1N}{mcZUucmD|mBP094clvM)R(nVolAr#h0vasYwiJIsq za~}tLNcsgT<2Kwv(g?6G<$`ubVJOWh3e3``zpx=wBw>Gp6lLxfi}^0c@+mxa4s~cX zWKaxE<=%k$`=fvE(TFQ9iE5N`vr$uj4$j`5nEHc>2QH~++xRw(P2urHplTRKLb`~e zKK}=ub@S6Ay#k)gazFK1VfTG@v#Cdl0?=pj*>(IAv>RMa(~|^3`Yp; zk?QY_D&CW4n6b-fOh(^0#iax_5OZ5@@5IZ5nTRU zkc#>mbpZ-8zP*lh41Jt<10z&9Dg?^sIT7-jUprSvMLrQ?ks`K18|38pXz%F!LVn&m zy9ez=ZjUePZxePjuY&7*?xLs?G7gCec`E#qt7{T-IAj5rx#2iAXgEouj1EuET7853 z2bjqJUjL-yG#viit^+UYtoj8}%nIb<+AI@gRb&~m6Ou%hZC2`_61yR4b6FO3p5uYd zyj>J%qHoVxUs;EQrrKgQic3jB6F_`_q?X{TRx2VI7*jsDVAlb<^EtdMOft=X_Qm0~xdX@MCz@XZ|X_ zP774^NrpKnTelqNv-ss!qspmzbX7LUzDbd`py@+(e2@>K*-R=7^U;ckFl+!kp_&mI zcTiJetpZES$^uCBu$5GB*WAN+j*h|R!p#!NfBxI&__t;+GdkcJuL{V|F#DC-GG_ZK z;}OLNMCZ)Mku*3Fl-+%(mfUX}2|7gvZd_#+UU~muqB$gJp*S9Ep(yVFn&EOW8`CVc zdfhQ0HIxzN#Fek9NJ}=(JP?WXCd4N@x1j^Iin`Pb$N7$x9XO5VHAa*V_(Z4XfyNyfi1>%bFD@#Q3n?U5 zYAhbh|9bQ?{=2u~2G!^Jq}7k^~scA_mtUjS>f z!w!j{K|-v>X6Hiw8r4h{2-r$kpuTgNLsK)By{X^jNe?~2O?IAGJoZ4?^OvrW+H7=R zC*7d~WP4G7?T@T%itq-9t7RYk(zfDaM?^aLj5Lu&1{!``sp@Z9Jpk73GLG|xekb{M z*$jKgvPFP=3^S(}9ZKG8HJUh!{LjVBmNXd9BG{;EB+UcX-+FhksHip8&b~B!NOk=^ z{ToYi_W5?NItIx_Qlb)!mYthf4d}2aaEO81)_<>+ZRtYAlWD^*;5xpn3Ls7d-aq08 zM#F|uYbDwlp_A?(Jj5`JfKG~8v$Jx?I*^>{XP?0n%b?{J#p}$Pq@MSui5xu9(GG@0 zpRq?G4Q@OYsG`afcd)^@h0`NTfO*QDJP)v5+O4w%sB3%zrZ%2blMyE|RGqF85AoK) zpk$Zvg5!Km|J8QPLz!0z*d4HTeRjvQ$SWJ=F~bzUGB_V4ks7ASH#17~pV48hhOAp% zllfX1E9+>WLO_0`VqItan zjE?FX->`6wBC-*{Js|=}_c-_-XXvNKH76o(<+BeCj=aNtOtn)dtpDM~yT_-Zio}|Iio}tCXM6tjlRZUv5b{%>Y#MW#F7iL6fMxcZl2D5|=@;Sb~ z893ny8K^!ac)ja-DEGAJs=l4t#-410)0UV5O(sCa3wDb+Al_6v+|`yUMAfynx6)W? zBEbAa$!cmm$_yyz=m&T?^ejbh;3vi#N%@%+dZ!UEcsp(xNYVaVhlk$Yo6>yYJv)0q zM(@RNy2& z&60;fW{SWF?3fN@n_scRq)hrm!4z&$*Jh-qIlnW@!Wo_~3T4@nOYg(TXpq0m$!^B_ z>zb{yn_e8OE?>RHsTMCevTS zDn;O1IQ)vB^J?_(g0feH-sDQJXM<%jePbkuNe5+VF`;+#TOx_8P`}&4tQa(VtTb*S zIt4njV69Fd?CZ70#;p$ZVL(5C-Fc2%H$7+J$6im2^`&QJ32o4?!|!9Vfd=F?gzPka zmljqbDH!ZCIlU@fpp;sF6L+DpEaT*A2t2n7q|e*P6|dHHbe&>soo>D52}~Dyh*|lp zuGK4;3N2j@Hhg{3;O>4fO=k&z@HSgsZG+VR1;RGGKGx$jVyyI0fKK}$WBPOnl z!zM(4U&ZCL4hsCNA_!XYi=+^y7zv6X`ToJ_*|~Rg{OsTey=h9Be9&2#z7nUNSgA@@ z(pEGN#&aK*LW{fH#5~G&WGiI>>U`ih{|=kM)Lj~$mg#}%LPW?#3tZo*+@mZ54qHf| znZ$B+?qbkDw++KZI~rmR$1PS)iZxLdOCn=`(%9#)^0lo7yH^HWgBgmCmjTIS(TxnV zYy+|xft*cwLC_A^B@cd zTJa@cbI?Y#o-|&DC(0IgvQTw0g;Yz3jBZ|T1x$*J)}>eYMM zK}@{>TA}{ixhDYl*S;#l7>iNjbErp*b0ddK1)>1Im?I7vwOb34;uAv9hNDCAZeMaN zX1I%mmAGjw{K?}=UJQVxPaWsKz;_ZizxhEW`h(f6j=_H&BUNu% zhg@^=NzO#!#e0Q#=Oj^&%;$6c#;R8MO$WJmlDe)`DrePmt^o`Cr5Dl#259Mu@rm#? zv8B2Ho5tU!V|WZc$7Zg#+ncQ}8)OGRpf!&_c{$XDk?nog!kvU;4SMYFeruKLErBw( z`pK8(mYN05;G99hMS*C)XJL`18|cC7ipD?MclzjuzjrTI8{W!V7uB6l<8#e`MNMl)YVxCAFEn_k8He1^ zqR`y1s#g!AS8<@V&a-G!gq(ycTJRMcT4g@bA=^87fK52&*MENW3${ zF|D;33doXTB_w|pITB+YPOYa$Mv3<*Oveyh74t)~i6nL**B|{zu{(xuDOxw)SfC$IrFb{Gb2|`> z2TOM)2H`BGCf5LE{k-Gs>tEowRhf2LRV%5g?+eG&FZB!^x%BY8;pauj4G_69bb*UgelNDS}qE#>6H=M>Ch=6MuyoTv~gbd zN(#QJn!6qOL`GH`@Muv2UhV)*!0WG^rIHP%z%hz%InLkUl4fUWkTza@;_H-j@;*el zIjp9>wAQ@M%+jy_hen~w0wFNZBlCjEpJh{*2E(CT0y;&gS}maGm$Bel3(6>3aR*^S zr3WlT!7gzQBx1yn4$1^!tr}Lsn$~+3w!u}Qm!TDAt2)9M1HVH`LG-Qv(#f^QOyW1g(~SSuvhj zL4Hc$$u6RT>AZ^T5dys@IqNHxHSoWOWpZ!MbrlFOVEt{ZhsG+6Q{^CGy|&>84GrTLt8{h`k9~e$NCKVYs7g7m zCgnIh+%5gXCHm4;vLz7!z1{15U;mYopd9QUdnQ8I*qh$j*N+}P_CyQj>~K;a<`f#) za4h_LcTx0Vve_NnUQp0N5IPTs-vu9wiv)9SgL6Ke=p1XGx9SR~Pbkmc^)R;vT~m@d zJi&WT(N0JKRm4CLmu3aB3(2;UY*qp1IhUA6Rh0qfp<{d-74MDXQ(qEj9{Uk+B<7ln zn+}gfq6-B78vye*RK5ffc|zt`K=f32So8wQ~+giCKY&hO$nW?@Ve`$l?itM$efl)D=w zwr_OQPZ#JJ?5sj-JqxXWSZo_~`|}4vH^vq&QGevaY$z;W%{};<(p-V7bN^L~zlCBs2Z&T!uhrNbo5^-M)`H z7b9WkAO^#Ji5@#+n*1#^IH&MB}q*NzF=MlFO?$_(> zZXLD3dwKYQm0pjsgl1-yxoEV?t5y_3dQe=6^+J@;#-a;fAkrpiw49`0t99@!4I_v2%-mRA&%L9}01YOna z6MUic%VBsMTqrXq{}hmgynZ07jH-ZwhlZi1spA-%n;g@J#9d z?zvF0(`*dl7HCi{hsk^8~U(ekRLBB87a;0Tyo)*`L>JtEj;c70X=fk z*IkgCt6ZOqD7PqqL{5L-<0)OI?IFl(vByz#PG;DQL=TlFkK2oh=1iU{Xo>f zblFcoioIS)r|GbPb5+KveMHnH2Pyb0gVPL>sd9nT`d9IITF9tRdMqg9@Q?7z=2j>g zIiy4PXPmMbP&@Wi4yC1XvCnOiX$|e#Ds4}TuYP*NauVA^Dh4^s+(Is?O&qpix{Tp_ zdO9&pig94u!;;y!g(!ewoCq1nA7xNRdNJ?vas^#^gn38_(7aZ>Z59w7AT6LvahL%M|TAg#ZXjYOw8@4#=)s4GFCOdiM5<_5tDM0XG1<=91(efLAp|?uQ}iqlAD~lFBytiMLMT_`$AoU+s-yv&Dlk2SiDV0J zm#-{|m!R?01fEHfi>VJ76K@wkT4pT%4gJlGAX)aoF6o{04)0}Q3tqD$#2@aGh6s|= z>5|Ho{v%ez?DVA;7UPW!Gvg1ZOiq0|G&!}PCZ`rzPaO)%BAP@>f}Pt)6O+c#t=sEf z8~vHYAK#BpNh^d?(f}q04-a3rt>5oFP=MWxFlA(R`98fn&tvcW153lT+0DHxHhSQ}2TT(}6CJ5^4Ao zE9Pffy<&38nWojWZ)k@45@DCWf;-ceU8vQ-DI+BO3>@lB{h>^fFiE&iXy*ZGq` zUXmHN;;?K)oZ;tjIv_5!5d{MMHCD#dBt)IUXs6X3=wJp!`iuT%0>_r#Jpzx+VkYIs zcosa5CeviF8+ROHNhuK3?R=Z6zSzL&LuwzBp%MEh+OI?SMUle)&iGZUEHAUvuB}|C zKYPy(-rd{v-Z|Jke|)wy<+EWr$isaWm@Fy z_f9>k1qvccU?Y9@tiE&vSDo_QcXmO+ zKFbw~O!x#blqhCc({e$Z&lW{&fHeivtr&hB^yqmD2~cyrbsghr*Y0vz{@lXNn1|6* zxZz+uD|MEy;0`sOc)X4TFClKCqyHy^N{oU_OEIf3sDKOpK_wy0-6VX++2uUp00IZ}NuY*yuY9x3H4|$NlvtW|nqtH@el;r3R)y+)-^!d?(*9 zekbwzAT{XLO5JOyC@4fRGKux-bcfUhsX-;9qnNGOF^w*mQZoP32J(>fMJmk(m2Z6A z%8LshHapHVQc!ON>Fp0;!i;ZVcy@<^B%)h&uH5oHok64q_jdK$<7%f}sg;WK^LF@m zn^%f+8R?d{;jEJ)VauBxc**)w7sYn<0)J|}DPA(x19TIV(6)_CG0K}xKX2eU`r_@c zVs*8kzbdU{Wkw-84~Wkni6lUceK0hEHk@x3KC+MzCIK#D!>Yn$OVh+{=@|Q&9TYLq zR_?cp)cwKAKQ>;h^tQ3|17sf@9C?TP50*~Pyxm9dob*4uc=z~Jny4aU)_4t>c{ShQ zKUrFk8Dn#2Z$0o%b}(Jw>o~{O2l#A39#!=?+B#e=7*_eRP}8?89j?t~uhqCyUlZ^J zU$4m0Aq+@*Ja{ErE!hI;ojMqmvzy)33K`v79KQw<@Hc&BvDyC^gLKb zQm}PP3}s9Dvo;QAs$3-KrdQ#kRr=1>T0q}9)8Cc(8J!*uyR7bP#coekWh-rWDuq)9 z^n)29nk7o7K$YZ%9rP%C^H1j6IPuk6>-BY?G=PzmGcpqqLr&?L7dzGc&kCgja zh{en=y>Tz68g`#sxc8*s8%L`&x|!doI zo}8b0kEpmZuon-E?^{Rx?5o7OIRVapBWy+6-Ks}D_5t_(gE>jUY&094`px=AygHQlNRyN&FRcV{(^Sw(icOTeeSI2K!LTH**U6v*=ri!G3fhl?PkDinTX8 z>VaUYioZ7Oyi1CLtlbt}89s}pu%lWCwsF zI4yfR@KOYS8e#W#_+#KJjryiQLMjRisTF>mVQR9P)DV24*FDMb{C*SPYkGc@?t_Vm z2I9QZXR=M=K4Wk*Nfrtq{3{E~5`_RoH-t=Kcy=TRrtKl>mtIJofRqVXHiMqZ&nHdL z0!eIeK7x42Y$y79^oR-kIWd+%qpeya&>>W2VrM8(goL7NBS?yr+@|imKIGV+b?8p!*V4|=)IP@rp zqUwbAnKIClgG?fU78{;0B&MF?24%pISI%UF7&KA@`UmV`Gqao;7|nQX$1P)Y%HFdR zZ|_YB<-EhQ2dsu)47Z$vs9$hCo&98scTSWN3np9O@L>nBDiUUU_Emzu7@?DmslRW~ z3t0g*_l|ZSANUAD2#TEO=m6^2!Wcr(r}5DD!yg(i%LtYzGY$_yQ&c(;OMe_EeNfJL z5_#KK&nbpCrwi|tFS7JWxZUimMNN*JJo|l!kIDpV%}UK6C(7JfQ4~W{xViZd05=G-|qBEulJYyc;^s9zZ*@jQ>*Tr?t0`dR#%p8A0O^N z+BtgUtsL*2o}HtSaOY^(TRGj^J@6`L4D#lx{c{A*?7-InzuSG9vV#g<}IR_98=^YRse+@lS$>bExf#NbYwD+ z8N>1d>L}1}ms1ZITWJ;xFK{rV#b2|Fkq>PQcj;pgJo=#-yH5#%+Nv_+IsL6qiG=P5 zZx{&zPmz@b;la)sSPy6yX{pX<&c?vg2vLK*ka_+6jaSORgy?3MhoYa5gkv~vkD45k z8_8Ki2Aj#3nj$=-;u2?tDbqU(I}~`vz6*WReSvP0_E+qj8{;N>vYRneby;CpRQlDN zoAJ%ZwY{qHE@DeGh%TrdtGQm143xx)OKJPF(FT;%76pP4WzJ8>Lwy;GsLe(CZ9qV< zKw$Wxv4?eP1s zfxhOLApQTbgAQ)|?OyLYSV7YqFKLXdp?aX94klg_`y|9WNSS~hLr)0br8^%<>U+Ld4ISO7~IRO7}qImyy1WuGOfx zT&Gb0i3zkaU;9~%%-+{8R<&?#zXQbC2{p|yTP@=~=CX_2jyA|ENgdODa*(^Z<-1)B zOjqS@9`pnKrn2ee*(|3-RS4IbS(c$_dUYxs( zA2W67PepPJ-~8WV6}4f$2U2K(%(qC`#;=Acs+!h!!F9bd3st^Jq-CT>7YWYCHYnGW z7C|2XONJT8Nuckcx?`f~Zldo2Y9Rl5-Ld6DgwW8?P%Qz_uBiz{!I5jR&!2u}fLT%PfAI zMLN?7(!!z1AS1#pf6u}-qGIxB3f7dEKoNbESZsuG%oW6tAaDpvZ-V})NZ~dd_4gG! zm%)Zli5@BU1#Ie}zaQcPKRiNzbF)*#k@iKi|nPl;`13u?#R;JyyrU z2CS7dH{WWq(7uHRI0b82Dqyh~zlBN*Cqm(i;YclgaX-vqTUL|+4);OeMX2zsi08p4 zVKnNGRm1O5r@f4*6GJ2a!T3BX&QuE8EAT_{IaBg3Y^14C#zmL#_ls?6_-BL($z#kP zHqUvwhw*IhkP0>EggM^b^{{o{I(qADZ&$^)^A|~$aDL9cg6ciYkD9y;LIC*yOx-nD z*+_XWrJCR4;i0&=ose2rl3cKJx8u=TLgj2>E+d0-Xn;m!^FCJBG_r{RMw%E-*<2$m zqW*L-#8%5;j!w7Ep1XCP`j=IExZ9yf8t*Vh)QbqYpa?YPgo+@cLb@PkF{CJ(WcSfc zP89iK>K-G;F65jS*f!WYnuE#j-{1F7WCTME^1Q4++o19!-G~8|$0xDdXoHM$lH+X{ zqCh3XtA9(soKxzB-TZBC$GZL>sdo^MimbF2BN#{0K)U--y;Xl`N-!q{k@U&&(caN{ zFifSqe=so`1>;b5ADy0f81}>l#5_*T8*klM5uFjqslYC zpscg0jf~nC!{Z4n^|nvCj%RH+gB3Zp4XSU_WJ1k!5a|9Z`mH#=f6)*rbCBNC{}W?t zrXqi3-S~HKn=0N0GHl~fE6m{aqJ;C~0@0QtC{b>xVo)=9a zS9xqLxU4vV2~gO0`&v*~=oP`_Z0lb=-4F6qqw)|6Cde6ak$Jq2Zbb%8(96byMb(Iv z?_x<(M3K0x0q8||3mBT9T)E7l4&@rmRwUm1i+p9^{sq*(S9-k%_{hv6XvIKU&34yY zrox!O5Ho^-QJ!Cv>!s;nVus6fFqra!T@+HXNMa|qR$1~#*&AS`csH?jNAQuAL%f%8K zcx=pCN*a#c2e4aTYqsiMtKM!jYfGHc2P)`2&Olj+;aL2XEn;H0nsXeP|HUkUDjoQre1JqB` z=bID*(IgBj^_e>BGW=tfY582*aNwP#!ppGDY#T;(?S`mzw^l2iI*`z6vj)9%^;2b? z^68AzWFyYG7yyY1oQA))ut-sM@k%A?7BgH%nvE`&>r==18tzn+lltd2D=Ab~fs;s7 zGz8mclLT8*p>jE?Jw>B}vip_o83o)Q67eF{T=qtUR4TqZ4&jjFZ5n?~QVRrtSop6Q;Al*u97MUh; zFmD-uts=p)4KuHVkuCKoi{juinz=EJi>S(vjPEqP=w1e?1hkANV}pJrdtw{3+3VAV z?t{;VL0G^sB~^2YC|Ku|Or(wCLDKpK(AN?gynYxXu#eaHiBb$U3M;N@FZDS3HB~uZ z0a#WdVYELU9{c(zmHCj;@Dd0=q~zz1jtqfop_4bHWkZ@S_CE~quis!k*(TuWg7UE znqVZ!iv~cRU1XYoBRY?8Fo6Aw<;%RJZZ^DnR5&d6 z8nX}&g54ktjh0C>3PVu>bh%i6XZ-$B3rYf9@ey!EV2uv~9k2pt6S8`3%~T)^&nX1< z5tc(^D#nYb+)I8<6G7?HmooT2)h-xW^knc_;*6^M#;ZS_*IZj}fn%yVJ-=z;8c|k4 zM?DN$JAi;KIL@p~GdWe7dGp|jt~4`5K>pNmK8KZJX$I+_57EKv*3Fl%z2e<&*BKVr zDnURQZWUAb(9O&2&PM2)G`mCS3pYfIh{uY1WFVZ)2IPX&co`yTj#?70Tt$^o$(1dH z_9u^<{I0g`g;xBM?+bsFuW%`D4{o zIJ*ugdYJhPLu)B*Mj8XqLNs!}j5nx79qGH*D&6WTF>oN~qC)w*;q<4A^Y%OvqY}B5UVs`_41(L0|+XR;De8B(kQtW|gO6n9)focX3XiBjkSj`$*;BlR6rGEt{6d75kW2zndl4Ci zy@7fM(9{`mOGn{rU>Z=4R3y>p;+D#c zpKLH8!i}#Rch93d7vkiVi|fN@lY0ukIdH}(G{iJ$T=T@i-c)_sy zEX0w9%@x1p#NwB(E-!Jlu)UnY}Ok1CW)6GEC81H*VJa2d@baX9P8^g&8TNwZu>ATPMuM}}% z>QcjKI|g+I4&4Th7VZW$;~C$27e0Y6b58MFPHm;X#d}EGi$v$dVfhfHc596-vhsmc zWSx)gWvPB?U&%m+gcK?ijo0hxawG&^YqU+iI<x{2bU203->0AP4jN5S zR?fujaDqib%5N>(#?u!RiO$u(`|$vKtKxN7{dQCDAdT7JgW@gbY7@x%7B_av(^Rwp zFVNEdOU9fm9PlsVcC_d!0*n$teEh$Ub0|VA`pFdRLACso!^0spCI5qiv$wMo^~}Sk zY%F+q`1IlV=^+)<m2@1PlL27>|B;|A z>K}PZ{<{jL&ejmq5hssTDYHYSVi69*DBINz%;#Awd4*3X!!|gwJAG}b5L9b-*JXBt zRr|K_sztTCOe&7v2g|bCY}Y;9VQZ<=tz&wdikT(NcsaCxrUm+&EPK6hoZEbp`f2Au zmhXq*37Y8j_v9sPEduYo9qNlu2EL{61iy=OnS`>1KO6+08aRRYzt{?;L{TNpNMFlb`OM zK%w;!l2DWkGLG|23uh)A?9z8(qGMkC5wx&yC*QYlCs7tB8g`nTT-UuLqg^rBfHvlT zwQ`r!GK^8kx8EzjNiNnVTP=q^x{yDU06)SYw}le`2!+;#l)>y#kh0_~elT~K_wXJ_ zd(6rRiXFA84Qh{7eNgNbJB^c3+i)T}C+E(qQnaNQOX^-(&8TVD$dx}Is^)3MqJ&L# zJA3N^MPCojzh2rQ?zP}Jzkp?56)sS#QezS2IX(!9@r*U_)cp-m$Wt+ZBPgWIqq32f zCB_3A;8rTE`6DcYSyq)=TSnkB6z~u)Btvs8U#<;ZF-=I;S3tU5*{Z`vwmLUG{_#T8 z(?Q6Q9_0T9%UbKSmezO9A0Ote8E3SYfFHO{>z#88ei-X`Wwm#o0r~ z3QOugLx7+E!Qs>Fg!1{0w|gQ1#_^l@OZ8_J{hT}l73I`Jpb$g}{6;mENQB93IKL5;)hoHkgvc{WYcsM>EDX{jqas-oMQACrejL>Kf=^LWjW}`(h98a7qbNNEj zi_XW6Q`GOP&^S*;tgHCfW`~Z7Rcp=L06^Hh8Pv-N@a71D+t_nSak2Xw%|@qwv%XPp zSHKEb+E8J>LiNgce=$RG6)RLQBVNb^s-^VI`2A1UHSs^4JkE9WuQ!fpWb67{mr!Cq z-cWPs>jSWyhdwVQqruduQ%Mv1kgQ`fdlcyNN;{54G_tJh)1O5wIAXPwVmHKTOQ*Yx z-MnXK4<_Tc976y$$ZEDSf~j=+2;^JAL)^JX;2UvJdUe9sDp~g-@E|SZODk)bUccTV zSzdP7kBoPiGS(@;xueyjr(?R5!ZnzCms=dhLq0FR^%4QR7+&xbJKf_+Ro^3B5`ROM?dNA?P6jg`oFt(TXi;UF1)-m6Wf@XsDRa1alo`0gz91}LcbIc zJ3^j6#4nr08}aiWL7>+g-GI{){Cv?@8U;rhF%UssvwKoXqeZbdwuHylHsMDr%7@wu zs}?V_d79?;jh{IxDekwcVEOxhz6g@fQI_}H7Vahr1smtV`0C1d|Dh?c+Q6kZn1L3^ zmx*BGN*Msfshe>t3lVY=r0V*`nQc45U?CX5WcCHf zt#a2ZXEH};d_o(_5mB2(2bmHOX;n~1QxIOr`X&Lnx7Y}pc?0&;Y6Bkd@0=^-`=kwc z6v`14zs21&ofDtr0l~rY)-YQDIRO~oc!$w0{K|}1ncsj$2##B^LoAObP-^6hf>A4W zkltGR_1EDJuzD;rTX-udq`J^*6Bb~?>S$TU({iMX=01Yt7ip^MJS>oP9b~Q~SqFa} zDzWrGI?nEo_aFv;w?jEjDp0V?jj}mUpUU6Sh@VPtu>i*50P<$ zSZ3&>0Y4WW;Kn@YBM`YFo%|V=M6(@;je$63q$xctBt$^kopnEV4jhFXn93PDJ}5jl z(9b{a*v^@n+J(Go&Iwu|?R5@+G?L9tsMw&h9^TrHoW-;NX0*8|KwW~!CHVPb2>--ZPo|WHr$TQr$&A(wSiZ;> z$$3yqq?aJ49_b?s`z0#Ly%K8O5d^2P;Wc841;;Chq9c)Sat<7pMFlEvN7@9V;1vT= z)I<~;f?rWDc06rS;Sr~TbB$vJNBK7>4o)i(<$)GOPB%@mUre1IKo$joEbL*W*&<~6 zK2Z`6YA6qjt>ZqqT`4o=fzL{dOt`oAuik_G{8m z?*95_r%@fSe{fS?b=AX28NsL%z>%G{2fIsA7y@6c)M$cQ#lGr{OE?9vpk>!`zd@@#s)U|K7tr zB%6maSjOM)H`MeV!#EeCvSozcb&_(rMfS9OP5+Qwva73_j5LE=%J%}Cn7Ju!96LHS zZ^#eSZHpMX9QHGKCpPHW5yrl`(QP-^XzJCUE5a!PKW1ED`x*2_)g6m^v$4AwJe`ut;~j;VYsQ&L)z0i0@ZTOQ zIUvdT@Ce-LTxKE&4!Y?3t#AeB;~3O>72HQi%KEZ+tQ3Tp%>Dg%UnYRbXZT&5$;<7N za$qppCZ}wmEtZLl^{n$bw$xB8%>pHfHij%h;{HsQQ>8z1JN#vt7LL8kTt=WFFY-Ey ztB@^32(3Mv6j~$gWDy1xQQUvPWz0f==urJi*zJI%FNmPeH?jd(OrP;J$z%w?|A}IN z43|*irH}P*X}oe}xck&dcw6-)1o8zXP}tEwL6WnzRcmyZ&$qkC0Wlfg`P6adM7e>@ znDfwAMPz$9$|BQLWIKC@dk@c#Pctgr_!72&HvA)t5Cook;8ijr+OV@-)Z8#A!=j)8 zbnChPTX}3O3F3rGoe73>9DX0B#(lWl>Qd!7i?MVt!tLAxRuWUkIiOoS5_o~qIyCbZPdFe~ zfsX$kk7VY)Q%gCOINk##sJh@dzm8kgTA4m`9XzMYLY9@RNc=peoDK3;gu>TxbulIT z0Ya{R(xC332hrMDjApkm!w)7D+we`?iYA)nJ;BJ>PAc=TvIwKUqQCHX@{BN4lE!WQ zKk@I?w9>2^QT{vqbqkuNrZ-odZtogc=qs=j%Q7$WQQc`cRF`cq`H01*}(hYmH|LK<-1 zrmKvzmd5iuOIbmbzO$JEz0)n@o#Sg-(R*fuw0Q?#sdcxs-Q(D(>Z?+pidXc10l?SE z@zLJV`Nb{{K7$?!m2HJ*ScIY?iG(;?<*R*mR!IO4ZNYq~CH0P_)#^OTd+oFc|Medqyu zF)}oSbzD8f#`LFYM?knLvU(E!WeDk1OM5noqgyENjk7R@lSVRkvIRDE5D3%Ue#(W? z!=3Yok4Xr@z4-ea_d`~lS-7E#gGL#;niy!g(IuHk5i@i8wHXKHFlSxSb1_rKX26dQ z6VjKJvis}<{(>sjx%!0|5BO!4A(cKQc#P6XcG~M^RD%d< z%$kiQfraVlm{Dn-5xH$oF@7RLn|N48dC+SDlWQJb0U*3-2x|Hk~>I%NAr@$Z5=EG=1EI8Moa&%lGvP8zxNBtu`A$Z zboI`N1p~IXC}YpFrr&5m4hVg%3rc1p#Ym2abfj7zzgS;nv7W1>*&1n|e>LO9m2ODN zubG{$*j$mWDu4ChmAH4hM;O;kzi^1 z;M^o6HqHlDUCZy!VdwY3x$E7W2hozo%3ZIrPHPpT(gh7D$l#OjR=}-mY{$4;Y1a|- zbl?imyQnadlKvl0Nkqt62GMTSt6CeTcyfCDaPREQqraeoZ|iR;iMwl8HfmmT86qhl z)&qZi5g%8KQtv^;_FAv^0q!$hQ>n+5r|~)xwomEJ7 zYfThPfKYzJ!jp&N>%jAC^=`dN1zrdU41T)sXC=U4WMZ9Ob_61whj)=WwLi+P#!PH( zW4GKqOu`LC?bg^6#v(w9u0Ah^v@Q(Ba)~ILCkK8bI`H12R9NPT9Fl}WDJ#GG;5V@u zwb-^QC6lW#Ko@>u=go(2;7M=j>+h@en@9M!-o$C@+y*$ds;oo9_!y(@^&(!HfIhXNn>-#N)EY-?d-K#AHe`G?vuSmD%w=+$1 zVUlX8$rPGfZERZ@eQsOLt4gxVkof17c_bKR`fwptm`PIr3#R5S+5pPK?NGn$Gg1PA z^3giOHvBCmO9bYP;Ns_@tM|!VbPkFjSHOwQ8Q!S)_ zD*T^!oWzA+HL@_W@C$59C_%Pr)d^+#?Qv_4p2C7^zy3;X`g)pRbj;wGGjrn-O^HJ^clfgxOPXCZ;qJ%^2ulFW_JGH=_=z z&s2;;6!BJHvoj1V+$>HHy8{h*RR^}gQjPMg<0+o}48cXLti*?}VO=A@6Os4EZ-3x8 zHYC83atGGxTlF<1z;h!8Q7fK~4!XjA(Chtb*uxWLTJuZcAK@3x62#O3I)9e8FCS|o zla1O^H(QM+l1cvOqEc@of24P^AxfHbYrs0@)U2%~ydaGaA4hML?F#0y;T+^7`;9Kc z8P(`>WhB`M$hP%2N;`(|+^mr|yNDpG%nL51Y)bkD^496 zS*e${Nvhf=n?YQ51nlHu49W93iB)1GYJIKQs(a|@Xf$g}9FYp>*N3D+=6R`{DPF8R zkTykJ@xJl9zk0{W7U%%p#^(Amf=)HX$VvfoXZQ5k0cpv2ykElOZAnSQZ)<#IKC^E7 z%`qURE;!C6Z_9j`QNLHcFDu26^tPQZ5Ab$0f)o(db?$ED#QtpSzurp3D;$R(^m?Hk z<&w-*uxog#F`;0=acHE?{l*nVdVK~1$3sXO9(wcrc6dUl*&L^t+kg&|hDrf@bkV1e z{q+nM@JjS2CG=ivY`N%pa6Yyg*=+$f28Q(hOlJly!kaJVos(F6Ct{a~09Rg;$Vli~ zK*Ll$Vgq}|k|C4qvD)ELhT*pHt*cZms&5E5CWQL`7vF1UB2w#s80|87GBN<#XUCUz zSlX8w-j4Bu=)88{QRSuH6d5Zml0$G)|GrdU;zKPFBY#~E5r4baT4vd;T!cm>+h z0t2%81|u?tpmD1^>P+jK{go=&?7K*csQoUeaaJ6+!DeWY%cmFK#xiJJo*(}j;xKNx z6f-mc4VGoieR~oUE;5O%I&q}3X`VBQ_2QaL)GlL|3nA{6pGCu6-ltVR#3P%<2O5w) z@T$yKlv>&ETDVjc&KAkSQImCJ@U7Jpo!=xRZB(dd4d~F z(OHiu6NAnSaNI_zA?bcZwX*C_a10q4H(su+b-Zr25*($Bn(v_5WxhW#s3;5*0E@^v z3yTbBzKr*y_2m7y7qe+Vr~ap2Z+@Xig~PvJIL_}I?|ch2fmWs6=-%}jG(I5!9$#9( zG-aDWJnkvFd(Q5;D7|AdAK_`kcZ5-dF}x?-jA%+RW{WPE8(r1A%!@M5FY@7P1jF1FlQk2cKUFVQW{n)VQY0d=hoeQba;u1PG6v6`2jh)5j>nx!~K_HlTTn zDSKsqRu({t4|~0z;x^1;#nhZJmcb+G;<0yfaI)teJl&?{TqwCrUf?$Yh{ z%7rq7-m`;u_jWz$$2eP>^4Sp1>;G+T|FJs`^#+*;@;Yq4SF!!Hk*=!4x&7uY^4!c! z`t6mZNd#V{n?c(m4bpbl%?{xx%Xhm=U&`?R1QL(z?czXMRl!xW+->!EF+s=`rQZ1x zfJI1^QB%V*GW*m72!29!bt$sp*jXMw$1w1w@y4N67c|vW0Q|uC>jvTAU_#vbO6wxP zp^&zU%-YKCBYz#_Z`-f>7aJ>D4sg-2gl#SZ{%F-RUC_)xaR zC}FL+!igB~&w$G(jhBabap4ES60+c8W;$hG;TirawY@vo(^pDysGpuilG9!$US8HO z&9p6ctA2YBdeFv16s_ERmH~*OUK==V(&%Ijnnc~lJ4YpD&HnbK z*b&nP&E=~x+6bbBj0ve4bk>h@eh%Kw(2hRvf8FoT$7PToi zUtl@=eO%TwehJ@hdR?XUm34pMbJbHEH|FW4cN4YsbQO-qdb>gfAWmwG2$1dlstoXF zxaSmI9m9`+z(A@(PDve}96TA1VgtegMtG91z@D5HdsRM`6$+|}-c~HA`u!KL{pSec zo{G7V$*F&kfIYIPlnnI6Vw?oN@H*aM$l(j-Ek=Bs|7EH!bT5mxBEK&5VXtQ^Bw$X9 z5-#5D4|=^2CKb2M{(ejj4ODT1^c#x-`xLeq0m?v}S}jQMi4J!_P{_M|&&uI8BA-Yr zt?+C@$(B9}OT{P{O8I??L#Az+hMVf(j!V8~g+20qHj6j$6FYCh#OS*j391yA#|9V@ zelwFDKaxSKP~+vm*QbRV0oT?=rvJim%&qP~+}R&9%PPLc?2VToSK3}j00+Bjf~%}w z5piRKda2T!e4x=~28S>-Z}MGFa6Zfebsll@sJ}&J+Wg zb7;*BSK+CfqB3}fDdup9sP8~*TdHRCHJY8B1VZKpxGE8euA?L~!=tODzMqbm+xv9- z+}=C}_tL7*0s>N_wIU!Ohz)^}!gxBkQ-oYFwizON64|7gb#wuJgW*Om&R{$P^TKLy$(Lt zqSmc;+6&^r@8VulO!D|@htX=c5Pvd{2t(F!f0`&jZ5zL{F9M1MJ_=OX z7U)`A^H!JZh~Bt@C*gPa%tWt$dZY@MwbkoAiM+0ziIV7UxKv&H&mymAtt3@ODGbG6 zEN}EQDT2XnsuI{a9@ESaYdU!PA5U74<;~7r2H}5V;o5P6a7{Djlxim4zTkYn zp?~?4;kdlH72{`75YD1VMx^P?VsfAa!I9<%QkBx(A|X}MO6Qi>SzYNe6!|zNU6s>L zaF~8e{}RUm-u0@?{JSwc*`2096{ul&I$$LCKIY0FtF`<8ZPCD~%zO{4cmQ@^NHAq%d z6Zw^za3D#hSw#*6doO0{tNO3>_wkj{Sbw%>wBNMFp~}!iWecpXMudM^_&RLryLfml zx0R3YquqVFXv`V#6s3{XooA0~5SC|vhyTn@e_wbFX40=CXOxt&^)k%krc{uhJe@dB zR=@AZ9eRHTHhSr?Y0NQcRvy=yw~0e!9OqB0^1(HiN92T_E|H}9xT0c>cMJWOlQkPX00m5UX0Lz$FcMm9?Q2K{2~Yw4aJ7|5f<(x4SGh^jvgI6#7UO$$34056qUqW zUUvYv=zy4LA~9&5Ylth=FYKj-85%~r)jjTOo#|GC!-?f6q{Lnx@BE&)68 zIbNdndWTdnl?%NxXE{ivvbBTz(wf0(k(@%zq7hu!y1eTAQS1dv1>a$5NWMCPwwlP^ z55upH^Gt`)@_mL*taw@af_{vlI<#(COxU`VzEb10IIf_%|)AOt|aSk85hzyw>Jgr>@@7oV!w0uKkgP<%o*w zqP=JPPTzO>pykD&4F{|B!g2n<%8gEAF2q)`>+|g3hcYqv<0@@%BeW0Hm;DkR4{8?! z`Z(&Jhx}~1_^)p*jlj2IV4OXXWmc=7c7G_uvbQfhmEUC6k34NImExv@=~Z2wW0rL%0 zZ!mp#1z{ur5!%qG5K>8KkhPg2k3m|RNZItx_D&CW4oOxA>G0ziu3imPfI^Pi^wJcy zzdwXriVTYq3uWn9b7b&vq85k?t7%XLjz|hV;*6x=&%y*8r z7Vtc|hGm9v>D9g`!#Kh)!ZzLPFubg^fou#ux{yD!Z9*x4L|QVRgc2b|OUwH=YVRL#g1WY&-kM?2jN4Q07>YTPHv z+g^&!{40fi26HHl{w@Sv13YO6bQq~ z@zLJV`Gx$v2N6QG;oj4KbCU3m`{$}%H)wmfEDC4i8@L%$hZPVb8{jKbKEy39Ws z-$-gi0_@^p)we^azWMD6)OQorx2Hp@Zw00L2IaIqltx277hqU7_6Uv@33*%#9zi2? zRU2L8x5XQxl*_zv{Z}k@Kj_zo+oY&j?xV-25%e<}P{P^DF|4n7bg zf&UJLB>V~ccRU&y35p>{0okArY-zS$Z6444dhKSNdh`N3Fi84ByeJuM;y4aDiIN}b z*KEAVKGn*_rC9@`VXVxJX=dXT<*pDX^3D~DO!Ej&LAwOWgs*Ne6C^d-)@H@n+Gw!| zxB8Qyl@fK9CHxEH7cvxvG|?m2tRfDf4AWYv;#$l%Uo z*+V!uNutOaj?Y)?-1K-pTi@Agdd-y;jBbD7I1Df&lJ}IQ{@q0hb_lZiBS%=)vO&QM zH-UOcRInWUbwk0THl)PDZ)G|x%GNE+B;lKXh{Z8;kps&+C}Ru@BN8K%4e^H-E|pXZ zR6~$h=KLAz@Y`ZBr%=EiXE7`7t-mu~M3pV2al?K}^av>t(xeBcCn_H*?D)O$uj1ad zmT@p6p)5Yl2L6_Gw@A6bg$fXHsjuK}HTG*9HAlm>G=<#K|C1!9x!PQ7wp}&#a$EnE z^d(3i6#SVjM5tpto34(L&4Ue$LQz+Log}v|qS7kj_ZglGj`L-FxAwiOvL9*{FcYe^ zC1s(Nv%N#_Wao6}nfLhM(PQtxJ2^f($FQ=)J+`>BV5WKN0j8Xi*AglG$7FE=eV#Al z!OcmsVB-iT1{0S-2E>~>br_g8EN0Xa>Itj{`TVfKoRT)a+z%ht!TQCZD zhckZSxfoE``WK-Jt_I45+V*~FzldH&unc z>EGyWMG~7iloQ-;wrh!X$cwB8U*cZUiQ@+Gowmuk(_RKe!ueOuXSgDqL_ z2#Vb&B1vQ@#iBvKUL{*fJ5Y65s2MnaWcEj6R^%)Ca)1NUv zi@JIsiAvyJ)}>R~j=YLdgy^A{RnWS~a#lQDfx)*DD(}v{c+A z*a~0vT`XgYp#WcaLJgKcGF%Z;ndO^pd*!`R?}Q$w)J1Fs1PD!wS#eY$HQ6NR2n~bO z&a@FOg-8fZ-x-ZsTOr=&eQT*P1mMU4)Li?4<6OtC;MUsT_lwEl1UI%?Ax4fo%JC}G zD8vtS)P@k7lDzxQ=Capn+^MgLc}77~K!KT+z4OR|ixPy|XlO_pgx+s#)an>p+1%Vf z`{xolPR-5ky)0T|_Itfqa?>^1H0=7|=oQG(EZ9)LRyGMG6UhFQm{HoO)rPOSzWwJu zhf;3r!C=^mq95Ip#wbshp!9xy&0%j;mStbIP zD^koX6vgN_!{z|nuFMJ8s&COmb3Ud-hoLu2*ad7}s=Z{KpF0BB$fO-6gAplkHZQS? zExuj~6(~fF!+gCbQ}}uZLwvoGs!x?UrFCeZ&88$O2;vuULba6HjS0cr%>2z;r*E={mIPVWBI6L1t-&0aTb4HPrysHf|MHGxP-rp4z6^hFAMi@{x zQy`1eTrWssHE7r?NS!j;#&e>wjc`1;f(%0+Nz9KJM}d{_=IBX`ctQl zay$iHRlf2IesGIEYx76{+^h9Zl#1inV03bL$lfCSXUNR@AMECzWydWoe$nMSpJnWV z5Z*=0ddqQsiYG8bDpE_Fv1Y@r`stK`>Q9DTwLefjx8skXv(eWYb=bA#F6h5d{RDe3 zG}h`@J#hvY9NNHelh&Lx{HF>_>_S;dq1y%h&0L$S7gzyis3uTL+A6d~tDTMtdU0p7 z?=7W%uisnxBRgvCA!yoUMJ-VN1J{Rd<~?JxFsKQSa{dON>kiLW9cA>5&GqGaTM?W> z8*SAQDxre_$flZuK`7rhxTkv$kB{~b9x3x&^6fL)QvHuTSl`o!`;WZy{F{N*p8A(V zwwzK~A3M%XTtySoDd(af_NZoUc>Fi6y<$guG#pEreCf6N8WgJ7zx{K^p)U)49<_!M zAp#y|zt{V+{=2}y$*XV5=P!!N!bH==)xXGIfyBew3)}l!j$>mmaFj<8u75 zsF2Odqwor6@IUAmaXfqB&+R(!ugoz448t7wmhFPItZ@piWQnr6qsd5VR@%PfI(# zX5m~T`}MXov12u3ME0Dxthnm)*v5TX5akMNRgi%q7a_5U6=>-XMiM(62pjUq3(+b% z9=NiUj8HMkYTRR9fBRFg#Z_1Y4>L>@w7>+x)R9D)(D7J8CO=gW%Y5QEBwmL_Yo@ay zgXZ<)z0pn$AmK`rBCsT%WWLAq&E;?d>A9j;cg}U zyP2}DI#6r7i+Oof4reF1#Zr}z(GMIL!|@QnZa7sbMTqOUqa1>nmHAl>0JjoL^M@8r zUHHolDL7YZEHcagdNi$!4i+5eTNdsqsU^5idB1994Wal_@JHV;eF?Xttrw|e8D0q- zg)KX9Q=Hj3Lqb7e+Cj*xv7Y}151_GZNt_Bd_EjZNfFvl!ucf013Q+zsDR1t{>xs(+87}C$i&6AuE51)C)kiXdLg=Db& z?yD#SaSdPsBWV-yn4PEq_|?hnSdlEcFrXntqhW(llQba%6?@Sik(0*Cq6z%-FNNWu za;)I5A>d*XBj{a1aRiTscdWCi+>XHH%m6mm#c}`Gaee{U@mXTjiT~%`f8qx_hd^5! zO|Mg{?wsy=GJbMp>GtvA{-d3vN8ZZu-s#!--l?~9wCk;$?(H6Um9vMB-@%W2M;EE} zB9Bf(*dLjO;Afm}hJDGZ5ue3#vnLrK-vj7qRF~vttI>pm7Xmv6dlK%8;0mt#gao)$1YCrwWFf-Xs1Xz%bQP;)6;6k!U85HHXj02! zSUUoJIynlu{MgcFPJ^Z`<)G|?gCp;79}@@9yxm8qC;bmE-aS5*_6~MNr%3hK!Lse0 zD^jbFS<%Ynr_G-_&S$yZ)L^T5HA)AxonrYC91GlULf)snxv{j; zXm`4BG?f}{X$aJkt5I29SzxV{YI6S zf=?uB4t^LR_PUuEgDne+q+*T|r3P*LV|^)3=kIXDNwY}wb(C&qZ`XO5&6UK`)i%B!ZY>LI72Nb9`P*Ody_JUDmjD2-+o6(U6x zF=8*Welf7RA2`mXg;$rgrI+%&bEK`^hh-$Nitn-&$A{-PA3e-%rhJz_AF#XRdVFhx)Bizxzs5*>1SAqR6^ zy0e*+ss}`{yK{aJJr4re5Xz{A^w>DzNjZ(!M($$eXYRo^BW1${^j-2(dvY{+FL4K412M2m80-9yh-Ye^72rUh_f@ArDP zx+Rd(7ZxR7#Z%gNfm>d;*{!Ux4hl`lpV(0pO1g9b*V!*hzoyb@jb)e(%_oN>(FYoytN94# zVa(M=&^794&jR&u|D`HwFB6H8qW16TZNPXSu8evxXB%x~mjy8+Y4YP^Ccx|ikpU=A z^^fCw2ZP$%2p|9&W+@wY>NXBLc4SUg{6K$;HX~R}g}8L({oKI?wZ>=&c1TLrltRGI zl^)wN)kRoyo@cjHL2YRp9bZXfK}8^{0ET%nyOMXzE*4t%&xfYme_r`ri>IbN4$Jo=XV`hV{qOe4mMph2gR*DyHz)AMEM#;}4K&BrkeO7k&U^M;qn zmUDFK-@rneI?V6@ZhHBN#q7FMHaIi zaS}o>-SLw!&A2MX5Y-4KbsxVcY%qa)7l9Q0b5<~*b^WGJl4TJMqcXCB4xXp}g8Nl) zT}50@>^~acM`{&u1gcjM`^xX|%?)Z?4~!pBS0wNE(z|(Sp^K_=V>f+*Q;qx4MCRPA z`};nin2BgyP(A0*_1~6qoUf+VA2R6h2`n+5N==c`3Swjk!6!Q2jr2`JFPUHd87%D9GMM*NK$|7cfpYGUltf_rQ`~}?shCxibT~!5{OOP{ zDk$}G2q!7TF-Ck3=p>KXd{}%NHJ+qZT-JD8D^6czX0IoLga9JKIydq+DD z4xu#84v4z`4G+iM5z#3-qYyTPo)}flLzAmt`pfIDJO%Qh?hl8B!qmOr>#>BP*_Y#K zuFxrO;Meg_%udJ=Tk+eqOVqhDUhS=u!-I!hTWNp$$oSiJsnp=}D|xtqL?C25wjBp# zVrQ+zK{u39oe^OHf!AV|RL1#6BUyXAtf**YyoZyfx}YMm7`!M-4h@P#IHi_2m;KlT ztSh2LkqNgyydgqw2EhmijLtCrC{vOh6$@2bz9!_SL=-~>gJ!sI4$w?losK_;4A;5@xD!s5I?H* z@^qTIXkkaTz;!%?3KM)?kZ@$q9|ms;H5L{6TA(i)*Oo;|4k%kTvr=sd)Ma!?Y)9?I zdb?Xk;B+sK`@?bmQ{&ykxMEu4>LmG5G@92NUD&?OO1n$`&qfD7UktOl)|%B@DixVg zOu-7j$g0|chmfy>Eh+GjJs~@n+A^Oy&VPybwN<@Ur_c>UezQn)5EJUOk;dZ>=~ODK z7xZEV*S}J%y4>h0Mwwc(IaEvw=vCgIMXxdhI&V16a|>@G94ge5GDVyof9I!SbB&=o zh@qejif}aC8;b=jqGLc2mTnrao$B86Cry0~U#AIXk{}(Ed{Nk`LB-9UVab**iwq-v z4fn0(&q&IR09C2z|HOF3Qj0jACGYT^6NfUZY7$=zTXqPnEYj#d$1iF2RLT`dUr>xQ zk$=$ZmGt*91!<{4iNaS{Rv@#*aBU0WnmX(B+M2aVa^AL9=`kR+`C6~{2lz^Jzd9`@ zidD2~-;uIaBRK9KD2+_hI|{e&lPONW_;S6Q^KQ3Adzr@720)z@_2?3@L%<4``i(ii zoLYMYQ3cjvM&T)fNzj#Wy(f6^6oG+3>kT_^gotJ7XmJvI3A3vSzRwr8bITIw&tnG@ zw_8;1GXl?dF*NgI%Sheo8fTFZTieDi(wIx;-|(M?9ASW2Z60f<43FRPr%PHKC!&!8&_A`zo# zfZfNohe5KzCb1Mf&jci0P%_223?{n1b$;wU+B@1iMTKnl0yVg6I1*QzP7TEQ+K00n zrp-yzd0F$+dDDx+>59IL8K(RU#n3_+iboII1{4EQd)S*<{o0M!{yRv2Akc8T4QqYF&P2Vc!ewU{4@NhGoDs~@u~0Wx5upPD>yHH^FxTg@^iQR z(!tT$c{xg`c)z$e9$5`tYzJYQp(Ften}|*43#;FCmI&a*<_hAdLR2}EII67+1F{+XvCn5^S<;u>B(BV4cMpvDRKtM!6(6(r| z)t0x##zhVmUkDWnmK1A0-cUl9!?O2#y_x1hoHw$#Nalf!a!k@}n&p_1+NGpYm>o8i zHNX}Z(TaiBVvn4Wb0PSGlhndJYG59|=%CY!y1p|g}*r{|TeTySB5%e)PR_<~*1Q9@njutFygTd(F zEZYj6g{F%J1iUkDK8<-z65|4&U^(v-CDKB#uvYg)S`b@)$9Ofat|ondeFZgfh@+5Q zy~M1V-4`@O=_^@jQG0ZpTDyg)`usSJIS^7rDTv|SrG?cgxB9OonBg-b0{QG@v&!FVvXwZWB7`i4oQ z$XwiHt?W;lIZB9!ceTd9!mjaOEgq{{#NQ%&Dao z0wbo8@kXb+d(Te#(~S$sH&zz(y#Tx3~_ z$ULtR~fD*T0NZ!KR0*cCon8v66lu$$mt zcsX}}yap5e-40IZwV(a774e+#a4tjurr!35*db0(WYBpqHv^Is#w_OKwA+9Rl7fAF#jpsNB&5j#?2l&5g>`{SRf|ANz(j8WB>%|tCE6DQTWI`L?Fs--%2w)Kxp z+YfVSR9Nr;dI;U)o4Agtl?*?J(Og!*)p$)d*i+$m64nPGa~D0gu-;+P^eR>*!eF!H zsssWa;g?gi*3~SvNE8!*iSR4997Y6ec9ySw!CuQcbNW2k*?19_09|$-Z-J={N{VRH zd;|AA=bZ-WOqi08l9+$A3PLr=s(1}YO^`-LAOnd{{!}Q3Qw^ZMut*!SjVITbFH2{+ zm?hY30}0f`;kAm_+++{Yr7K9FEuezaYTUBS*jWo-rTu+hXCtCFeS`sZ82+7jiI!L% z!hc%K6L-FeYntuzqjt+%t94XDGLNu8XopI?HUjd6z8Rm{Mh2RQV&3wQx&URUW04l@ z^lc^e9kNI&0k%O8O&YoddgWQcnO+!gxG7hARvI`oG3OUfzR)bGFs4q^SivDK(;Dm$m9% z!?G4Ed}%?AyhsxkQ%cp5dg_R#&=>Hj>bvNVl_Imn1{WjT`s-&|-Uk_Cz0$r#vAE`P zy|Yvk><9lq#9juaow*hx)Jrr6v~4(vNzO{ADx8(UT15mM`CQ3)?l}9f31)$o7Ip?x z^LAwmuXK5duaVQmx6`{?s|ohbGMEVTMk%*K2gLH-opVBQ44y&)?Wxvd#iJkFROmh2VEf*g~ux6g=t*zcm<%^+Qi5TjD*GiqLNs&*&$wS zt~J|vRgi4yZ;2i#C{Yp6S6ZUMCwi)jFw4!evC8_bWtrLm*DlmCTQt2i)bmGZdK%?5 zzxVW|C<3TV>LsGZWLd|5uHTFSy#L@}_uw=TtsD8UUjdL`8Nx*d^j0tTWTMCQ;OOM7 zb1x8H0YiBvyi|Q906ARFpZ;ni&kheFCgDdYkF?l!2ebcj_~vN|oS0Si_=8UHf#WRd zx6Qa&<cXUvDAVFQRyl6zS@1@+ji(MGccR(tu< z71Isxr0-P?RW*bXBrjiTbj5<2dQl zNv2b-5eKhVHfjQ4s7lN{4rsS3-FI}S=8%jZT>|zlWW=9Za{Ev&t*&i$F{Fj(_ay-K z;Vc%#u-j;hDiHld#rr>?ARe0j$$d%wf(;F7K`eX znS`0{H#TbZJLpZ@YJW0o_^I-55 zhdfJo#stzVED`fdHLahkzPC;r8Dzij4Y=j|#+QhClX~28WQ3(q%%7)zHP+Iz09n8b1O^s^Ae{x` z>qagD|$hb?a5_$Ojyg$$Tyw96>eQj~TxaUO} zi<-j=&-;!2!IaGlu1_OGM+|YQ zRG|{aj^%ZA17BaqpW3OI(^z+#r54X$NWwq7kZIMYU|h(|HHiUx_k*sjNFz3?v4-Y{ zr>CLK57<2x)<5t(*8B{|;TomDCC^~cZHNW~N;A7K%89a^0d_AvHX%ZH6VjUv)Gzxk z>lxN_hE&*V1hIaHBx?m2Dw&HF|a$z9iqUf{2Z8U3tz&0#`wdc{+hdITh%HSC_ox9Ff)F=?PNN_Okw zXHg@#IBNrHO=cG@j#t->qRi4?s2EuB^bZJz-@yd-GD1cM8h5d@Mwn^HdavDWuYM|p z{})lGrr!+QG@pq$j%2q|3lw^(;=>@(1r88?iOuXvur2hNUu1h{T9Ampc5pjvc*r!& z&h{M{oyfw7W@f##$WznsQRNk32;Kfe^U;wMH>qemc|!`!nIrTK5Ef$CGAizcgN+M6 zz>}eG9P9EMf_y7A-B4$ATc!p>&;q3X`*!E(aNj@M zKkL4Ug26|g$6#%i(Z3#)oX%L8b&=@nb(nNsz_U&GtU zB)$qlJS~$6Yv-mLX%d5UJgzWw2{$q0mB&48{=yRgsMZ_R+j^zQa!(ehLCm&$y#MTw zUH#z~FJANjxtUgrlX~!`Z<@az{R$#m*YR`_sKjos7b7npZjWizZgGHsa2Fm}pFJtC zQIMvF`O#l8i09Cj1B3WG=I=Io?2s5lslw#ZhqL5TmmFhv@po?n~Hv|o2lrb7KOf<)MZJM69!9 zh5Qh|mUJJ38)dp_cDQIlGx=Jrtx(p89su}w4o4Fn;5{c_DO&1egk&MRNu8gyAw0rW z6CNivs74-#rFM8OqNpysXE+EoUJ3~s=8DUTXy-y9&~_w8gG?Z}>9n4N#4?8^GywpH zP-dk_v-3IpbAwqOy?7Nc3SIW+Y;cx^U&Gi+UXpK`AH)ejs`^R-QM2=WF=#DGpI)B7 z_0T`65Z#}kLghof_W3yTq23L@j2V*9$Nw8jn2Ri$-B*_IGcVf3;BR1mcH>*iAw z$B*;Ok8vL&md`lmL7pXqgDiJSSG_I01p|~E=Mm>O?3&_|B+Mv~6fvXVGR`{CFopDi zhe5er3IOmg{eyNVtLLgq(EG+@`7YCxCrq|_-fN@K^R0=5w7W?)J->;ZQKB>kK(=F&Z3u> z+%C=~qcKT#BNa-igt=vPUA-lIC6qJ-@%V;$!{VItiRL#V^^EE)5U)mf?81nJ?_LPW zpwvQ2v9aF1%7_U1`-!)2fQ2~C+?wTG-Bbe13Wn4Qg(?=gtzcGI`4Ug<9O24k>bVqT zj&_6)4+$tWDH>|*!ZGefyF{eKMM@Pn=BZbA(ZS4tYKXg*JkM1r9AH|zgjPo>($G`j z+nUU2oZHz)I&tsCLx;RCZ*l-{$C+o9V-%7cc{e@pT?gkQ++&ObDntH01B!jAB5s3)i3ny4ZKQcL!obpo+`%|^yGgN?dTr|s zDY$>A>YHr%_uKVmP~ZGyuVCMZs+b$gjNJ&BepAuh%Igbo{HhE;AWa592_{&Uh_xly9NUVpP8Webf z2c9AB^!#xD;&E^*{r!{UE@-)MxGwq{!tI|~`T`6$NcKv{!V3r675*6GW_U#NLOOt5 z)K#azwpTJBsytBh)(zP&&c;BHS)0}VAV-mIP9)@f)VbJu>>o+!sIy`CqyOMwTPF8f zMrOGUYsyq=B22H~^mu)jnD)({09E=6A&AEDoERhiMS$rT-OCu>nnp!rovKF+fsaP5 ze2maaF^GQ!yUOw;@@7%-ri%<&5`Nx6#NzYvpg|eO`BhahZnrq4g85N|fD5Qw9;xka zulJbihQ8C2)iLVUDC3Q5HZ7WruD)e4ipdL-)ZRcSN1ZGIYh2}-Z0|sU9*87$abHF_ z{LvV8U>8!?m;Gx=leW0tAgF{atDy-lP=UCPpuJXOO&YUjR5d32a7xzs#cB=77&(q? zzeu`fh8UMJ;L?BqvfIs97P9TgSO}nq}HMV-gY;!|J#+k<&73k~+tFZ{Zf^#;4AcahaCEi*AsrNwk>j>V2ke zf<7v&#)2kC;)1Fk3XF8M=MK(Socpr*)>nk@iH{Ds{c$b~JJ1SrcprJ*$M9{AsqVtL zCoan7as8DB;_?zHEGTVR#=vuW|L;24TKmy8vbi7w25Z)?zTQHmL{8gA;zwfagm+`0 znDRWP=LVb0SPyXI)LJ`}%aV#(O*TsS$0nG1JNbi`fxp6I&asX|o@5RRLoen(95SPZ zLuONB^Nj)?)nGUzW1nB7HGJ%D zNWzb|&8!gUs$l1mg*W9=8m&)^IrbC3mkC@9phHjwua={XY{^2__J!wt$H5cD4c$e?Uev^59T^+y?|sN zbfwcsursRHc~!l3aU$cI@&FA*x+@J44H(H}(G$}t$P%eC3@XNv;P;P0Huf_`;@TJrpQrPOGRWPC2lak>H28NPNTjU z=fNV~ps?}p;vgAft5Fb^tx{_Rb^bzXm2pC{pqz?hJwRfu@NRDP@c8^9Z6VB2!&tp3 zm;D<~E=&TvN;OZa_>(6p*|BbJi=?omrtYB53>cJIlB#j_5}wxS7r>Aob0|ClUCYA9~&`^EUIn zqryY!j1pk^INuJG7F6+c(f9kk-d`u)kC_n~p+@|7Cpk>Y`v%+(n+BWopJCW?#s;T<;oUYuBF z$TZ#FZdPK6v2xs!G+E5C1d}I2XhlBtsd)wXtx)MoP;wgfIV-rVg)CcCeuR&l_1T|F zrNrP@)zW#?=;SOpxGMMkvfc z=l#TMHSkG;RQ$U9ll{K7?|>Sm{~4NCTAQ`@We^7CkePnq%(a!u4S!dpj>TavpePUN zK_;$?*!Olx6`HW`pTfQy`|^n=$Qr30^*_bGoo4_Vxsb0&jG6<@va_n>f3nvj&X~*u z41=7g;3~^Pv|&~uMZ^wi;;lt7bM7RGis*ADJn%P(S8Zl6svfOO`ttx$+E4tvCgT;< zKUs+dQQ$*YQ!r4dz&a-<__`LbBkH+1g(`A%9 z`<9a}nG}pfsx~!B&071e->#x0EJ=W?SRjK)K3kNxBziPMb0|H2GJFw1HGmu#Yllc+ zj`QDeoPP~p;<#0A)%+%wO4&{HAupmV0AMbyHp|7Q$Bl)MXyy@Nyqr?hFe{>lOYUYI z_u~_#!4MKW>lDrnR0$WCm(xAROqE$C$+ zVNnYS(g^2drJ()7!K%atUN#%`T6>3T*Q`nkk;2k1o?gild~&41In>0?kWS#(2UrM0 z+@)_pGGY(7;L<|tg8dy^i?@xS9NtB4-z(AUtlS*M7L6G~Up5-q%uql!=S)FUepmMF zNHxaUxeJpxPVqKd@p$a*3SLRi=DfKrOfdu;uwT1mf^}*7eSFBL?MJNu8BjC}H$3m( z;2-y=cu+gV&7HA_oJQ;mAw){iTS5wpPN6Pbx^&j544A~Xil*!^+Jww(fgO!s#hw}L zHzMhIG{d7q`bI%1rOr0a=&J+)(dz&C5;1Omp)qb&9ne3=MkM|Iwg`JVxKA!oib$W! zWES;pWu;HeCs0Qa)0?u%%{Piq&OA$@$r)VQevkeS!yjisikF!V8Fd<8x#@W>!r$28 z&}K730OCh#Fq6S*#6dNF^paz78DjaJvtiFYSJ$pp1++LEB7BgCH}Q{^mOmy?1+pK5}XV>!c{&v(Aofs zx_fXSw2gykbXwhrGxm!wOp2?$UrXos|NkH#}=bzkO@sggnRwj9hOdv>zlr76Ig&k>b?vgB1( zVIjOmAqO;2f;F;tI*tRNUs4v62Y!n+%sIuILof)h;3}# z)5J5YbJK0%>F{A^d0=;M&(&g~xdb)AJPScDX1-gcO}|oYSIgKRWH>`VUCQhnO+R;5 zc0oLv&xtTX2nBoaETJnlSAW9K!z#EmHyXRC$qdjzXjg?iPc{LnQ^4nLk zL4=Kup1(#q>A?f~JZs3wZg;b?4#LkC3$tP`I*H%WR+H7VK+9wSj1M+%KA>VO!9HvO`XxcF@E;E{ikdou`=C;sJ7G}{@SCWcjz9JXpc11eCCW=qtZ zy>FV|4Bu^*=*eCexx&#sf-Uo_($&23z4Ke-e@gaMrQ=a!F z^Yd`6!E&5h&x1|Bxs?d`YvP1G;5WdO>y^eg`x?GkvfF8^iiNb(R-58O);_+QXLZOCI7fw-M$J0pAFtr zENNnpz<+esc_)yFxi;HMznIPv5rAF4=_YfFjfmAyAXI7f`-xA@%n4cusg@#DbU3FA z9EbIu6V0u-Wo{W&mC3=7z|Ok6_35lKO)MmboLcxK9jdBi0zXTjivJEp7yRkbX?GNs zGr-L$;1)Wt`>Cs;_S3ba1}5k#FFoHD$TFv9e~~yg!$^&`;#!xJkz@)D^*HljZDNFy zVSGLOI3$i9t~Z&Rj2IHrAI%cJyza`4<p$S2yo+z8uQ>$Htlt(9Q z4TnK^)BH3#q;!3Ki!g=QoY_ZwA)wEu;y&S+ygN6AWGbaersgb|WBaRWx^)GKgYL20 zLX&)p++7!JgNcFLAXH}uM7df68y7L~(0*O^`DqwgjXT46*-6ub*pXdjTNR@IEk4{x z4+0;!kk@+Bp=dKZ4XRruAyGC|1juntmTl~tm;3fi+r`4UhZf?{m?U@ zDh?3IfkqB04lX!&Q$>SmaHDyxLU@ckZ>p56q=<_Vd(;IM!dpA4M3l)G9AGj_p7$r= zCzb(5(CV4JJm2HbtLi^P@uq&^=xHWB8gq91{ZoljPTs^{sz0j;>h#$|Oat~$FU~H5 zB&t8&Cwt>3O46>jO)jY}p`x}y*fXi+3OAzTGeWe=?lObBP{J8)QoV#ofJqnDHhC?R z-5T}n+qzj}0X=6N=!dH{SGxw!o&y^Jr~X0s;Y6B~$edz&@N%B6xsmu(4L5xWDkLRI zXZ3-MEQh;nGlL@CaN!VG9WK{Z;$^tK9vh=*LDC+Glg2Kw>HnJeDP{&$jPOF9!kL{> z#NqjA-i=g*c+5DRM8rsa;R;G&2Mqj!&K)9(RuX{mw;)gXw99 ze;*&2)cwWX5)QEw@iXK{!iwnNA&de1Akd-GpVGXD80)|aq>G@ww(f3$PmJwyXYbO> zip3g%I5wkb2ZIY&&-NMZ_!wC?9`WRu#DBxV_D(LAL?(!>SN)pWW;(BAXKlT_?w1-H zsuRZrKw--{O+?5(t|*GP-^Lf4;l1Szhzc00O3w>Ckio3sIpx6p*n%K!vr?;+J_5Xiw-qem&>W#!{e zYGoO>99DdGNXkX=Wa1V6E0F!Wi>hb&9#oJBZ@j>lGu#hew%O#UM<>sHvNSM9x&x7{ zXyb?zrX$PJ(&{1%k9+>xSy5VS-^Hw2)%WnK&h2YrZXe7Qf}!ud3THl;I-|9W8L}jE zn!)UHX>o%g`bE4W*fli#hxk1TB}H4?c7NzQ^g@{5W#@EKXOi|*Ok zTc;QPbzkt4^$Zf6y~t>W_KGpNDXGNfr3gN#hHoF|nu+G<2Ie}eSkqpCpT4VpGJz(mC|33lc3%2doi@IC9kuaD7?!Gj zjt8^;n9PcBs$4jN@bGu2GCoo#M^{2?V;yHnZRxxC%E|1~pf+O|UG`rd zuQv5F(bwArJ!N4N4_SDza$g68Wq-%~Khh0!3n4yRMz?+`;OL)9v5n}*3 z;x&gN;s`{MlGVbOYyj-YB@ zRxVY)IoQIOgNF8!E4e+?3lK$o91m7+_!ZWmRR{j}d%X|vVzPCgS%iI^&3ACPhXOkh z=SS(&0C7&II5UP{u7K}hILs4>bAxUSIGwk9z1PjZJz9!c154*iAReXWI8!;DVkju& z7#C%%aDOsx#P8R(D%CrFd3$FIr1VvWLEAfUD;dF&zM1$0nZUa1(YFz(BS1$IC9uOK zcd;_3t>^Lu67q4p0`Us4ln{}gzI8!_*+mf`d@roNouM}#&xOScf0FT20gQWD)mHx6 z$q5K2S=&p%6VPQ&2i&UO!BilQQpUr+=kfm%8oX%7)sQR>1KxdsaBg7+&lTN()yqBx zIV-y!yN2AD*dPH{Ay<NZZ>nMFg&-ke|HaF%oSX>? z=c4Xp1B6T!dR@uH0sC&^g}l8Um*R4NbEj1+->Pn*fspb9m>TYvH~cj=bu4*a8joaf zG^4R@tLX!5Y3Lf7HL+1pl;X!2MUOJDB0q6brvV>B{QB!;X0)^H)`;=lc1u1Ha*#85 z;N2CXvU;M#7tG5%>hM%17`Q;`fD3*YvMMmGk^#&<>Ku>t?jvoi)=PI+6?>TK7Vrcv ztk&c*eZtsq$y2&o`;#{4(gP?0m20WIPsZ<&us9LU(eDoW{d z!Auk2ow!KP@tu--GMm{Q#o;$G(r_6KryoTCUzCkn3D>S+L+*USN})*nk%Ki04=c@i z1_=5r9!)}qmODi{yWhl@Fb1p5cG(A~7I@BL+pqPEbXQeAYEfrW zQaF5suOHFZ55c%`sjm1uC6xSi^T)+8XhV-O7&RABsS+#*rITcr6mng_0+BlCJV$`z zB3BG)KN$DXY}zQ5+vt}B=T-707t(1qTWkt}YmH_J<$1aUOXGVMqYv<}et@$x#}W^u zKsn{p&!)x_w7{feq8$L%9!VDvu6?pr|{KVQ!2d#ffdK&v`kk-Oc8f8}wZ*!Ur;46Ytyh_{y z7%(6-{~J~z=^dVoK#n`{2nAh@`!6|UA<${$L>7=YND+gvA+Ht*AuS|?MZ~?8<`?{0 z<5)qs?>jjSv*01zk$xnW8?r1rTJt>}VkykSTuM>%BdqVHP3v+v=$TQrbsLLlFokhk zZ9l4{s`!%Uwasr!dll3>I72{v>);hrIRvrfIi+%71s;UIchVpZg%=2E;X@+G_vC_+ z5uuU1qPJqW0DJG(-Hc<3TP2i?F^yuCU^I8JgvP{!8>AVYeP~eCtkkQrMfx8M)W!E< zqewMW&|pXer#!wsq)M~gc;s<|bXV3#Y6P0Hh(tcn1i&g@GItQjcoRZp;OTNc?TszTpFnj}Y= z$U}y8Q0Ry_Du#M_3OWBQPLI)cvl*~lua;!CYQH_raM^%vZGRs`2HUCzei>M=Q%#w!2Nu`*9(aZRRpY(dK8}3j|PY{ z)4xRw=V#sxiwS=XH%l3}WI5Ctn)V^`TwA|OW-`Ho2wnlQqn+B{-8C3m#vU?&W*T&3izd|hAe|cF!+M z3Kimp<6Ojv>0d;i8v!aQzlEfme1CwS(-Uuo>p*BlPp3#$`m4k{r;3-URe)`}w(mbX z{7QG%OUS@oyx4R)z@E1);kTI`Fr4 zHrJ|6D1^Yt*Z{ocWya0qEhh6D)>feHt#)&}&PE{hr%SB~E=cf#T1oRT&>wi7lgjS1 z&fdildlcSP6bAz~F&vdytYV+p87(H8{>G6Z!v>O@p7-to4#H4OmPLzLsUiX*{L*fM z?eLp4%Im-1K%`bK)vJDGHTa_zFLd)bld!(XgKtMecr6_~48V^ZVw?}vI6&o90a^@-+4mis`AKM$>{SYTmGZpb!eM{3cY`|^BFBEOOdk!RA7V{Cg(VEk7UWr(AI%bo>@uQ$xXgh5j~pyZc(QI5%D!K!R3IrM|EoAM zFbsmkesAVaHO`_p2;ERNQJ(2<9XyjQotO$fn4l^Gznb=5x~qa$n_mP;os$M{DwcsE z9!Q*YPL?GE)`#TiRh4nxK^LLwuK%ioBNBd@CLG&VyV_{%)LT{d`m-922;gfD9%M2# z@_KW&ffk9vDDoJIWFO;642Q*KK^#^dHJl}PC!Q3IN)zA%@`aP2>ZwKqoWLU3rKi5HD^ z4bS^k?o;IpEuz~xulRni_y5O{f@lIlOv5Q^$)YoROmnMI+r}~Ce=bWHaD_8`u)sJx zT~OS-Rc`g+e)nW`{j9rx=$Fp-9zTaTdiVHpwD6-M?O=WqLJZZcGD{pcB8>-bx*%+T zFPp!OcBxZ~W)oB@s_TAa{S-%S1Dwm4PA{{|=+gX|qz9dzb)KbH*GqLcHc*w=^HZ%* zY3cl(<30QxWtQ|Ghfv_57mp5BGGiSK9Z*(}PWGOrJ2J37dEsYfNem^lRjP zB)6Kvw4}Cf5qz!J`>ul}ot(&wL;b=k*sQe??d+7AZ8dcqKVJ$LA2GG6xJzOsYccmI3ytccmM4@UEE9TlOI}Oi;rbvPSF7<&+K0qWc6!gP5OFr}l|P z+6=R_(mc>B!XJ5oPn~TjYQq@S=*3UtIV=|u#a*@uW2qwiW8B)jssy_z5hacc`;e-t z?%gr(b)1u~{%xaP7sa32EuhfowOaD%eO;V+88fxECgtQb2^m;>PbSz@7>a4+6xH9K z;PqsuNcpGq>g{F%wqN#Oob7kL`uij|+?@#b%CFQ({PY7uIuO?0TyLm^0PaTDIBZcX zREFPEjdIBrv(Hc%JWUJfX9Y8ubnrAvUEr|uo$6NkE(DFOy?9@NsNnt0_XF8Zld+NAEDSz8jwR^R5sr?1UdW zxCXWYxIG6$QbR(%`iK1C5?YmnH?-8gE5uO<#)@Hv4RjVsR)R~zw7){l(uZfK zQVUJzJNFdb!%fN?-i9$ame6GAn7*V(ch2^VafB}3_olrsU+Z6O=d=;#s`x)d!Y!4 zmS_GknBe?l#RK4Y1duq@q~cHZ9EkgAK?O4IL@V#Md9&hRwVJx7fZ$CqL$rAc>A{Ng z_RZ2(#jn@4-e}QkN@<^hTS+g!C3O!*1R?~OFfI@@2pslTHFW|Te+Uk;c!_LElyz>I z&w}z2(JJog48&l5^7BMEK76{rdPaHt=Mrm5`1u&&rw&CSS3~+}I@=+`37c-kKvm zZcGY6@#nVI)vivTEF`n8rn0@xW&asM|gQLlShuZKwSDh2H zsQ+E36(7Nn={X-XSz^eZ4jHn%GGxULNlZ%?RjwSRyEs<0)pigafb4zA$KT0ch5D$dgd935=6HjQvLLb?gy7`aO9819r^Gf zMY$HqNW=5~bK*m4qACntw&x8(iIFS_V8NZ2# z4uu4nF{p&W5obY3;sTUmEf%KJE!I<~2GQHS-ii6tyT~bqtwdTR2rvf*UnV4};>QMq zD2vK487C>^AaFAf`g?)zlFUWgRL3Th1qTz!)J}cJ!E@LGZc}~Zevo~vvOzguJ$)Ka zWIVn7Ffpq-t3n_j?#(n_Pmg7ZO9Mha2ZjKS%Qx`+4gxqnz8>*0kgAWURY0X$=Xi5{(@&>h-5@L_yvIev$NiIZg)xsGdY;n&Zg)c%%hO`bB?8KG1lCAu%3@mHO*dTd@N8ys#1(Y1>K+;wrKD*CF3yP8yp{;}o=qZ(h<<_7 zFhjygzyp_7Ed@rLbsy2~th)o;Q76Jl5WxM5;fGsCUG?p)TS6C3r&SB7b@m$A{s6!n z=0CbI648;MjrLkcP9AvK29X>T#%dkIt};+xvnD?3UZ*ybB*xQMPwf3m>OA2@<0@w5XPPz_)a7{lUr6%B+DCyvliz7BT5DV zEb9ulwS^d?MN~zWD+8%hxUHqcLcyB%+{9#Yt9*n0ymHW=XT9#a+0DYBpH1tvni6b% z#7M1tnCnLKc3?holFBF5pE}!Djufyh!u@%|witGTOHgEe=kF5Ry_q%A2Gw%1&Orrw zsF*-qvd#f(V#yX!{YKRz4Vi=t0i@gJ0}{t31sd8=_Q+5p>FyT7C7eHdt#5kL&b2@m z0ePPB*{|aJ95)+&z0wMRI9=vV>-)mLL z1%pTzPh*L63-ZW6z$4Ev6{PtInu*y|na*EI_i#3KG|J8jvPQ~k`wtH3H~8ip699nCX{{972rRVW-A-o!s_GS=cnXYGR1z zEoKXbRZ;b!xMEJFabR*v(A5zXs>rWv!j<4Hb>WM{al`R?fgW`usLvwON5y~;ulX>BsKy=s}Xhpx!f zJ{MLou2qIrlmw}vrn4EMtT}a9V}Z5xIrY4FU7gh}#Eq>t(i$M#NNl?$JOH{7)bz8L=X?BlRsCm(gQ{ORdYTy4c`2Z*Sb&}ZT?KdjLHO6jB}^EkX7bWJ_iVPGGn_Yr#dnnx zO*T;3=Cf>XNh;lsFwRt%1iN|@F zMFSUkblm9m{^m}rR=!o;0%`^&4vu{SkIRSnlmP0l&?A*Gw}D&vy7`8Vc5On&u7Txc z7m3wD>bTXybsnTfuxaxK8SwQO*(^mU<7y=eOC1+UBVvbz>TTyF1n6K-jj=b;JxyH! zB)Iyi6#k#$W?6|VtvsxRs;+1Kx5r)CK7pLIL?erJFGEP(m_^P>~Igh}#mbwO9T%G~&oDn%HM z3k4jZGn49TRv;MH+-qD?dq$in7#|LjK`34+l32J1fG}v1;yQd9J7%;B3ZVntJg+jK z@8KUOjh7~KEMkDrfjSJj6nNmBfkD`kJh};&Tu%2`foY@_`6CAl7oG`~HZka?ElOXA zeujR!yie*eF%tI4thP5ByeKky@ht~WWeeCw6Qg!%8`0tip;hAH@|YI#h%q$@IKoZO zdkx=B&8E5Wt{WCrNGHqWVr>nf1Q=mUo=0>s`Mn9xK#iqZ1e=Xg3%by_!@F)O5^)1y z%?=RF4HtFuxSy|Qzo;~&l6W(k+nZW6D5P&XPIA24V%t+wW!)02HSmxIA2xhDDEOub zv_U!9M4{I*!VCgua}xW3Kw7@QXYfIGzao|hMx4zMGmIMoJnyuF35BY!PYN1+7?i{} z7Y8%8HLPt`oBkRI9WOoakDcsIv=T3~WNEeAp|q(?&l-B^QvM99ra@VkG}(=|ZX&RY zuT9^xKbdQgRs3A0lp%Kun5*j#PJ`S}+dEG(TZ+X0oHVcenS+BB9({xi;Ttd}gF$?J z1rdtO;X^0nk{b=(>8>8oPJkDTBO?UD=w-{R*3Cy)(HYvSa@Ox2cht~Nr)?}~uFDj~ zKC@0%X*E_iI~R`+JLi7s=%{;ssWta-bVQ6Z#0$l8Z+?5bU8=K2ml17jVM!8AonsTso_A|2+S)f?}g{NNT=e4LieE^U9E37s(z!|tZi3TsU?m0NE+x< z2oA6{A;$3_7>sA3z=2B3i*0>39o}1%sdI{XOd_T_p{OV;rOEI2dc+)(hBUEb`}<0o zRI~^9MI%^`0=l-9`sYIjPi)xtN=c@w@us;l9@1W0nV>hc zUPV^*((^LW_XyI^^|HTB9DCz2r?dtiQMo}=W& z_cRFc*t|Iw--}AA0N?CW_;Qv63>Hc0)bmcyFr2xj2@lV}wYPZ4yqZbvs8%kl%rc5@ zEfv?)%^M#lt`V8M^>vuqmmdAO$u44PXFfLJ=pM+dw9mhY=g>1|ID!4!_%W$>43GVX zu*MPO5p9GV9z@ZD?KNm}i6z@g{6G={)M%auN+5Hlk#4vxzG-DC^IH)9S}O zmxhOrV-o`PV?Df&)d?5xx(m(#8$Sweg!ST{z}@XSgnoCK)Xw=n(od5nqh^t6Q92SVsi9=yQ3`+OFNDPrveS8YsK7o6ljKvxwjf9 zSs>JxS}r}u41)r0&b4MOW3QG^&CL%N>0tx&0xkz!>g;KaW;jjtR!B3Qi@Z17vyZoy z^x2gtz6y-=5{D#dcrlZ_WUG4HZ?PtUi0^~YYGWMhJ~ljp0Qpjs@qyDDAvS^G0^n1a zm+qFxWgBo7j``Z*e)nW`{j9rx=$Fp-9zX9K?{|+S07#m{409H;uuYk4mPXHocvV~< zRPUajw;y+oG1p0Yizt1N@aR>_{#vW9EFPkv-!re}s8>^mri7e=S{c$fF4fJJ`7Pp{ zv!N*>#A2o0ZUK(2?~K^W=0*o*x*~SJxCn+BHj3c2F}L-v6vE9u@frHB)1xE*e6Mp1 zeMn&S%m6=U-uuzxQ)&5I!j+2{fZJNrmKEIk8lON0@sN)B&-f|X1yLw_YPDHOFbq8P zc)~F7>nQt^3Bv#mhlT+Z)i8h+j?9Jwu1X^}Ln~ z+l5Pl)cPskT}&WnDq($)oVWoIlJug=xBl$9Lcw z5e7=H@0T5{#-#Ev=E1PkdaRb=FA{&s%oq)De(5U-HZ3(56nHsD=I1ADj5rK0*U{>^ zuVa&x>C$pC;TSoxmw$;2J}w-<7Iz95Sax_?)oQ0OD8Xr0Iz1gNoIg_6YNce zU%Oyz*r3VL7@I`*D-6Z`C{{oijln$>5I(^IhhID;MUb&ECi2UnTFzPNiJ?=TV+gto z6P5wj=%zyaXM5WxM~ee(S>*q3yP<{~A}Vvy_szFDN137uFPH}>TL>Lxp@5fGqXHed zwSf!)k4e*fFyr{jjY=)(h>&X3hdRQ=METYYXfL|rkG?X<)^g{%XLS+6PqtkFlFCvp zwN9~V7BqD^d*hR#QP8!JDsPFYU{Q27_`nSTc5**qBx&(r3L3c;kf15Q!PSVThJzC+ z6#xwJAp_#`=JP)a0q-_TEzFHwQk0T#Yd(GP$WXz)whON=7NkhFevKF`;e5j?IVORo zQ`sOeGJIehTSk)D7wZT~V8mECHoPU!g*kA8>Rz_8}vI0?Hiy~x`Xvb$OFS5x$#BwK#3j5LLpoq{ynp=Scw2oJAmn4O3v~KzQ zBf7~AS~friq-V%gsLGT-pOd>feO;dYPEtH~6z%dNZ)}iA%upeOMyz5Y<+m*YF%T)$ zArYAsWWy)j(@AipdFEpC3vf>OHuI=S4U^3f5M2*?Ehaf#kjJF))TZdHyLWPYaQH|q zb5UC^6mIp)KJ3!j-oYdPBKKxs&nEumHR-_4vA@^Zd)yVF5pYiMkj&>lhRh$om>z{O2RuEoF2+gt{zdcm z9|iQ#F-)NKF67>Eu~C-Cuh$ZPnRBw__n#e-5R{6-;m?S^cSb?nh<**Q%(HP=hVgVJ z!@gIm)rhw1}J8VD%$tvkIT7L?m4#a_Rp(lk~} z+OsU4JX@5majO&1Or>Q@YNukZZ(>~xJ`Iq`%_(hhnIy%giAY1#1b4=fRN+QYnD2A+L)2OL=0vB~ zPezMOLH64p*_fr9>flT8nCZX0W-j$>5~dCP_UXxS_xM76&a>0Urq2l&)@hLN9nJd; z$Ee1UMK#F{lbH$ke%WkJ^gWxNCLnmPgc85PPl3-z^+hRc{q(;}O~R2Od-m4R#VQ!d zg-ia)?{qFkm;YI34>sfIB27h=InvIc)dK^QCGE_`9gVxy_Z!e}u9xnv;(tZ!0jh+l zs4g_GTqxatQW$k|{ChpWGcZfF$}YQiq{nSej13Z*(o%ZN@a#@*sv8B^43`WtkP z>|!wdW*k?IgS|rf=gSD$y|z>qWj+p`8GPn66(_NK6JPbf? zHs#7hwm79Wa`S+^yh3b=val~{c(T|6gW(x9SxCl_pjY{;a!bB${?#}(i&tcl97uNESmnbK~5T zkwz#bC4O!__s+E|(`hAMIZrKd4$95s1=R4Z-#8(~50tM{C7C(H6QT%0Z=LRUF1j<2 z*#-ubgTyl|m9zF(n4)Dd`wjC3#5vBpY_Y&BSGp7F0=VVoef_JPpfrn!SO+-qiU1sc zgRhj#Y|kvd(Zdr_dKz+TuLi6=LF59EM8E zf>%s(7~p=(1o0)D7-Me8R(FitVoA&ehGU}}7+ElS6TuWVK7{ZRClu%POzbd_l$nx;VZTh+umQvdk=C>Vt9Fcb~7fGZ>xC;lylyb@3>Zlb`Xbx&c{)$h_R7 zW%E`{IF$p+h^PlFIin21%s`i)wI~-{yYOwY_%D?behurAEYPvJ(aC+%Ao@TBg_=4< z0%+^596X85?2fX$G|9_fqmX0f2Fk83xQFqYC^kznO{@Ak2^y_-wc!gc@+mBb(OdNu zsDbewET#ZXy@IsrLJhI_qexV_vE%bK4wY2Z^BsIogDsAelxR6SQRE!3o9t=_ZqObw zF`}aPqA=c03D+C_X?Q62Ip+xf`v>?8#RFmk-b7r4RubZB$@iHu%p}u<*ALG z&5=#*!V-j=Ml(SS$2y~yYr%A-f>?q7#N*UC0_afNhA45lbGGl-DpkL{zIyxQ=-^T3 z_>sSU(mgxB0Bi;AUVlu=*9Bc^LSEPKTj0~z>0RIRy#L_jF(=VOBRl$_BY#jzWJuWv zJ@z`-o8AUY?wQSkSG%NWaCn+*cC%7z>XP{SJVwVXFY|kU+rgfM-=Ya=0osh(+s&#E z_ug0)XU5b(Nw0ny4`lRc$_$`EwV>u5hT!zBjg??n$NtE(m;z~_Z2F}vSCT3m%nG; zgV7LJMYYg=_h}lbeU_I~{R$Li$zu{-nYncZ6j_{DA)dR06*PJWq|Mp1GOHmWy-UX+ z^jAnme8M`BGrb}X#9rM>R>#g=r5GE#Xtj^))fKNyvpQWofXxa_PSZ| zbpU~pFq7O|hH)>Y@}s(oEs=Q!4S+8_uZl-9oL-*DKI_ubxwI@FFK#5D&*3z7XO_12+WT5b$yykbXjiI$?GB)o-P<^`XvPa+~iQ89{CKY zGNpSNbb`Fw>+PBkL>#-_2fK%cR^mw>nBQG{{ba?{Y!)#nO!K@VFhfaC4^a2pz?xPu zjlQN516OT$6xB*+go@yZ|0e?)0d4geI}GI69hKBBhx<1($bG-D!FaJ!EpM|MTK!bo zq)K@ozFIK+4Hajc=)Z8VNVfZJ@7A3Fe{a+vmC#n>o4@1aAtxmyk-=|igJ5{5^dX`; zSW8<2Y!DAlK1QW=z2i6H>M-wKHJsr|%%qyM7LI+oi#ab5$ zw1M!|m%SO4UR2$HMOE_WWb|Z~XIM%AvkVjqw28Mh1UvLTG6+>yTGY9dSA?{shNKSk zLgnY~`8_>f+5KchR{Jxw!l9)}I`4W3Wh9Ak1?6$U)|+gQ2AxbwWj6H5`bASh%WC!w zaj_ZFp37MujOUJGJj3C>@H`hDBJM%n+OENI3B<0t97D*5DwoixTRL0?A&m+?&qD~6 zVH91|$}$|{36eXbyWcV2fKk*2oWy0W;a}lblHJ7_)pzf&LocoBSDVe%b%a=qEkA~5 ztv681B(K2*>2NZ&>pBW&6a*3uE?l0%-#?9KGor`5$3XKSy{ZhLt4I;%=_;p)n($aA z;}<8e-z6`Gbf9Ki!M!f-Sa_5;Uc~K_`9NtAX4b;=wv_;{1yso8^Q?lsN>Lb0(~{@W z&l((JoHL=5y$vd)kZ>MCn4-lC*wExh$CwU-ddHmM+hw4)X^^Hq5n)0^G$UTbJ8IzT z=Fd|E8BysQ!^k2NDIgPz>4{w1S5Ji_Xv9T&QCMI&oX&%Hifg)RzNVvITU}eJwQQi) zg4oL`(?iQd5`PeF+pplsk_HFUaZ$muz{-R=)!S04|6;Y)1}z1|*}qTxu(JahuSuXj zog{&p?}(@SJideq8+ExZJZfAKJHLrva$x60AilyTZC=|DvL+u8(n!-wwoGQN1oKfQ7fZASNWEM14-Zx4u*8l(PshWb1-5DMToia2?}5^Ab)ybJG`O<0R^!fj1a!G ztB6o0*(tI4f9K{@urDbOYC3Y07kI~{<{&&4G$V!rAaFV!j^-l5LCCEw1AXSl|D}Uv zn4E$SQYkwoIBEVgsizr4?`XHrdLM%bO3NjP-AtWqa0xOU1 zQQQs1u;g@3B_@1yLcThVdx!&$lQzoG;C?tk2WK!BvEEcT8esas9WBBQw28KKwf2V;8`vg|C_K?isyCxy}(gU{j@Dc~g1 z{PKy84m887B0xMI=*41fX~m#%!CH^&Zv%Ee8MYs|!>!5<^a{5&*3rbT zK%<4&cAzbQR2ba4`1rU%90i?q=ihXQ&>bK`$UY@na+v(3)Px^9!*zN*fWin=YQ){g z;rEVPZKqgE;i_&R! zh{ZGnt~$@voB~zg1uiDZGyXe-Nb#pfJo7Z{4Vhyueyfys>qYJ448=vJFwBu7V8M}LiHT+JTA-YXsQb%mXV zn302|Bb$_bzsOf!Jen+DDdy~fd6~31OK3GSV6LXz^lFA5AhWuRFqul5+~U9EZX}W~ zm|fF^9xeJl%s*&NgA zxA39KY>-XiYMerKyXiNYG$JA4tIIHleISYknjDUn+C!}g;m#J1N{Ad|EaW&4jV0?x z+Nxc(4ekcwgF*v)VLoCrt_$pSkB!%#T%+eM#JxH}#{g993$(i3(y z{Ad=8`fCJ@MdT&Rq-{fU+uAM803OPVEf_%tngTCFCs>Y# z%j%W|dJ#zUa48*m1P(BUkKsG6^Sn+TfTA#hXtWOwOn}*Y5dLmS{R`OBML8Aknm=bA zxBW5l4!!l1uvipqN!{9%=P|l8Y+)Qrt+X~2lw}8blA(^vs-cj_IoaiIR@VJWZHxJ+ z2$=i^-qD!7`d4|uAWrd*W(d@E_V>^H{qEk$KD61O|HJ;jjQ2r=SXV7-T1ARxiWLL~ zd%Z8=OBi-tXOs!Ih=9EP93+YteMr|bv-m@Nmt^OF7@HlSiYV>jwp)OGrJ15&D-6;M zdlvJiW|WxhA2?XJ@c6=-?xPJ?O!5MutMpY`1SwqDVOy*)%2@((hL2yNZ+B6vh+DlH zjIT$pc{E)FT^F^8EO2D@QUsF~O=UrKK!$j2i8b7SalzQe<0pHoS{dUs7zUNjcJ5KX zo}hX1$Z}>F)!F{(#hL#IUX6PYp%efZ6irFQ3>MO53iUg1AQcJDg-Af$TX0|618-Hg zFv=b>l&i=LeR-+h)&AQslm^Q?8h4|3#=w|G6T@%BvDG}-(-tuRAS#JCR$&d#qJ91J z#jwew@Is##LI3s(%%7bQK=_~xZg9htY^Rgjt>qW`RDLU-D54HKxS}q;N$e{WUqUEP8Ms5 z!+`$R1up7sx;2$F|1|Mq%q*x5B8BH?2X6tfw}c8&S`so~4*l5kK9BEgFoUD9Wu@h} z3+qHyqH?qH;dRdpvKh_IO3jtOijk7wuNH|vel_u)+hPQ(VxvlZzwO{bY!2EK+1;;J zZb3F zaPVjFBNT-1u3tcyshfL>HIO=h$ext6+f`D`%eOF6C(VWK$k z1K@lR|r75njM$JotxAzlo>`;5cHl}-R zmtjmd*Bh6E*X>?mQakLxEkwdPvl;J&o8eVSs|Q=EB`)=)*N+yXE3ms=M<%gLev#<$ zWU|^{WWfH`p|rBu;wTIZOi5bnUE><>oVk`ORRa<{QE-~QGI*s*6QK$ z`9(UdvL;m}m%@fFm=A=Z_erD6ASRRtBzkT*hH-Wbgh$LZ6!g4{cT5%@o9b$C^sNfZo zdYF$}^J6uX5}8yczZvxRV<=@v#WT*=P=Vjk#VYPp;quvAnC{Z)T#V+)&pLbL!)91| zOI`uf-JSrB)zA*2Dzmx6gS&mJQQJm(#Q$6Znxpw(SmP+lR-!an(ExSf3Bm)Dajrw4 zy---zO+|}BJo6xPV_APVhaH_Rw_U5X!7)QV^ir9ZWan;ptU?;*<@>#!oe+?SczrF; zN+Hq8se}9gD;{EdP(n@gvHn#CJxM7y9IWuuJyi5JPyqCh2^T3;_@hj2xi4>TZkDzx zl9eh-ON2-<51uEcPc=O6m$6fgTG>~b?VTH+j5&q?aLbbCku4g&->wNSX%25x&@q{X z6+A$)%Tq3;uQCOi3m3WEw8?O-0r5}O-}pIvC1b!}DsQx_^;Y$bovliBiy^n5oVUXF z;ou+|%{q-<1Fpo!@pknFbcqmDC@BP(ln?M?vZbCARLXe^L~94kT(#=s8%c2Gf(Q|A)5e2``!$^CJARqN z#4uZog-nLvn2>TX%UVc81sVjtmD;Y#Pvh|p@s&8PJ4kL96J_Q}@w!TUfo(h+GggIQ z7g!Zt#v)=u)nrp(GQ5pNFnFvu3Cm!Tq9S10yXmGTU{Yl*Ee?vz3B$9i1nMgZ48dfc zrxtggYdA^Z0s~}j&Vv~?Iksw5%V-0U&`O~mi=%GKWbUO%O+1ucE(omR;*Q0I0dd1u zAkxL~fze2^NI_)d(*>2rIl8i~yDd(0qF;5HbnjNS{q3!*0;ACviNCnb!djS&gn+OC z|1t4%%#20rtHobr6HUOhq;TKTVsu`Z{0k+`g-@O~=Me5-fmQP=&P#}6IXK7*(!OGu z`>7_7tlQo!VlJYlBwODtV$Zu%yqPW8n!fa&JAAlO_jkcuS(b?Rx6P|KTC+zNJkPOj z{tX=+Bnm+?5V@s|`xZVXnQ&*d)mJ>3m z@}TlPcjYMz-WFNNMS#Hr8KHDR(pVQkiOtlF(q9qyL+L=eEU5RL?A#8+tjg56lA^-R zuWzs419nUA?P&kewN#F4cs(6zBuHz1~9F={I*;wet0L`tk+_-B1ZyK!D#f zADU6`rn2%RsR`Mo7OKJJ1c8{D_*& z(1)Mzb&mbL&fep$-+^!wdMbf4gCzJuRY#J!oZIVh({S)Ufm^hyZC7-lxH#@`5jf|4 z2s@4S4{;C&2U$rj@)p%9uy+{ch7b;Pl6~NT@aW%j*6|6E<*Iw^?JHt%{$XaDwgv!V{ay3E$Y z&%Vu^pm7X(lkJA8${~v?q~t5K%wHi+fG{Mp&!?4%PqRNdX8OVA`leqy4&Vp)x5Z)8 zm~J9ASjt5xX+PxN&9l(B5B2NmhidH6ALC-l|Z>w$Io3nl{m%*{qf-yfU@KPo_qnK0ajh^meZoufeTZ81kNTgs%{qWDDXj zkFWrS=t>9O0a~EqD6n+umw7x2bckL-5N!2K;(E zSEAof`( zg?F>LrkDLwxI#ut)t`Z;V2DrK|1H#cvq}@f#XOL>*-J3x7tJcdmvBUORo7s5;~qvo z4Ef_PU^xt~G0trm^hF_Mm&o{u`Hi*DogO$GcDV@3uCgoM2TFQEQ-v|z0_NS30TIo~ zwJM9$0-Y?9OB1vwUa5KBzY%tr{VSyx_BQ^}3<3GGF65~Q#rHL7+59QB8ixbJQ~|ge zey9$#tdFg=GLKncz20~6W=S1yLO?QLUE@losgc8=(Qq5FgZul3C;r33{lhaI{IDc7 zcyaaGPeX;S!9Ve{PsWDNN&@2_buRWEV=U6ZIHWAOHY31#2{a0AghP^o(FhajvYMui zA_H8qlLX~q=lt0rYC(KMM>l_9GjU>o8ozGdp3yQYl_NC+`|IZ4asmxq7oa%L7a^`K zdi3eOj()y_2`=7)SEWU7La?bi^w5Lb#I2jH8(J_LQ-x%Bgw}L{z1x`hY|c)1Q0_3&2#e;Dqn?dUM38i_~c>5K?hL`HGvB4B^IEt%wBjAMd~-NW|?m@n;OU zLqLS=y=7A+!PX^=L*wq!xVzh-aT<4bcWKin`THR_+VdM~R_#RXXRVxmXKJ!PDUoc$HMDzH>o1>N0EW6;4r*B9v5@Y17hA6F=pQg{YS} z_3C5!Vrvtnw=Iu<8lCVb;2T?Wgw*nwJr^UG;ThsJfx*JpgQ)cr;bWt5&=U*{fA}pe z@kkK_kwh;FyO^N{aTUHhwqmuOmm>ps-xB0P6<_cRSjK5n7RPkrY%Chh80~So+MW{(6t=5r0g1PcsgSvi&8V3&}%G;W=gp|cyy0V5` z{iy?Ad+$xNOUtE75j4Sy%*(=>3;&ZvcmE*%y(FUWlU_GGi=DNUt;q+ayBW1$4 zZJRgd(f(4k&vbg@J_0*V)HU#@SOdp~Q zymU;*-G!n`5Ln(VNn{t>-}(+5klS(GP#>*1&wIN5w77*ziwdp~m~ft%mYlegutJ0Q zU;#SG4ZAi;fCElPtiUw77p47$hu_7e5Fh_NYel5EJ??0%&F*haS(R4OD0vU2bl26g zE$|}W`rj`u|MYx2C*v7;I8dIfzC2A57yo|~h7RWrpdhk2KXsC({Gm$4Ys*Nhoi{H- zn10#Z8EdkWFjRQviL@%Yz--)5@GQE(eyJxI8C6{q$gigbHoRgVmpn*uh%^zoX>*3+ z$+F|;6~10Fi+YYqLRb9LK*mc*6#_q=S4g26hT)$vs&DtaEr8Q~S6|;J>Q<3l#Xp;f zCT_j`gMh!r6~eB+fuTAC)GQ{;X5dA_*h_k*Z%*f()_<2l-H|&HlOnQB50(PhupfRq zDm!GsnLHg;|BJ#k-fQW9jSpCixWr{13cNdUCh!<7&(9CN~ni6H&2hK z&rTzJ>9-p5Upp?&AyO2u#9lGA1X7{!!!L?bU|Gs{xVqES)5+cP^8PRfBFDF0^0&%f zu~@zjQ%7@&1pGFg{^7xExG!NNMc|)O198E~`~aGmr{i`4S;&7k$;qQfrVvz}a)~Eg z(a}rAeF&eN*VGdg%tYM1C4glUgN)u>z@~wq$;H*%9h)Vpe_eN7*=JP0tW3_7tD^Nc zM0DF9+}Ai9tu0^|rt>^6!B&p8anuLlomU-~JRXHD*RA2<5Q77mXy{hwdTJH66*Bwr zQvcoE{#sD)A9{iER{?1nalaGcW1{z|2v$|Z1f{t$Y zg}27`URegmNc4+b;}8BB9EI7~-%D>U0WV@%C_phz)u@ozXiF|bfmkHCf{vr6uOlT` zN}bXg+`4mUa zQlyO}N+H>aMh=-XmA4G&eAAQ2kv2SCsl-^JprlwPL27qakg5twZ<2v`_oy1IIiFkl z#>#xhv3b`a{=JlpxD~ z1cD4V-{AvMn}r%cE@ygyPBByS5Z^w}Xmz<80#jL2sa`9qZMP9%C5T=bdCZyfr4F!!1%wi9aRxGd#zfx`({Npb$ zWYgX99aZe)jmYyqk_0w7zG3`1#|7JAYjdP`Dlp}B%lbCxapPXA0MpEdA9#^MbF30) z@Y?pgyaN`8A@dzg6j>k=LNqrcm$KEOL!t%jXNRKU2C*_Yz8w_|eu<=SVN#cuwdD(} zYszoo??=i!&_AD^jE^qg&ql9T#Nua3NjacLCrITqMWl|q@ax+nKA&)#$Bh&2x*0rk z=)**zGaJf!LVCu&yh^qa*KL0Etg=rdPD3-9+|Cvl5j&>u=i_TXSX0OuRlv2CKW<tpsHsAIjW*7o5SP!`UTg$5t9 zjPwF*imsW}fU&w;8u@MA6LzI>sMS1Mxo7wG>F!ihSdZ0zsS$(@k-6g|@C<)`BdKjH zzQs7C<)&*)Q4oe1Xwhm4_rbojL4-w95Mip;+xpH&m!SU{?4L6MJ%LClZp+$gE!()W|~=GW~d44-a1{8rLm zG-aN@-tpFO-8iQ1579NXG|yBAB#Op8VcNfA6f3B1Uc11TQ4wcrB1&;5V1^v?fV`vTlco^+@exFsI~fESoRJqeQQXa@kx%#S30q1ri0jE*+dZNEs-t+2 zK);8b@>JCizneoJ*%>VpJ(*E1$IOCB>%5E~xGw@IKt-c7M!_{sI}9VJ%?{fRJ~WMYd?bu-a}TyZxBY>Oh`dbqPKaV#h)A4QHk6W4?;p~hezCQ@Q7PzoDHSZe1=ea*!;T%z9PYL<| zsRy8&ssCbdz@U^dzY#NO7&3yDi6^(8fv907HSG@ksX~VVoxG_THntP_6Elg+;hCl* zzgM?%%X#=MvNo$k9lDQkvci$(akDZR^AytldlGH`sN6pgti?s3HKbxd7`(ttc)p*h z-Z3UV`LeaN59sRcd_oia@rX8XptGRF8^=O2i>S_CU%b@`b`79&*ZFsEv?sOZ%h$sB zmw(u4tKmDN=bNHnL9|xV#fC@o@+(GHjt5|^5X>mc$L=VZ_^NOD)ULa8bq370w?nGn z8bTsRl_lYtDR^+~2rM5@6OLC3M8JM{y$9XxZ>>*4oNszp0&2m6IxjzC!$}t3DlDNC zkiwPR3Dr!};(XpP!1y<&05Xb-X^Rc{TMFHOMu&~ry9Qp#ysB-?&OL2zcv{2IL2wJPu*MKZ!>*Se^2OUJscI~^@QUdjF84@_Fbuukx> zhn_c@H9D%XL)Lo8e}-eXx-N_%xtnuT6MF61L*U?DTzB*P?{YIV|EwiOchtOOH>iEw zHPmCqjJ3@66H*J4l7sX{aq4tiL{DMlDv4Ro8fYrA-RKwPDtM?Z+5+n>$TQ zU|}?Xz^WBsK%=@VvV%iLZYJQ?|%!)BA*dvBuw=8n|$uf zeibh**tAtLB%lAwo29sR3H&z9l(E!&Lj_Oa{|P(+8WpB{68hmJYNxdyGr!#8-oa`Z1in}HX zj0%k3ZBA^)&Lz1l*LPFn{}qxKI8v(wekfvPvhMunSPojPT|G^7g0LSZ`{F=g4w4-L zPAmlD`0rTXq&r%^TolYJdc^LGn=gSlLOAyNWD><`b!gNp#Xz;5mHI!VE18zJ3Bx)I3yO939Ibnc@7iHLALa;_e~Eb+qu;|0$e8G;|b@7pj)(B-7QS z$HzL=I)kXUd>*-8zp5rtYM+eA@wG`oH2>nLolXR&y^ppV@OBoy2T>|RdZUgtP$uIq zd%hF9_&vq4doYOJaYgtyXMA|s`4aea(;;mSUuOA!Im# zNEcR3&InuJyVCP1@E_uq#{M}~F^LD-VX55zCdMFX#TX_^mLg7R+`3^TjNjg2*!Y_h zLdzpc!6+~~ReVt}udFDPp}mI(@v%txrrEtvec!FA8k0o156Wgc6TeC=i=&*u^9>c% zxYNK3HA-%m81Dc~k2JCGss>yYJ!*7JKj$*Opt0y$SaHgpiT|kQTOl4lqGZ_g~nNCO@ZrFK7<6=j^5^n^O|ZI$M@p!9D*A_tIOW1 zDYChNs|NE|UbD!Z4w(Eslgv3}D+Z!^8Zn{lV~ZdwurUpr(vcQ7$Kg2{zwe3N&w&zj z@$2=fe$kF@RSN!~3#*;^R|n~H8#rk8h(xZ-g+VBpb@NpagoV+Io!atudrH3OVuswA(xC3( zG9|wUnxhcMu8*7|1KOnT8?7j-C7RC*DQq#kl50vXTa^e{cplbIUzqJv-O5YvIkcO7 zKCb5|bdaJ5!~F1u`waUeObqusWU$n7AWPD_4OlPs|JdPJ+^BWNA=R zIf7;*z$6HGVByzaesea}_kANzUcvSJl~|k=0bk85%5o7(0{(vSA03VIu;D|TD{6>r zZQcdLO=LbaBnuAtA3ryU-w6`3>iH+HQrMASS^C`XZF7xC#sRx|xf8-KT*S=jwOpJn zk_V4m;+?Y&v@E;S;Ut!B#~{wxwbKGUU$vDF?VXa`E?M-XWF;1z8v*+>dZmw^4VL3M zceJE|=m`)?s#Ar&*VbdU?L=Y5z!Pt*N-9_Zq}CaNz~)Bu!0f1VcYSv(+?y_H6s2H$ zp4d;UY^C1n3BqFo>_Q+k55c2HgD@_vP=jx?5@lH!^b_;SpS=T?4y{3Xh>Y(sK!(ec zRr_X{My}5lTXsQbVRpF+x9eYvB3*8Hs2xEc8h&F6;rsUs-SFSA&10Q zyg}*2aZV|@K(iK>n5F=i29Cg-q5Oz)KS&#+sP*r#$>tKm{BgD#ui^ax#LH`2t7W=u zbJeWee%qd(kN|UATddvnKYs$EfAEd$8M=QmUAt#!)#Q0ozpLCSK?M7i!qB?TLyzrX z9Jngw)Dq#DAQzb7H@Nlags09D-$&(aJ!nr>x7uzh6+U1o3zL9egIi4g+R4)#)qwSd zHsqXTNn5B!#>B%xT*=b!kqi`*HP+-tj(zd#`_F+wPiN?!?LfC1kqVU$}O%qL+yj;WmzwL7@( zde!X;QNj)f+EZ!{_q4o%Nid-pdi8R%Od2%O3-qOllpxiVzDyuN8XuHGO8$rrufvpv z-1e>5g31rBUo^5k1_CU(_7G?+*5Ls@F=11V^}0_*S3P601aLI`mKUIc;H4w1?c5DoU9e~+uyx~?q>nUk!*WGh*$jM(KPR(-7 zmJKO268pwt+RAD!68A$PQ-|{cJPMPs`IFX)iyCC-HT9vZ_bZ#TG)66a8U0#)NoAS# z*8CuBw~irSlAw%o_aD}4t9@cd=`)gUutBL`+TS{w!KRYy!DI%pT+vRD;ZUgT^xc7) zFqu2Hxms}&LYLE3-%PhTNXz(rPk#%ZFi8o4GSPM}9v)efMCF?hz)0U%ho+&xg3Z*p zJ%OVi)975}c6(+tXb!*4M>hWoUSX&tF)vG3mURct?nE#j{VDvnw#t3-IFr_2S{0GZ zy~i+yAVE(`cd$aHNrhVR8$7)LQ#A%&+!F1}9>>7d(YBv?(iAby@K|1kk8cs=INOEI z{oFp!w8&~jOJ;)VRF{DLO1L!f2TS=wcC_NL7p}|ytj$r375!xD>Ye#Ymc7C?`%8@C z19S}v@%OnoyJ~UX%QbLeYAf@eAWba+<9IN$WTolB`>%fa@lz9u*hr)=jffvUH2Fg0 zDz#)mU?|kTE}9Rb*@lfL)9G){LT_$KnPxoaI-Do{51&4xLg6PQVFW)k;0WGg!fT$H=y9?ll3UmPmSk9Hh9E; z*pQG5Mh+ZR@rY2m4MF4qJH) z=Fb`1cU1}BtSX|eW9WLgZi~EUM)fPGz=zJ}f zl(53oiy{cR?EQjxYFJf7CKEb3H!Gm#?_rn`IEp?8e|&Q#afIzgfN`GOaxT2J6ngtv ztudq_A4-ch>sOS|)LZNqaLL(k8shCKm-=Ixex!Kl_eZVy-~2~mCfV)G zc7wm?n9BiUvDf&HM|dbB1*=SZhHmlJaOqgjxWSk|Jig?X%=~!kQ(}T3h#W5S?p2DJ zy^;GDIedS`=B5cbV*VmnA@w)RA)DeF?D(?F(Pfg{W z!3HU7rgnCj^?dadvANJ6YUb)oMRwzQYUzvFIc;+0u8%S1*sY!Hg8T*N=jrF^i%jQA zm(l^HnMN2aKgI(nGGR)wjcrrdg6Jhr7e&V?F%h}J`*u3n(1j4ou zNdVsXX|_*Ykv8j(E>toa5qPXfjHP6F{mUjXtZJjWuvpfPOuk=ts_^b#Rggg9&7)P2 z2v4S@oWA4|{6X;>r8m6!7YH{;Zz#a_EYVI#U@3fk{ov1n`-XVq>B6eF+38wyYyv^P z`n%h5X^(EVvqlHC`|{D}&WNr~FZO?vvDL9=v|E-wT1^NJ7tSn-8Wm)p{`trIG- z#&g19|4XXCvuh{kuuOaZgJhn>FJw?`AIEsXK4H6}rc81oT=(^z)hP#kCCFsrUhP?$ z#Wmwvu`hCA$GU!CF%u$q+7 zpLR;Lf_)`1vE|LLf03`>HdSvK2}OoObW%b$VcJ@K$z100_er54S_0${gD~vdLRFVW zipe2xn+(GoFwiT#mGVG})+bq>WNxQxTst=KJfJZWLo43F%#88*FXi&U_U)rb2i4i> zE3Mtg#b-RKs%|0NHwZCZrec?gK0@9n`ekf^6k|~kS2fA;w{~g|1kA3^aLxjoik~-I zGr-jV&bFn>HrSwKdVT@R;@4-Ptt*pu#CRf^Nn1axct_|3?#-&NgqRNLtW=%h9$2!P09*@VC>RG z<#ug0-NOZ?HHsEHlPT}*u}3El!z6o|_ePt@KuA5`+kOQrf1AVO`R*Aejv+jZE(x|1 zzA&Cu@3=!t95AXp*pqHdC%tKY~~l<6pFRSP9c;+o}P(Q zLxTO-$FDqw?>~_7MnU-tR`w&6*EEBcZ^4&cU4M+$Z+iP-Fa2I-ci;7R{jbPkI*cX_ z55MUb=#+#nyS43xa|3V^$DsvKklCd{T_1Uw~eUo1uju$2TXW!X5Q0I4Wj+c z#~CgKJv=^_k3DIg1p@r2c0+Vccr$ibdmDx318=GBDDf~)u;j4@r`>PzVWiD5vbXeZ zpQjF;0G18(u@m+O2R~j%uZsg|D_sU5+Z0$LKTiy=as}7Tb6)aUjtW4Viu#tiHqO|a z&#|yUDT!bk*}JPFi{6*gr$w9BPU#tQ(q`=m|M`YXrHTY&6(8{XSr96Ue#5%^ z7$>+&cI)OO*%g!H&YRvdh74U?xO)2rH|L=k@(AjJV~%9L)~8gb>|(`zW7zX`aS9I8 zm}25?zx|?K%wh|X%qZ_@Xbt35=TLsz3CrW>01PWOSZ${;%Ni-UgtyDzsCjw0y}0KBeA{_>kuLi`kj{@r zZk&H;ySpfMHaxfEB7Uqj>eavAsVY_BtV9d(@uXK4bN4A|H~W2R`Tec)Nq5Rd!_8$` zU*SSqQW$D!j2-%@=1M(N!*4pVK6rVg47HDRW45o)Ok)@_H5ByUkstm$Z21aG3sU%lyG-p05i+)i;f0{(~T*nQ?-d|C;bzF;+dp(|Y2SP2=11$s;;oB=e)CdLK z#iRKuhx8O)rVTXuV&HSYjI{WMBzK9rBE(=hb3p+0Cqf_1{e|)ya(oP-S8s<&;EUg( zA>Uv-=UXbtd(m`Ot?)-b5++CuHVR2{-?BZ`?ByJ3WWiN;*SXR>YrFPn9OXhNpLzQI z0OwK7F3T6Vc2pneu2AA7SNEC{BY?`A;H+dROpxRKGWBK8g3!hzxKW}tfwRjW%b{DG zJZAQk@oM4zlnH9semKKX8uMJ@A0J;G9L!GKk4lZKC2G69JEoEsT|!BDX)eJ>PBvEX z6kGmnn2^Hx>T{w&G+6CVWt7W*39TI-T6?4h1KlC(!zScy624d*U4^mxgRS!GrKsz6 zn20+#KRy@)8s!Fqx?VymEN1BWTSU5h*xr!*av8EukB+LFC3lzoWxC$(D99xsFlFQk|~3Rb&sJBh9zuLi%noSOh_o}km5O%8YlDoLM6 zDrGrUHHd8dKg35~jOyMw7R5hM_-}zT;!qWvyNUwcSgXI}ql(8#gt|VnXOTX%>3qN2 zIXOyK(L=2Z{RB6)ZB&wG0x)tZy#Ww;|0Lv?Ln`E$SA77vWYQ&q$2m%g zj+NXYK{md@E#jbMq(Z#VtIxx8<#(6)WqZ@Lk~l_;^~m+RnLQUb7kLcbZ$w#h{FQB! z>t5e@0cF35MiHJj?tJ(PkN|=nZ}SSdfD$K0FC;Bm;KB=@T*|&9%8uua^w!3qP2uBdUVcl;N=Y7Ya(n-U% z(*(b?noqEe7uR+tz#~#&*eXAhZE7`b;c^~%^&TdsrN`&9a~Sv>2N;hjzLzol1NqJ6 z116{sfe>;|vT(M~KL11CHIZC@9RIp^8L3mj$NPEdL`*&_dNgWtm{RDIR|3EEm~}^v z(BMp)#csX6jYpHoH9c?FZyh;S_5(eOl!X5Fykat{dj7qs#`}7-KlbX+xk1P0l{ZSM z)^5Ro3fwFksqd#RZAOfZJ|IMJ2;S9M$jR%h(O4;(=c71nQQ@0c<*FA23k=ns;m4*H=r_!i45+Cw%PC zVy~H+tdd0glqwgw)L^ADTSg-@@qmTp9gnrjzV*ASH2RGELj1)#5|)@%S7leTqsFcR zLI8tVkW@yP!TlUs<>~co4-yi#BiqZg$#fvygyWU>FODBVnWB=AAJ}KUo6@?#Ra%8m z&a;cc%N5YG*1{2X2+y z9X~#SqwaDlF(o`0?q&d{T93d^Qu@o>;`yTQg5DK??}i}`#)SkFBq%VSi=kx;>^z&F zzP^NLu|YF^kQ375&C@k1AS$$WG2l*YppmMuL$hx4de_McK~6h$HMl+~8BteH{GYKv zOGq*v+~&5CXFGH1u86{n%Y|Qv^Q>hv-^+V^g@^x2mN%f{yA|Nl27Ben^LOijOx=rn zCtKgCNNTL<)@J9>ZR@%@0F6_G>p#l}4!q+4{kXhdP^2Y^Lib~kAUX`p8xfqdAY=yG z=<}epr#wk7uV7aXf_L8Pg+5XhK)4*m&K7hsAIt4Os&H}C70}sl=b2KmzFO6&7-&5X z`EHSeq^{=xh*fd94{v^kNY~vrFmW}D8m6m34N18PEII~k*nee)l3b`!|8R~%~xVQ5z26}@()B?#mrRgd<~PI|cFH{H7HnA2yrND_t* zxMK!SZk~wy{f~33NqT@#wv7TgDZ0HSIUkon`nC{}L&ndY`4Yy&p`CZa@gN538G$)vW+8`T)xoVYm z=T2!N;*fp(`8reoWc7HQu^LQbpkaXq8MhOlzTlk}FyYp!IeRZxyNIAyxw^hhua7%# zTUkrqHOcSNA2a-Oa-Qc7{QFw8N6abU4limJ+28A2sH=(Io$-WQXjK4>-A4(DkH=@?VsC#K3gB5*zlJCm6w!>b7cQN3Wxwe^mdbS33HefmM~wOsP2Xu55iSH1%}=fk_oPLG z*KPeH4CMiwqeVwNlr{%6%Z+2XetrM>{M$Fd zL7rNeY;YFCe+=@7E9tEMbygwgl^4oi534lUX_1RC*_bJ7WKCUj^K{9CS^vH@^I-ml zIjy;p!`XhUragG2gyVrUiP*I@ala<&1~3xGekLC=^^@OKNWUr=el2AO+At2RtoFri zE#l?l1ye9eUj5v3rH*RAEVH{y@%i2oLm4%Rw$2fbn}Wk+evu% zp|Z_h26aa`Y3pV4z(oxAH+?VHhH2M_ik6tr-q6S|m8nhXbZth!VDPe<9lu9<(J>4N z*czz#x36inIyJ9k^&HBC?C!%LI-&C6GZqHVfrSnn{E1xd%hq3Z zB0(LASLahcTrN7uHf0TQ?zhms^qKlV0nHrZoQ^^FvVEgA9G?0TIT?=I%6Hd}hC0UE zQ#nN7Nx75_3FeN?wgB)6i${JJks+F+bm8A?G3R3F!8TnqdFMrp_mKeLAe!yN1FUnr z{o8H>GX^I!WEOF5Rj3Y|>F%v>CQs@rlk6&(N$1Ip59^nQH-c8n%e2w$7d6DMYqEX( z*cb-S|5F+^evfvt3d!mY;i&3FO6uc+#0?ekauL4HOz```C?IjJ)(b$LX~PNT&R zk0@bI+2KvfABQ%n6YT4+znz}h(}4bOACHa&Z@uo%bWbPzUrgj**PU@_aQ+>EO~oV3 z{7dUY1<41#aM%o*-yy-Tg|CkpXii zX3Raa$Wy}ASfQ)<+I^kfPuKw-@v;O-pzT9MS-+Z5uHLKLDfjBaNXoO|?#AXuB!jTU zQ(vdyv~%)hkyAZjs6(N7)5$rRi+&D&@ZiE!!4V~HYW}kbDd|EjtnFCfUGbvRVaM2N z`M2`Nl7k01@+G=5zcjZU{-Nk~!m=A(G;m|$$7NZ&)sy~Jrn|X?q=OMRQhO-}_xj8~ zQlFv=k87$FmOqCEfxP%$QPB*a8}5=PLg{B)Gu4tvLLaiQkr;&khAFl^nYPE=3m+`s zZKBiPxd6-Ip@ifsa#?-dW#oo*LL2$h;G4RKlf`ll#Ku3#Ib?)VP{4$=bt^7pQ;m#} zbi#naD017r{SWRyp|Le7A;G(cJo6^nk-=W z3U0@SKm=OzPR<(c-ZPxRs87z=wVIUmqsrEzAw1}oA&~xr+@!EYocO*mpft?FzVzH)VvD% z?IDl3-mDT)q9K0FGD*e7MIgPiRV%T<-@+fHiZiZ5|MHx!tGSU9duCffrN|E0fq!L~ zj<0-PV}ik2K@FxBw0)$wGbUI=-6-lu_ISg(c%UDtcex6X(zvpV)pn zSnKhmP*wMB3}-c|$A^~92RD2AzH`LFt-t7?@YBR(Zv^$C6lNQ*vhyla18!nq|@OHo~Hi zkaKu#zZJ1sETfr;r_<f9F4c-WX&t$hSde)#cGi3rj;DQFB@dv`sVQn{-6r*@NRg_BG!rJo@GJ*(>+?>6ZHRg>zh97VRKc`yX|22 zBfC5X4anPBpG5-ty=dzc;Ed}sX6fqUJqSz+-ZuCiA9FNn@#oIMs3^2dH{DA2Y65qZ zt07(vHnlds^Kaw@#bm}QKaq`iLq3vuW(IN|L4I;}3iPjZz0s2+#i6y!?rD>WM<6#O zI3W2qcz2AfJu*yY+OY0(fVs!GTcx+*={fT#1Q*>V=Xx*Cv-J5edNseowc?Nd3PgvQ zkw1oR(w()&0J$ozesR%3u7RYLYG17)>$m_NR)(zM`@`uo>ayj2`B#`JMrn@;h!g@B%Jm^fj+!$U}9JT zf!BEXf`IM?UW|g(?`H(l&Erg&wwL0t(b%t?Qw&Pd1=;TCMTU2>2Nons#+>mZl?2=U z;KdE*ua@o@QjdGUt51F8d_yDG#(JJv%_LFM%5v(`2YJ%teKEY#H!9co;dyeGxHdx* zb){QQHNHGqJ3wXrR?EO{Wy6z%mtP(Dzcx3(xqGVo*MG9!h7#V}!&iz=Zn->WZvHq7 zlF!!L`8;pOZ@C9U;Ur$rALe0!S!PD3>=U*36zhfk^s)~+!(kRWLqQ$r^H!_-H+`eT zrX2Uat3~wNP1+=am!Tb5Zd7LE5T? z>Tl9jz7;6B+CXF~`t@5m^)6#^zNpFc)st9%{C$JK`)d$*c7_A4YA_mhC`?^DAWuR0 znjkLV2tgIpoNeCq2$G~1s|MhA=K8ZS!~sjxOm)*%2WEH6FEBUy$F&Y)n;-X%uBj-F zPP}i5Hm?vb;v8~iGtV8UA70N%V0*!pIZ}a^hat2JoDOG3rXq`F`y3KoMMe*ObN%Qx zT;wj!fhAcD>r2}Op`WAAtBju9FuB+=9^>`|)dBOylcCs27blY4tf9MMIiGBMUG}&0 z7r;rJJ{EMHEkM^m#()OD!ph}M+Y6P%q6QISXAnxywEPsad3ofju^*r_k0f~58h+F_ zg!t;B_vE*79tXbxpU&kB4pIMV!q0?_!d~Q(jnU8O5(OQU!y~_I;?8Dy2)$1_lgnz^<}p` z4tUvHP+REMI#Fk^-D(BL)4gY+Bp?7#;KISoplzYmJ36)@Ho} zK=50#ttziLADqq^oy|rPjKC!}*$h!I{$^1puKzPA69x#+9%S*Z+~G2FCxx}dA-P_X zxJi_u{sz}`|I*%)lU&xQa}bevjYM#(SuHNv8cr{K-rxO_*zZya!7hsq>V=V2axmJJ z2j{B=aT&lIUEN(jOl=R_L`^NdCiGr>Ec@>Od!G~hKkkm_KR!E=yhx6G|2_t8!T!JC z{|&p}k*NAveSJ;kGpqb32sGZ-`_Au)tPM;bvQY)j~p50)CTS{s6QOd0Fe;Bwc1>08w5 z)vVb67g4TBWvcD)Cp#i-ZM?PUmLea-n0Veoe@wdOip%9U32ELzO< znREQig67(|DNB!y8JVdBHWl`Z_wXht0C(|tOKOTo6W*4Z;DPh20en zkQ8=g_6O%0Ap7isMZ}&6EtC4^PqajpVsc2S8Fbq2>!$^Ej+t-9vf^}L-GUbO_xc&9F9YGrm+RL^}ElN{5UlyQNm5b`w9N_NvSgAlOzy;eV&Bi z2(o185V1H^_dOa)qDB@mULloa^uOBiQ1j$@RNt=FrG8YgXEUkSeDg!HPdK$)v7RJj zKvC}t<;YeZN$BX?9*mxU&GozbN{Fe$qL2WdpjNgIra{x!pcBKF2UILm8^<$Nj}FcG znRDOwQ5qS35%!i;6UVMiLeU@!K=M=cOrPv8J`TKf-cT;X3Eb8F*0rVTlRmMd)_MFGW*D2v+cym=wQC# zUx?E?}hEn;AJh$Ejc7pwfJ9_RQnus9RyO@;=CLx*dgw*_#K&7 zZDjuw@crjfq%Ap*Y;n+L0n8;;$5j5+g;J0^hP@`mrFyf})_F(YQ-w^fuCuNOcbs)N zy1f_+1NCRn1}94`JbY^|SHo3X@`(;DN)v+u)~l?U^yCr3|1ow3ASpOvq%U5$Jn zN4>iW0N*PQ*Uwq+^4(#Styf3atI>86ACg|!SWP&5a$>?s6m`k*MM9HS{|b}&;BZvg zVT|h4N)}17UQ@QAl|-Wu)h{ixLo!+)1DJGPy8cjrHG4k(_dxUbL z$7x#&f&@9?m&T3(!q?>kmH7jTCuNTJgn~{&fahm#&?B*c zIY2-!)`v;Fh@n?r24XgY+CHsOP+72)Ju8Q}0y&21(4Chg=NwI>{)|$z5CV;;%e9q{ zuO0Dxlpgv`Eb^#`!MxP>zMS$yOt4xipHV3usxtn?K3Fb*8K-rcoV5OItQ-k zbqHCw+p0La%dDtVF*~Q3xMb|VxShnO z@Z_PpZl#&rnOy9P?c&7=S;R0ofUuDlo>;%tX%=-qWsy5)sT4w1p>|Ds$?IQOBrM+A zV{uLU`!M?4`CXreB~UdM@s5jJda-{luP>a`j;buPNR*wC*z{P{Kqd^Hma(!(g+P;U zLDb5CF?(%rF7M@Mx%KgadJ1x*{F<^%$wR$MsqNfveAJ7K=Z#~Z3?1#i6LauhG8k!E z|B0Z?Q?O~~gL@|CAk0#zSn3;olsVNP2BsH5k4dNf7p0UmG`9+D*!x`sP9-CG<>O_6 zXG>IeNE>8Cn3<=grmoFtDp77euE?nzdO(GSSEz$j8D);$##}9?pEB{CnFr=p*|;X7 zdo9ZO$JM=US;EfEZ^I??O=_jN(!_S{>JT?lWW;mNv~C9Ty!fU+jtJ^Sc(~H) zGW1Vd`_JMuwg_sa3mGZWc2=Z~g&_+u*vj(u(JHzqjDT(s_V^tni<7Qg*48CzWn1K{OgO+pD&OPzFjy3>_ z*;So*g-ZOtBo0+k1S`+kZ92s~a#ne(aJio_#*9BRiHRgdy;O!sJ5y@qVq^LWxy#Bb zB-EJ8NY#EK(YAH+X)N|osI$r$-#Oh6&~=g8CF$!e$GxmMKq;nj6&{_PKv)JDO3mUg z{FL+VSqeK9SjCHkR3_<6>8zOK@d{%jE#2?RV`MpMIvxWL)3M&z7n1C5-BdnCGX0}biI=K1Dt$UJXo zSc!7N2Y7xKJ0n;L1pdEDD@-zpv%et=T#-X4)0=823dF70v{!KKW*p0FAPYi8UOrQ`EEjHQ>oYq+Em+)exo0VPFRjQFYo{kv#`$qLKxM3ta`C0y zKs!c5`H5J8=VYN1K}wEAGTDei}^3|VeE~?O!6usdPA`jN4BX~T0Q;Ynmlp(9LSjixl&-gV7W%m0l$yViU=c=yj z|59e;)ta-*?D^F;$BamR7Qcr+jyiERXV+2oF!i{t_ObluzIm+wogjSn|J^7-*Y=|O z?^72TB+A>8&ynZVUFp|}ivK^p-U2F)U}+dlLU4C?m&M)P-B}31WpURCK>~}rJG1j?!xF$(YD601 zS2(58#SrH=9}z#AUNDZRqVZy?*$f4-8s>ffyn_dHqFR~-diD1y~$Ifmu9e>E5S*4zSWck?jvQiwDMU9p`)}C#cmCN$tQ^bSMWI1ETqXQ@`cZ;y=lEa*zy39=0 zY>6N_K+plvdH(gGD)WYmVIUEw#|M%E_0ZUKkz&|kv&o_s>q7R_broW%UZVU-0BHFg ziqUs*_;T&?8#{}wF9XP;rVDKccsX zew^(OAOrlIaN3ZFBe`aVQ@QX0Z*fYEzFloMRw_KzI6{JG+}<^PPxae?GMizGji`QN zLJ^Lsd>izS+zN8)P>dyGsnE(0sF|JSH)AgeS#4jYF6Zi19<#X@yqce4bIpdUrPTsMDyD{4b~-C=m2vQ<=BGo z*?t*vz+>uvQI-1x;xXeB`&1kVv#S^u<)N=+`m}9qZK`_f&7G z$fwF+v%(1-_j6Z9LQIiaa%8JhSHUUxXMl;sPUtdCZk##o3>FbG(65HIS&3ta!>CQ_dwN z9!#MGFD+3<2Y$M*sL+!)PYp1v(Zz6^>Mkug!8_J&AW$GJi0X(OjyUu}+jme@jp%m9R|E3qoLhRcxB_moxR^jdiw&ugQ}vGV&k{7ZrnOCh>!vtas<5*x&|PfRt#POWPA)Mgw@c+d&f|5v=lHJ>_Lb@t7`t`~dx zL+&K(PVfQ>idRYv(G^yB-&BO7Pmm}SO;QQ?=lHtie1$~2zYx|E_s~Flp4sh6Y-HEb zG*>BJmYIAu$;P@8n@$TmNn?_2QNW@bvUCHvg2Q#ZN7ivr+O8Or&6AKyZN!G@f7_ax-UMjEZth{8*=Mnye9_ZMyIVrldk#3 z>BsKzFM6Sq=0Hh>xNIbKod@4$C}TO{>kTpJdy^zn^xcR1begz4o4qw!)LD0?*V-}% z@Q~_dHi`ga@Ep?7&ay@1VJ z9uj^NrgL5#gOyl6jBL)7uyM;m0}jNu&AJHY`P_q@FXQiPU!i>cf?(Pd-XLvG_HDk| zFO0(}Z4(?V#S!Mz)mf zS3>4(+lOLkFIt;l3SoN7A*Xbq_dZCXs(5%Z9Ci?vq4&HzbG;Ul98O(F!pKf3^rQ-^ zKW{wCGcpKO)gkAGjsyyB#GNe06gn2Ey2#~_({KEMA~)Jc&-}>X3_!2So!j*A{TP{!bA3GCU+59N1yk<=p8Tj^X_R&V^CNFbOMP&D?orGbVgt-eJc8ufw z`0%b(ky!%>sfTYy;zW9YX?H4GEfT;X=fCdhkf_W=Ofg%-fNQgQ1LuC}MemjNUyxK% z$AN7~=t?%dKKnHB*LCX5rZ9E>2p!qkcVxpdL}v`u^UIgq*L#K_W(%fBOA z=;c)?rO2SOmtIok)j~f_tjzA*y}+@PuNmjOjdh&V#wGD6VzFP$mjAF2_lPt5Dd5?E$ThT07wyB#I(b01HyVs$uQ%WX50a=}1wzbX!&y5DF zL;*EC3-fqf1r;Ysc?5fH+iO?h!eg83Hw>D}DxhlrT(It?lQH^C9zhW~v+PG!^qD@j z7gy>bFRnJl#Rw*fwF%MXVf?aC^$PwdhV+87g^Y1sRZ9D3mHTRO+u!@;O`(D3ABjk! z*z+z^!8x0d>+*WII{eokZDT2qh;bk9TBITwO9;p4+qTq6oD63b_$aVb!r{@rL`+Kh z)yD5wMtKj5g5ga03e;+)sv{xgSjbULllsDZ2_d10Q=Gdk?2o06Mi=D*`I_q!CsbZQ z^2UH# zA6oLt$|bbDb8VMF2^hXVkntxKt@WN7n#738au7j(ZRZVq1-y9|4BpqYcq1(`>$rIz z48E#Ox`va-4SPcx_cZK3Pd3gD{=Cy)nP*qEV-B7bd4+Sz^?h>~yvbIitwo3j?9ZA` zm@fYmS;E7~5lc!iQrVNIlt?z91>xs0LzqJKJ67rHjvZPbyXedQQtAHdC!|ikfHu*}PcmXER~Od}(Z7JxZ-ac_ zkMNN4^ZZd4y7tCj0y{zIv!6BcIDQgw>U#c(F=)Sg8+WRF?`GPx)dYN%DbS#vjl-uJ z96O)xO-~XDzNoy69PMvW4GL(^qU|9T;uf5{9hBJOoxA9-_BD!ExhmN28@p=+c?_n^ zSYu0aP7rY#@d~KNWn7oC`zLDY*Gm`hWMl@uHZPl2w}~K@^IKz}m%w8;+B^DPt@dPxl z{r=#;;T_@1V-nch4dT{Uj9P*YU*5L58!$=8NtA!z8y|P6@#EjkX)}v1{ zs;d+;R~syVG1<(Z@R3}wdE$Rv@{Cg$pwAO{2Q>wjVko8fF>D#t3{<_w40AHE`%xWa zyqgntt(#37uNQv+d)NG?Sa4Hciqm^B7^*L#96OmU6F+-o-B7WsLqQDTAba4jXf?0u zpX_3nhU4+<-~{Nz>6zkN(onAQ`fkW!45yjr86~J=rj*(wQW1X{n?2_Eu15R~vC*KB zZyosLi!L>p^1YFjmmbjqiaG<;R+%(ht zxe$!a3@6yfesF|j(pf^o0HBmFve#y)p|>|9=GH_swIavP6$=GKrJ;fvm1>kb?| z08BX7OCOY6+E>gmGn%2fT}@Wbh-uDb2gg6o$I7X?G)>Phjh|nn8t^ekC&9Pv^OubO z3ce!*RsYg$PN~Sc+X+-D`_YAT{3&#QX6b=r184Hcw8y4mtdYR)?8b&7$l2DD!}Kc! zbVC`DhC<0r=T!^&ybO9+L+KV&fI+O;;Vs#a@pY(wGp9HsdSFjF}YlvcR}4C`S80-;{`PouF^TO;?7D9Bb1XVx-uGGbI~-j4rlMu_ys8_LU1a=2vFrw>7?P2Y@haI$Uu-(y+;jBh zFt!Ce5_V@rTn)w?Q$^o~XeV3z*%5}4WF`^!%C?!$<=0 z=br=-=krFyS!q`sNg&4e+CCYP>SvZy!sOd*?+i|vG0T9ktIIo@4CjMue5>r2_Z41} zu&2!&eawJvTcky3SGraq@^U=oWg|Pv8)xFbp@y&TW7}vLq*Y88b7^Ip=n({u^g%h) z<$1)jQrMRFx5w&dV^WpMn6N1Mik>sMAl`NNU453XN}_0bv`pmbot5@G*@SMZhq}(x z^iYi|6j>a=JEvk~SniFJMD1u6Tnw76zRv%3dRVf_!?&qw3Cij*`YOiX^fQcK+B++~hY&x{FvdbH?o5No96RG~9)KRzIim9_LCk%9z< zs2Lkr6uHlyha?m>1isU`V5P-sS&gz5R3_$rFX03%OW3P`r;~$(wXsn@d4cq11^4Jc zyA}IcrE}gp74TPL9B*V35Th<-xw7R`I-lL}7uP%>Vgz>BT6h}VDa$hu|3TB&ZuqAW zQOh4Xii>@%oYtwxpf2R5_jB%N%qrwjhd5ZaET~a(+9<~S7z0!)&Ld)d+8xc2!d8)v zQ|ci?oX3kbaFb7SmQ!>gLg7Cbu^L}byy?k!cni4s4Lo^hTDz%k`vSJ@aR_=3k$MiD z2AI+mEufftKAVpo(N%v{WPC%gH{kg;RlI3NWvBjHwa$K_mB@}{k9*8xz78bCYim8v>LgO_T@ zS0WO}vV`C3A5W!3ANIW}sBx-vV+O=Iyi8DIK8dSZEXql6@yE*=q8<2RX*uP*@ck1g zAzR0=BH>GMWfc@0c(H_C!yVCBqvV{tR06ki(F69rF6m6_JM`8R<92gc6rF-mID!@= z-0=s!e`D;J#x6RPiCJPqbI3AxjV~sNTjIJVcyv*G)Z*wsdEKA5lkdYFZ zF)gm0Ftdgnv(#e3)od-Ey>fxeTJ-N|zd8vcGb|+HM^$q_V~a($4b!?X&vuGOw+k4& zbKWA%hlW>32>2BWOV57%C-eYq5x%N=5*`z--VWwgP%D1Rc6OW}W?gqbr8}I~3~3OS zzM4kL^Yi@lFBi?%+ZjyU{OmBqCHVp^_E950+69pG0vyE2JtnXcTl^eR7{+QKusQK> zb2vjB-?Zl|Nu>Ffj7YAC8?~FFD7xT#xWjb=N-j`7M_N45eICDPVtdsrw zT+fe7&V2i3ZF8kUuY;wU4p<3pkFN_#$;o8cou<2!e z^HQGX`Dh?Otdh0aGbUkKdp{ri6t_YM-~AKbG54X^*l&iMwtz|KI5tg7u9=-c*tOu)OCWtNI-I5}WdhHUfyY2| z^|TeAF)*X*ro>~Ey_ENOCtHhz7>c!n4&SAJ^cn`{3`icNJ0^&h=!9GITzw0Q< zX$%Cit1M&nr(E=^0s-p3YLzNio7fiHNg(Q9N(}daX(ndS5??$9F}#)&|I8y4w}hIw zEuUlOk{Rym{NaTiaay5wn3T$=(k_NxZ)F}XWh$(|1vob&fw-G}6$&>BJ_Ty4s!D$)Ni^q_&fO8PZaTs2IOJ`-_ zLrCC2>S{11FyFa@Zzyv8bV6)3MG=|;|fg;3EWiTT>FL0ZNF-M_H03hDa4 zZrpCPE(i;0+J3+ao^r7{gkgT3TNlS%q^ASJ|k4wY+nC# zEd=1=|K*ap077#*+MAOREW=n@<6PMy_>?Kw1i=uS18AidHiSrJF0$;78+>bc+p4p^ z%*XJ)BN(E2_;B#JMCHK=C6(&D6_BQVU{;KhZubDZs&@G z=tJt$Hg#m2P_SHsJ{CAkkZhU*F%t$+trDhF zY)Gy<#|M@R^=rFCC|wNdDC$@zOGPT(5>1zVZoKiB+mH-lsePAMO{PkNIx13|A@L#rs#z+lIaf?G2&d8&J&XgP>HIkuvL6f zO(J=NqW-2K#t64jNmcVQ(CZ@=v7W0pbp%j8C$F3VLlZrnp>fPl6_h&fDxMBh)vid( z(W*r<%*}(2>L-bDxW#DIDwt`gURpX6`oIPAajXFJ#{y|k#wXg(&3jdhO-seex}9}3 zgW`?6&mmnyWcVQ7_6%tYZic{XWK7eSJXZJ>?;+b5rr;Au7UbP+sdGa zk}w8Q?B3{Z;#r;KNdn&IadNuF7e(x3;xb}lBjHvUv*=u%XQ7P@aq|f3&BNuyZH!6Z zIl%$;pNWwR`Js1R^!k){!%plYU#cnxm~J?=8pb=ty3;$B4x$zeyAUR72cJ&Qx?H8~ zFTWBxDNDbay4dRLXkeqoek1!f45Z8n27)Yrri|_JO~UeiOI|~@d{3nAFSu9Ce@IIN zsr4Vy6+z5;Z8TE>zVWS;kI*BgIPFvzz@&Iprmn+3 zWJEB@%=G?-yb z6lv~do3D-x6(N?P)TqMEfaLbW%dcvY_*(bE-REd%sC66gd_fF97ZjH(W9khEt;<>Z z89bn>dZ;G3yf2Qljhex2O2^B|T$h%t4 z5-nOCqSS3Wx#GN@vWZJe=pw1MP2^9f__0g~bUc02+hBs~`EwRY?ExX6SdpMP1SFtZ zD1p+qX#>Zm&UghJI-xa_X)DHe-6&tzUXRE&`$-;7#m6kIyNGZvJv)@3?O#n1Qs{&o_(H%%o zF&%qZJj0#{LBTt(oC4Hsew47Jpwu5J(uhU&%FwqR09s?QNX!adUMf}n@>L$Ts(8G; zUGa2*i|1*7G#_(EL^{^%!kcU+<=LrdAIwyj6jvHn%Z-X7cEGY%C^eaZ^V7SOIJ z*t{cW?avi;?wS%U-byakKxi3_$*8{j5$(r{2x(IxE$deEk#f0$CrH?n-0Rurs2?c8 z4wA`@kv*!%XRc`OR;8BPkg!jxvmwGSMe6lPFI<1H>z@>0_a$p3%Tus<1PS1&wfBb% zl1c`AU<~-I{ASz{{R_Uze=fz@S?Tp?{_#9K_vr#`p$)ya)P>$ggRmnBjU!v~D(*{r z^+Kl&Dk$e!b=p=2&JJ_+|OW`md^d*l((kigEo33g zt)$jeJO=|*hKHrqe8z?PD6di*YJAOx>>@>NBh~*P@s@Q055_}`B6d0%4 zcMStfs_*?AlwZbMYpyx<7q1~QGdh3pIWKLVGh?8t_jiy-@Od0MVO>VV+*NW4 zWfAEi=H5dVjmF0uRXIlqZ@xrrUuTRB{psz zUb}qcC4S#G^#!9`5SNL#VF}Z`PUIzTUa2D1VgQ5Ii$H%IBOL9ltb6*Q z0jCe`QO_VJjC7R`Xwj{F*>*AU&y1q(V?!1cNvvnthhjO{x!mQ3LOl3J0Qun>uCRRe z+!rM7$XuG3rh%y+*z|mQ6ApD1eY;Qiyp&Ss;-ZC^catiGR89GdZ5*s9@bmj}Bpasb zqJ)BSoU@^Wz6NiyfQm@qSNf~j7%|rC z)bQPYT)2|CGc&vM_B?z^ou_PZOLhjLS#_nbLN9`1{aK4v{W zX)W{?q^VM_?3-mq3vP5eE}8ZSu1^{H-rtsjMdV^vgoTC`t!*J;IBp*FVogDHsfVDs z(02b5q&WxP%jB4-Hca!i_DsVEXNvT3j*Zc}BX5aKo)HOO97zd;n^T$5sOT-QsE^ly zy$cIK0;ff>>VdP!*}PN2)x2L>S}9C=z__PLGc|-ta2f|L8z`{8LIvUUhl_G&E{M4> zg<}3J-60r7Pem}gy;yDm9lL~^EO|h_U|=R?jxE-nWl%Vi#fy7?<10sn+D{R3%}tB& zffgTZobS^@qM_zOMf|!4n~jp`GzFzIRUVxWHh!l+YR!HZ5g?~Pi)y_TCb0lthb~B% zbHn^SQ?JBCyF{~JuV-&UU(`f_FHVgatT-wGK^TU}mHa_3e=^c!t0b9w?+TU+W>?!3 zNKR+Je3 zq6QAwen8$jTH88iuN(OYe7;bMSXQ17S0m7yWx_}0eNWW+yo{V*7WBdC4WYNHfHPZJ zjAqK7jgwEdzLSCTdj>V`wpzt(rnc>NK{qz2otqU{kryPtf*JDo|TG>l*5SKW#>}L=(jwrccNyJH@&w<3iKE{P=>7XGNnL4ew;<+En&V7Dj<&+3MQG%kjBmfy?;Vpz(6a z0w_cE6oUXe7v`5kH5@Gc+L|Fw=th80LQZ}k8~3xhlfl@SB0@rIR)^b)>~W#ll0-Sy zUB%pUvZETYb5uvxKRPWM~qZO3Oz45&07g zKRL+zr|t3myYZxV2|vv%^MSTP`9tSehs8fdCSDJk?nP`ENC{3CMgiE0~xnsM8F>`aL~O>pAH$v^7j%_TY4ZqkWh(CR=?I~YW)2e0-~YihYPRT4VB zlzatQfbU)Urt8oD`8;o=t|fD@sSZnl1>rCO&3aZ28)r^C0&8qIemBRKSEuE?{WA2F zspnwxfCFMYCf~Dlm~xnDA!W?o6jQNV!y36khrcYRPlQ9@RcW7vi0$=-w!h^WfWhq< zGp69GgG7ZqbH79tQ^+|5t|oRR(59zI+J&gqb3iN~98a z=G6!Zar)o=4eE}tMo*Kvqu;jRwV595(JQzAh)$KHb#W;Owy%W0~K&i-F; z!1QRuNtmD}98)IXo71FB;+1d`A@Z@50U~ChNR~n9nE8Wg!VI6!=SKv2*ayAL7Th@# zH_5E@0^O%TuLba)TZ!jWwhjVQi;cv&HD zPlRCzMMh6@mV04W)g}*Z(;XBf1!wKbC#NJjbEggehryqp<|mN8N&89Co#v8kv3mwv zQzR7L0;FWBlHHl-Slrad7CQAa%94!jpzHi*%HdsYQRUXTeUT192v|Q7U@Pxhm~f>` zd@4`PQ6%i9aY)Yl+>JvH&|>)vq|N1)d`BcH<6Rq?Bt9t&(1Mcc?_9+BY4JihBteFO zL`iu*8+t`!pyx?7BtHh0_?Cg_)?m$bm12oceRJ8SAD#redr4!^ZBa)R(vLJ?3#|0=i*{JH7 z(+^H>Kde)+c47J4h`?G=l2w!!Y-DqpfWBr|=NcdW4hadh2M~#Vsi`Wq4ZVOiX6FK) zprU#bc_%uVi_Rv=?{D%-1+$+4M#-$F&8kOf`z4%a6*B@Poe++zIOKw>?PH>`RFuPw zXP*0MTqIExG^xTdsZRmoz@Kp(tkU&?4{`e9Q&?&103=BGB>}odBDzWVxE^(FyQ5|AlYdK_*#&&%S#E$W-u*0UzLA9v>6bkAUc}e-%l42uFL*&EBqxr z4KcnLwtJN4**VemxwRk-`Z0_j_YqLz;L^{CQ$KM%qKUf%z} zWdC`IS%9}W0B4O5c-Sl*mww~${WtG9_JP2|a2-c^AkRC8(>I0)!zWtE{p6pA?BDn# z!9WrTiNdWejgHl<;?>f3EAuv2jv1|uOyc9Cy2n=nh9eX_LD!;d^f22DfM+UTF-f2s z1ae5+nm)E ze47@r-PRh=4*X%IMOHAcyJWSYna#MELLaWIpB5YU%v-RjBY7!q6r1FpdF0uM&)kJC zldx?-c%<}X<$GJnd-ZgKKCDYdRPkj-spKtLPYN<6kN_jE>HZ}Zs^+lo(bAZegLN`e zMw*|=7caV28`XSAQT-fP%$T=n3y!m7=*^>Dq|$=!zF?7hQteD}9R&WTi=nSa9(#sEFCU4bvtrQj^tC z#%Gw2AQ^T=X>KU$>_C^?JG~^Db@pjzKW5&;dk)_>sF6|kk|39tnD4`L7e?>c z%xLyTzfdwPHiufQHehQU@j3okHJ~%wxZA(GOGED6weakcaqt;)?q8LaNWQm1fEfbx zC6YM%i2nK4C^9%p<`MY=LzLA?|O1Th3!oTA^lL8>0=HHn%!lXWLpGn-CypPF`vMUp&KG(q2|it~AxXCW{jqKJkIer;@w z%=QuMu%P~?&8gg@s4g<^6tbqo3}DQyh%u>{4eh9mRQ37EWX`G~WF*1kt2?(AkfN6H z(>)#|l=_-#&9%v7vOX3R{|GNL7aOu{`bVNW?I?Z&wGhiTDZgFKgYT*gz)v3kF@jCI zD&g(_!%QL=h2H;R?3#B;O@4P&ERE{qemB-WA3Ec%61td&cBUJIDtE?K3G}q0toO&% zvG``t8mPSmz?@cdK+e@!s#4HY*LvU&RE^#!J4}TzUq^2Eadw(63K(T->KKXB9#d`x@Tm|rj*T%f%9Kg;ojZ? zd5#gxXi@zsXd?aqMGjvH9^#ICRb&rVpoTBC{yFQBz^wf{HntI@Z!F-9Oh?4bFCc_oW}>_i}KJulx){i@!7=yV!s)yDOq$LE;>O zb{9PN_w4EbH;89+OPCy%{avCU?xy)?wW!L(-H*gAJ*w3{ana!L=GueJA(`K8eOjn$ zUsOU4=LaxdH9*9CvL!!U$gcAeUdYRR(c^LF87M8}%NkN2x*KA;n!O`mXDQ)vIO0*n zcK^flhiZ}sWx1qBjkbHxgIKGsD{705kL_+oSVGDWubJ3Dbgb{3K}8c_B!psb&VyqA zkq^b*0|6!okU;=z^0uf&cT@jO)VYgiY66Xu>95ASJ#Ph#CJ+IYA&iQ&U+LPvO{ z+jEUR(t6QnZsP>0c2=QPKW&(6C>SEG73?_8&l~B$+vM1^zK)_<2>0+>ETSNO-R)7? zF&U(xF9N!f(nv$60=&mi6^SST`~rO)MLR1k#y5T9r9|<|F7jaqUc?{?XspP&$tupH zF>P^1gnWtyT1;O>|MXc+R`-&+Hiz8TW&QNDuTJC17deHmHtV({zq9aQKswo&g7l_| z2&m;%!Hf}QRPw4AbPB3xqaj=nY~PKVLI`FiRFb9L zlq(PO$~0R=l-B8>pIk0B(&qySrX!LR!Xab&OwY`+Ac}@3B0@SsG1Wx&PTN)3gHA8& zmoBOKpy}&crp0q7=E}lU!<56@PbmwZWjWORtbl5VsAYMA?XinZ1f^AmHir5fm{=_{ zRAsnE`Vf( zd|k4hHhj~ZNb*(Ohu2AG1cGlB-Zu~;$LF(E_%onp7P)!KlMDwdmMvjAKjz7l@d-l& zy|`(&eU(`-gc_l^Tda+oHu34~X*HU~cJ>&|9r|o5>GES;Y)mIgFJ4jo0GzZexHwzj zTKV1dlKk4VsXb@f@Fw}4JW1EL<{LqAWS|S9ox9Bt<`wSNa9+5lk1wqFC%sOffnHtUm!@L)mHtF~5q{?dqp0@BThlXRcXgHQJG zo>K5UwtUs;&5!l->2%;dr z26f(9?Idkeq364dkEH;~7sGCB)2-O3LvGz*qS?n=@n>%~IZO@1!^`(SjTP2=^1hhQ z6vD*;^BnRc4d?0W=!+(1S{QT&x?pG=rS{K_Bb=yJ*tr1uY21s*83@3^xK!opwAD2o zu^JZhW0+L0dcgynpd|`kJQkg}p47Zg{^+YayP~sk z*^SIFqXHYics4({5VQE#r)L#9VygU}N%ecoBs+GL0UVk%IMdT-8XW8&n>I^DAAGrwyBJ@8)J*P&bY4ar z>@-LE%tP;-6{&XvlNr{K%A7CG<6_266B~xhoNaaC#VEU?ntDQXeB0~Me8-G;OXr~t zgZ*nit*RcKihn$wym+^xPW^VIbM!eA7gwcHZcQaOkPOt@&6t^mF zF{_j)n-X5Q&D*){{;J6LWg+BzrY08W!1pzBF?!BzV1~w>Dp{U-RW$XWHqlw018Qsh zw5sr!-b=+4pP1NvY1__?cY}|W)!MFsZwUCgxW!Vy49Zaw>f~%|0=zT`pibE2|iQJX3sQ%bL5$)&@Z zOQpkTO&?yajt1+Ro8f{4j^x}Nr7Eytr2V+Lm3rmeF{mjZhD0^DU~Jzj7{%HQ52 zk8gO@#t-UJfJs&@IiFCMbMUCMO5T;gT#$@UDrVI0s>X9s{K< z^qOD|m>T!mm9vcE*>V^Qd7V3uHYJ`?ZLROe`KP+;7N!RlY$3s(g3s&!9q?N4?ib0e3hUTr6(Jt+qeGX7GA*@|%4Y~!CuuM<%O75su9 zmj8m!Y8^z#QEgt9yXfp?TF24$hri1}xr3AZxzSZ$aJ8dZr+m{E2e>>xL{Ym zr%12!ww}@<)>ce4Z$fU<3WtEzCrLCXd~k(|2}dPz9O+@$OVY1!6}Vwq;Dv*{7oC}k z`&^e|93a0bZ`k|3!b_hamPus5B>Jp@etC*W-Cxra>RC1oQCkbwQIZ= z!Tdq9?J_2Wk1L(;Px5pxk*LwygpxF7@L~z;9;(6HiVyP!Aq0fc6MWilJO@`&Hux|& z>WB#U1j(tOTF6|)%i}Q~PE{Sd6@f2S=67`gDFJ(ifD0r`E)v6dpl&mn{_~IM1S>N zUo>B5FTCgXyH{=!8->5+oBfKuqA#os!I0je!0t5%tRcbHashpJm6?8{$=2J*QgUp&o z468EO7X72<_5tz|_Wgpvv4$aRO0ruN*UB+h)ag8fN$vpJst$$=Wog6fk+M%tSb(y!@;t`j3cYJv| zMd6~L?J0g~dHxLTEWti(LYiZJ3Y#WJkLX1&-vM9LW>7wO2tNQRpvh6ewMTED?)ZjM zsB^6J?Y($j&)W0$P30+>b4sDVyjm~r<0+Oi11kRfh3gRxZ@<+N#}XN6cqX!B9N?QJ zoPjgXl0d@1AX7uH8h*^y)aH{_i!Z7fg8vZ~RCCydHSrDaH3}-ueTn;MQj(Vy{kNR( zve8h~uI%5WJ=FwV1CEfB4Y^;qxYrLnv{ENGuerUjc$)aVbox4>`MlYjy0HkCB#ywE zMh|PtVQpvof*AZKwEk>o(B}+ zz%)G!MHp)1707k~QPXo9w^vG8Tr}S|X`ulE#WaeZ(P1OCG9w zW`~YtK7lI3#>f^8bl8y*_smD9FOM>NH7u^Uy!7CMO7n=#&oM1zkS#YE-p0hDrnM4* zVlZ>YWbY$Bs$m_Pp1Bb@rOlmZ5TN#-1IaXIUp?y0V zt#8K$?r_e2gMA1syxc!@B2r&S+P?TFw(f zO=s%5-^kQD9b)1tFAz2Ju6K>W5(P{|&c<(7q?#0#@(x8^&fdz1i7!N%UHPWyjs`fV z`mvkbUW@TkN-))ezhoBl!liO59YeuXp#04T#So{^Rj7lu52XLBCVSqZk~dAr=P(_^ zBT-LlB1duc;BFzH!GSn zpeQl~l?t=p$fmtqkIX5By$|CExCB7T}?*XUv4RVeBF5${x{L2)v=G=4P zODqLyE;PK#`gbf>F58#)d;I4x5r0-b;y%RPLEQ1v_-7;MuffaeW&4(r5liO8E_Ira zbjfRupr4Bu70aMHo9Zqw?3%NAwQd>IQ!?^aDe=v0xCtfC!B~4xYj1v$D844%u0Ud7 zYv1v6RwmV!W=jRboS1LzS%q8csJ{o)ZgY^~!G?hC~z>_s$ZKBp6}6iCKgqJBOUn~ zKbxdZjH&oX)sl|?kieys8#%t6$j}c-C2n%^t?Lh`I9cZfG+)H38ghRXChW+?G(Coh zBLJ&9ny-d`xE_OifWscET9(fh%>0fv*0*<$Wq?wOk8MbfN_43ciEcyE5~Ng;Ns-*I zPpNMT^2N|_)3&Z0W9MoTjf9}6cribarLEcO@*{lYB~X};$kOr2xW}688+%)m*z>?is;XlP@@Rl+5W|pVer`)$ zISEH^R>2B~_bCRjl*ohwY67@0jyt}bi|@rBHckT z6Ya@NT?8Oi(Bd!`u^TA=>yp{6}iS*P_k)dSr_!SCz z^(-^4mTx5)VTPojH3G44?+m7LQ89^$KD|tz1?Wb0rNK+pGvYqPFgv@BvHA~0+9E}3 zqTt0~(Ot}BB?Ms&(D3ZM!K|y@jD5M`Umn(9nk$%)z2%bN?^YN+qP{^Y-{3)jT38POpJ-`Wa3P0-81w4-*?~p-?eskRXv5?N2~WfU9~$7 zfyaJ00)MH21ki4?f~aC9X*oJ-4s0fD<_GnNIAnVCds)eKjqyfwWPHRpfo}2<)X4)A zN=Dsa0DZwDbi;rTSq%mGinT9O~r1GL7kk!U1pStv7P* z%;^1^0kC?nYAfSPCQQ9tcQz*0qFn`BGw4o~C~mNR(OnY2#Yc1o*)91k20SNwbK4nk z^d81tW{fElTdBy(C`2`}Rr|Eu@NYTc-?GBhE#Nd(s`t`m+6o=kL$K6yK#5 zXy{Z9t4MzwG=HXaq-AzdR$wNg8BRn}Dzh*+@YVYrTH~Hc=rYKUsL9Qj4r3vzZlkN( ziJ|fbyR%E3lBS(Rprv;#hn3c{o7lLg*R$8iXjkfa12&?y(ihO!8e+~Tae>!Dfdmb( z-(Jq7(|C_yWR%`gF!2=mr>_OyO)D`OTyR>t^k=Fa=uqNmenO<77s*qI%J!g=bfnM8 zVp1^jd}}vX-cxuRqLKAwagUC5`_|4B{(H|_LacPg9WtDZaIKP6bUlex6fXrxBY`xU zRWv88Kq5t!_YG{h22S6N(Rr%9FXv|Qu%cj@va+wGlE&G=(NJYO-RlUmiR*GlgWqvj z)Q^T`qBxW-qW2tiBbQ(oZg+vljT|YFk@@=!$0+tvN{mU1DtxtvsWt$0&^8{sH577O zsioYaiDy8!(9HR`1n!6ytZk;hq<*0UJstPkfT|ntw=WMXrx3jg*NKhp$U+UguJ#@> z9+o}TjZ34&3{`DXPvDM81+m>SfxIf>qlCp-pUZgZ>;2w>_JDI!Z7=W5~A0t zj_g?)R!;{RWa+1q;JBPjFgfg+84&Q25ZqvhnBga!X0Ro zSC$}Q*E@tw^kC6jJ%R^X(W&jv!2S|yO-l`G>#wOH!?ft^Ju)I@7_hkDYBSp5A$vLJ zU*))C9Z;#yr*?9a_quVq*i^f?LcJto9xa`xqpY2vj_}dXCU?Gvn&#qscT=um3-v%; z3t%hl??1RjmG=-G@cCMWhMIpG7N(Y)=;VKiwy2u(WKPZ?y@w5jEK8uTZc7YS8L!)!zjeqeE^TStW( zq@iLASe0v1gH6ehve`Ig6mIP+K_$rSN(z^Z5~Gg^(Z&QQL;U0q9x|gG8m@wv5lF1V z>Ulfee5vt>4A} zDlR|cB#xo~)~v_;D8O81Fm~Aj!z7|Mb_vzDH_0s?;E@VmCH!a3wNI zpB{9f9`CxWl)4yi)!?FbGNxKj>SX=b6!htn5gp&k$7n;_uakC?(1J?;;eX%fqV~a_{z*R-4WAxwW=*< z)V?gFi5Bf{r)2U*25eC-8p-)T0EUlBbOnd^D>n`9#9~@$n3sD&RfHLBIRh40 zSMFa)EC^)BS0>+AaDmDm;87drZ-A2%4r=-P4%V+pmv&b#s){n?Z>UP*zj?R7FhC*q z)*&Za$b9`l*9k~RENya`9Q^=o-An5|;V}7L>Hw;qP01OX`1*NVlmFz$=PtqUjZqn% zMKAmAwd6fD{yn?_{{Y^veX1>6*SciK&5|qs?PGu-zOpDB_J7Eb+9H-$BW>KpS-CYIMJdXM0CMWJj9Dcmxjl_eQO+%?O=XscE=_QNnIhof^+C96_eAS z3D=yRE4-jR7UeTNQPzX&P)4CA*hoAX<>yut*l2t(CH^YNrFwoO^RQ@v5U!pD0xvd= z0wZ6^Aj0U^?@mK+TIzh`TBww!I#8)9%dH^`8%bilV>MEMdiflwqFwa(Hpor?GqPVD z*6;bkIs680KUy59L6L|;VoV*#3p{RD>uOUv?)cj~w;&U3(1&;XixOq+b-xv6z+d-E zsI5#SP6ux?5BWWNHE+sZ8-DBc|7d8Rm#5f%|9lhqs63EQRa5?L1E_ z8bJ@#1EfOO@Td25&8b3!*Na1hH;QvMeySkxNV$t298IK! z{Im0ePal_)tSG1?#OkMgh3m>Wo!bhKYGc_`C5T8ILawQjV(sEI@x&v|Y8f@rL`U_!2^ts+txt^{w2%yPP(j}3 zH`7B$cZv-eXr)FJQ%S-zB0W%G5@r=pBTz_fISJb-1#3mdQGHh>)nEp#k_A)GfY7!` zAny8s9sQN2X4UnYIt2@FgUQ$>(>To~-dTWU43aqRNP|#3*!9M$ahaU*d^+QrYEWT)p|0lnW|K}j^ zNv8f+S0wY!$uolT)?5h0@tL3{d{M&I8VE@gUn05S!`YuvVB+h6xe=`rhw(*~p4BX4 z>aR55=0D_H%30CpTI!2aU#h*Cr$u$+Fy~yGk}z|_oA2mLAgxIlfDb2RnLAHPGa#6O zL}jF96i!ZK1`wZ{hR~X>gj--hNBa|yL!J>g?0imatq)meQ=EwWh;^_Bf4KYQ{YiXsK-&oaXWn11+)!MPv+5LG9*J}~oFE`S? zpV3ihM@ItNue{P$e$WV-HPB26vgJ0=C)cr|cM!u5zzyP$n9~!bSP*5gM?S9VS6LF? zcRS_r7gvZrmgZIB-*G&4kOUZr0*_7#8id}`%8PN|2isJ5Xbn<%tk02*+IB03KjIK> zmUGh+owl84fNst6DinKCpI2VF2`aB~<6lCvk&{ut0}kpwYWwsC`kC<79ty%@;d+essrC^wzZ8q<5&Jhj6QrbNaOfQ$tExec}QmhGsvAseOy+} zprA^SLnb;9J!+0f3}R#2AUkq8klyz>>$bmk%v`#l?HXkt{{-iE3&@@6EXmk^c0S9n z*1a6SX!c+)YmRjmz^!)PSvVnZ;j4|&Y$4$r8WP_}k@aEAz#>*wMm#NG7$Ma_#q7_` z0@)xkLMnquI3F5Fa81Sf)%%d~UROjA8VZeRjiciI?SDk9C^KU){~Yew&|KhOGZX8l zEEpLMh>cgIhgRjf2CMAP0GM{3qoXEp*W*dyI{`#TRFE8+BxpOgQ(DnW1oJ$jL< z<@!i;A@E6sg?;Zg(@8Lz^_=SbKMdtW$jE_t`EgEg*$*C=8ed-Q`;gV$hQ zKw}fi+b;*i?9!mEw-8x)kCTj-c19zt#aS$Jxh;WIBiNhy-7EhLm8pAZj9Qr>HLa5j z%gDO3WjfQCXwiI6(Y|-N14-;oq1PS$z9zZ|aV0w8 z+t($+CRTNu1IdS5^}moc5c-pEA_X-5pKu2Lwj;s~#!_Nw z{|hMyTu{T+T;5S>|9+$lx_eaH-UY`hnK!%+*qz}(({fohuWad2dBUuAN6N_*@g;#NO3#2Ya^(%cJUBSLxy(_{3fAW!!$8ALm>}uMEVk{fawrFY^h84dH zK`(s_rYR*j;uF>-67m0Z(i-2^`<$-(e3EJe<9<8Dy$n3Keq(ww^k48%Hze?W{CHsu z)u%?NAWXTGBU+5)_yDA=<$X=;;A~F^!+x`(Jy1x-Y9$`^?-EsZ5ao=%;E3Q&Yin(+a~jrVDc>3C6jex@+8?M?`!(TmMyW~te_QgpDGDT;4nn^($bw#R7N%)x|m~_ zvSX4KH=xH4tCkFF6n%>5r&+&{@SjV~x(50?pUpDFgOLdR!A$pMzzsxErpkzv2`70F z8(mqYU38bTT?NpB*)&GIS?+eIq7-2q-Rw9ax~U*E8U zxjRtq>IFXzb@zH1%_vCRM{U)gWaQ1XO)vfBq}c_odB6 zSf?DGZS>)0`}Pi%koAYpRL!->)0;=>RmUp*0Zwwax=y6RPg5Xiq>IVKb43@j7$z7$ z*&GGGGBKnVMgLJkS2n_n!N6VVFoXyRF{rt}DkgNp7Eb(xFOcHY2x)rLE>&s(YFhmkD>F^FW3R1llIat@}Clc2=jwB=^qk!;h^o`V4ui&_kAC&r?9BYB5GhB!U72@O8L1Hc4p z3|gnV6yDbuLD2&UV>S0;U*-n_pE^$q+9vPoK^{3W8O&557nBE!_F z7d=hyjs0~>;vFTVv|7mVDswzlxVLSq^A-8ib(Mx}c;eBK%5mj8-HHHKCvTV z-+g~;Fm!y6IPFhCm`aPMdR24i%l`b5X(0Xvajrdn@iZqZaNSM&L0;OF-A!C$w@uiu z{n6V0bBQZ49MTAGF_|7ZTeYx`zq2#2fV27zR;bIx`|(MyU;$Vtti_iWQ^zABwer(u zf~@x82Ei=167R5%k0pPrU2j2vpV2`&!ajca43Y4FBLw7Bv_BO1Ok;zx=h0+Pjs3n_ zLBs-Io}kkskg9UkKC7sj{A|aus|-D(XUGGiL`0y>|4|z$d-JQM{ zdiFu@2aIde)`xLr`jURFmH=i6n>A+pI&VO5&TLCxJfKj~(ltBtPX2Tqq;GuOl1wrh~H0kAL4ds`x zVT*6yqCffCkeoIKBhe9&>c(EWC%#@u3m&MFOBQg zjnWa!V~oFGJGpjirn{BOVxn#1R8cz=swy-pSBBaZs*Yq=HKhYY&U0^uXv?RSD^I0i z-=@VhMH|m}sp{-Jaw-i#mM5RFB-(pLoF(w zgp7NH^03%7Q2Y!Zm+*KQW#Z{SXMADHwf)m^+3xxE(xk;kSE{qr!sdxq%ENe3z*7PFXw4oO`6E|}60Gvg{RM}B%Tw5wU zk33YH1kRxBIgqtl(1lP|$VWGF9k>Uv!a3mb5`if;lrkP%0VlSwgL?Cm0zO>y?4)W5 zMvITmz(Qzb$2JzK{bzNSPEBu)Wka5Hl&1W+*Y(lTePbJg-lXT=KC#2H$eInbO~#NP z((;4+H0ih|rpblRW@%CA2qRbbcnGqb$$nC+WR1!tR>j4~8XvN$&C~|<)~N0miQ7PO;i64tTqz{YshCaQ5 zFAEq`)NVk3Y(GyY?@BC+x5(tz$lamQ;o|6I^Cbdq!>{Ka8=2g63uezC54)QyXNe+U zrMh%Mr_ms`@M*`6>qYi{MV*(TT3SJq6_9-X0WSwr6U!c5elq72wiqdj$H; z#_7sQMqVR*b%|}%VdW(N6(z1MmrpbJSMPYxNX`g{$I}-(XP@eSf+rHvCX#zX(nsH> zsU9Ca;5N`Rq|ovSw+sVgu0QsiKAxmdE0uuD44J_+`%1AZO#5A5Uk4z6WH$JV2r!)N zpQQfE^MAM*Xc>H8r*<&FBBE>kcw4Q@6&zAp$nSaH!dgbPN0_ZM?BVvmwmj>8Y^(j8 zo%Y%F+g|VSy!oAzadw#pRQcZ=-kmJ{5`MY_9mDMy+a}t(OuhFq%71gde_D;bzx_pM z^xA)>5NTwk^!N-UV_0{Q;zWqUE-6xa}Mp#%hnVs#Yy(K9t2=^5pr9 zK5!~4kaSfZ3bm&U7miOW@?=nE`vPH053?v7oL${79Pg3eL8|OFi&fDOp#~0RTe}U$ z!Khk+2iEd@m(;N1Xh1oE9O!ZLvYJEmXOF2{@o6X{aXH37;73wX_pfwK0Dr{lBa`8e zhZh^1uP{x$4g>J60TToAVu}}Z>&y=isGY=g(TL$*X579P-)nU7{0%O6crR*;tE&a1 z%6AWrPlwQ@%Kx~zdte+LyNB^~beOui{vNA{FJ7L`zg={v|(Sxaw;Y z>gff)2386stNuQN6crSjDNK)*}H3S%!rrC69mi^Yv8n&@`=uKN4 z(zN{4&C0d2c&JHxeowA)1Qv&hJ;?UN+>2~#D0^Wn7(jYx{lBc(EuY9HL6x4L)P-&G z_#&xCwM3O>>_QD@r8a{qlg?zCxA(`**kfCp664qVtA3I#=&0&@Zt&Tm-F$3o!|t!| zhvxn_cPD4_Og-AYg71HZ!#W<;g!f?M9SydFl(mfTkWX*yz(oxL9fT|F3K3vDAX`BT z8T&bj7Y65%u=sE94yL|v4o$IG%~u*tm#MXVS132+$x+O8<;;CKu;=v{TXjE8ZaP^Y zk#o<_5BRvbNBY(3R<7pL7;;stD7Ap3D1k|vLHc=J7CMbG4V6pw9f4Ph8_zw#ne!Nb z*M5d)0JsHn*y-NJLZZo$YB4Eq`=>yv2^_ z)iqeAq%w`Q{FeCcdvh;jcK*RK@fNzA@BjSv1DLGOb7=&Axg4g2IKNe+SeGV5==A<2 zsh5m9@%}&_ldheM`}xVJ??y!TUc=8dv(Z-Z$#+;6Neq)Vjm^QG&k3DJVF4f&q?xZf z=`0H~L$ZKJ_f)BTJuglGQR0K8eK*Cqu(U zCS&aWbuBInuCFF0w!bC@Zm>qC_mW|fKl+)Gvt{K)Mgg$J&dzpO%`gg6!Fm5x-%v+HsRXP_){ZKODWg#V^Sg0~XieEB-46IBzBYX<10SvtttW1ad z=_P~5X?MPI`A2(0XFfvq?q?zeLEs;%5;N0nHh7^Ejh461j)iKYr!V)ioSjTY01MIA z`~o>T#|-LkY$2+4J=&$ewd-~_PB>!xbVA!Q7Tp0n7EThs9VILrhx)2jwro9A)?+$y zttB=wFpc)&%K!XQ>Y0K-rXyUQydk7l!Q^2i`~F>!(G%BhsJ}%M6@lnu;fo<5iF;Jy z4LyNcg?XJU7h%sbZmK?=d5T@Qyel9ni-y-63tp2V)swD4rW3<-EltWf@ZsROsr+o2 z8|+!*wtlV%S0eWTx{gg(B2AN%&L_e;CoL>&5Rfe0Ho5nu^d>6;QN_q7z^LDMlFF^C zzol88E z;{BIdU_(IsCk)$$1-_0g(M@vnL!5ko4Q`h8HOzb~N}0bIS^y75mh}4qTKcp!0Zy-dTmz1`$VWuXcixu$vq`c^2rm0or`_J?#Yba7iYU#~KY)Nv zTeiI(a^hot!Kw@P%D`*?;4_%|CaV{f^bzlb7d!eJDl4WZ?s#GXQyCcz9C57$Y;L?$ZxCx(6| z;iRyIln+g7iWzFI_`1lfC%0%I6;9yaqG;ers*4GytL=h~KTbh@`$S}UAe8n#F@L8! z)cLSIgHfT1F5XJ)lUe))lCpEAtY5c)+XVGz2DL#)U&FMi{d5LZXt2+=^$HpH(R#A6 zTJ}K>Y~8Bqyz1wEWA##|g;w~nbM@+g2-F{wD;pa!M&&iD1#xgJ>*!3V))aqETmOhm z>lZKvnS?Uv>yQ%_vHA7kqF|90W8;OHzE->dNJ|Q-`OxhgK^**FQxX}y=ujh%Q#eb?yFQfeT|cgn%4d_|PL6~b~qXT^vgMjAEak4cc3ykR(I#e$AW=vZGs)!_n! z5#DE>l38(%F^d}L(VM`qS6$?W{^(G97E!s&VeqM_`q;WG)T8ZYkD+-@9c)YXjp{(w zWxe@`OEWZjwT$L1lKN@Ho~tl4ar#(XHo^)15_CAyp!-soA_$+-S#;~RB1#fe;%fzF!y6@GO&?+ ze;q=eem8|V3SbFy>%|tmpMW}?br^vP%Q5s^U5NWivA@c2i){=(5TCQP5c;)x0ZPH? zR3u>37Cbt~H;JelI6IaRZY=PAOIZ|R>s!il|^HaAqg*jd&Xwzo>GgAyJUyfWHjt^_k!fb7I3am)n z0ut#t;yMXAbAI9P(&O?M`RX6^NI7)lYzO#*dL}+X2qI z-N3d9Z11K-jq6@+*&Bw2ijGW5sq1zpWk%=Q(i!V^B@-7MbH5+P9kC_-e1Hadlx-R1 zV;3#%IV@}cqr^RN^|NTBF7D=6_~$(_fAFB&(SY;M^RF`A{YQ6WPSNcDc>lI>^<&tS zjeqzbrDVs3;i|)m_204odf%}B=uUUslKkiSS4n2zSa&|`)ckkszutdOMXoKjxch&U z$%^-UubQ`X__Je!SgXHs^rnnP!U5-&H`@b%Dlg$_D`8iGjxG5BB6^|{jc1D8;VOeq z;AES>#HdbdwRUXDl{zuxVAxp8m3l20Y8pjV{wrUM%75SD!ii$IaJI@MP$E+^W#^Xr|93 z&@}ZiE-P{wrzwzBHFFBX`c3(r#SuYHyE)aZ8>~D2s!f@{=aZ%jj3Kw*|4(m-wL|v) zHAJ4oo6S0oa06S=UK5P)wGKqV6Fr#nS4>dr=irz{Izdj*{b8)!PrbWq25*PW4b8)|-K`|>Q=eSh>txHMd<_+!yx z!(<2l*hpA)*O-@k*GQPm?$PqBxv-?Cg6AaR(23DVZ`c|Fi|`hHFFz)!|2aEV5DSjLTX@n5=nc}lq|C7z#*nR$&#P6ZRMHwfIDutR z>NVii-MSB5a9Cza$)Rf)+reN}O66<*`D?=w?-s40M&&sj+wZouJ(!F1UbNPMgmso5 zr7XILzSlBFp54^^rVWu4Z3;i@?ILtkTuMBXGO_V(oQ8FlCV8wblN2o%EvqZ^rR5R$ zd-vfXdLJ{0$T$XQ_2Xcn`V%w;n@3LtRsFOS))QZ=qfF zTR>g6SU93OV>0A75PCK}TmJBRhdrH#V)-)G8E6*9AJ}`V2Cf+uElh}|Y;f4iFPxZ)2w$UGFeVR>IY? zdAquco#&!*%Ihwv@RHjn_~MAi4OqrsDDReuC%8wJ+YzqT)|-df&OfcCr%KT6 ze9;B5MyrNE`%;as{-FXp9jfv|yXIlU3>S$|tsEx8#p~wo{xNT{+6d81)D`*(n@!)$1TYH5qbX;;jj6BhqmYa{|)k=h`Bx9 zyiZyzb@~5yP~a(X-amj7{5`3KOM)o{*`tg;coYDxdL&HMAMvLa09wBxk8AIc^MP38 zEGgf)_*1D87U(BJD+uQb*vbx_u~=jFY{&fFQvRLAHuu8XPddK^#2*L zIH1vfQ2+i%4=xC#z+nw?OOv|#wCKW=sQQ%X!lbDBr09ZNkEg3uV0Ultefc$vSeL#m z@ILzbbb?DlL5F=axlXW_=w@B1F~@0C%iG6=VyLsI?M{rO@gUXXZ1Z*GNzN#Lq7lWQ z68N?IU7TxIf37?B-hDgQnN zN@9>&kG-^EN=wnV(RI&7jLYITL}s&0q?RIid@`Exz*u|5_Z9xc?;vQ35lX+s({Xq} zDZj@xjz@>Fxq``av85=ZQ?3dJP3+ zp@VTAAvF3}B~6**)bnU1P5Fh`Q=$Y_$kecM9?+ zouW4gL5gtG#T=aTB_4@#G=eA@$-WOh2bpkV&1gH|X*T{IdrK$1<)S2t1%TN6?!}i=@z5gczAbZnN?+(y{Zb84i+|9yr$Us;qz1}Gs_xI zODsVA>WH10ESiAlnN>oy{!axh%1UfT)X^B{`6t0HRIg zKHkVgDnE&Mt8Hc@;=WcKY$1H@X_=NFtpTINKL{Hhe5et<>+pFO@^T9_Vlw}Obu0mpGXBO3WQ zkBSK*m&sj&d9LR#ce@R=q}9xC4zDUzfeu6%zSAC0cCQgS&HQVfvrC+$K^AxQ%rc>1 z(Y%mJS~&O@v+KHk?p_9Jxj)Bd^T0HbPI~t^QaxnzC(IF6sIkPE^KnoZi*Zl{fg6`) zF2=!REXTp*ug1X~a*_>LaFGohagl}KOh-BMOh;YmOh*~#v61SRv5|hQWh2eJ0GeL{ z&98yx{6KR7pm{f@@Y4$#ey@i?goKL_2wJ5=eV=6v-NEZwH(un5?Z#SfOL-?4&bkyL zXQO$P3(oY1-3XAkMjxv`q8`YuQ;1V1LU4+B3%$k=*t`y7GY&`Y)znTF$K-5cvr0smq}dnqk;$UdpKuZlBPM#) zqc3L_=m=6QDIsN%6eys8^xru$f-w`XZq4`=G4MwZlN+_uV>f)@0)4)^ZIgxIV0>y$me3BU2s| zx+*KUE-4Dp(dO$@h-`9%Hm7C(&s=x8J-S(aG@RH6}b787_>qIz;nr~K^TIxA$6>GH6s7g&4M=7M1TR$`pS5d0&sPFGQK zu2^+4%04P|6t7OUkpz*H=zH3zyy4t;t^)W=sn=fbgEU$Twl0ezlC;GZ7Cwu9c!$?u zIkN|U>&aR)V@W1d%k8$|b6#l6J4TZSf5yV)be18t-M-SNHe*WD#XJVFq3d z;0JQy9d(v5ei9Glm_f_gRDR!KR(3Z7prclEthSLCbc1_51UB8sUf#7tK{im~V2g^ce;GUgCzE6_Mb7lEr zCn+z_1#6a=z6E1zcZHFch!hTGg<3)~>g$uDNbEW}f_GO8#U)ehcc)efwmCY-!NaX% zHBw%zci*|HnMWiCmyoEEp@vj6Ku3V#wX44WwQyC{C}XTT9VmyLSi$BucWV&iB;vJ? zmx;A!bRIq2kFbZwYy43`l7ocX$YPK@6%N1p^=DE%s2C>}llkqgDCaFV1kV^G+-i#U zb4BeJ*ywLiME>e*I5MbQ0b$Sh)zJR3H`21v=zKE%=$h1}a72qz@AlN!J5r9(RHY>( ze4|3+m@`B_DDu8R(L_!&s>({As|R9hI+aTOlS;HZ@hv@h+&z<3Nrx0iBC`w-H|Mne z#_K19>Kr9mDc)5H6su`|48L2QpU+r!>=pEXvG?DPrP_Y&AB!z7XOjK)72&hj$NBN; zX=#_^qw~J}Ba^UdlVAIih@h@FdIZ#OFEn37eXKBh@C?eera*2O7V21A@Qe1gv>MuDVZLm z34CJ`iuRySD+6s+P5q|10Pj6}&A4gHq2vD|ju`H(8y}@8erZ1-9pndnr_#U`0Tx%> zKBKs7F6Z-o5kK6AIU`bRM-Y+rkT=*|%t|WTS>|Ya(4oyrXhyJYAnTGT`IIv+mfrn+ zs@e>0xd0nGxC-FY#-_b|0%e^I+udu4I|;8S8!k={3G?UpOqf=gLmw*JFlzZQYPm3K zIWTHjF!LiQWwBY*Ij0O6;=a7x19whAZjZIDkF{&Vo1Iwgzxvcsy-$U9DnEnDKfv=| z>VTYbu}+_tmj)I*isE})6&jfOv+4Z7IpGqd)6 z*yV|rE7Bi&Z)ERNk)2BD;BrEUJYS&DA5u;zu5j3&yAjH#y+Sd(F(%NimhofO@&sn1 zaze++H&_kn&jH~^rBEk){1OUwiPuQu-t=y~-x=Pk1)wGvjV?*HEf&QT(vsUh3Wtt4 z@}$Xtr$-n*ozrovssT-zS3FNaU6)bJTxym?mm;W27eoU9QYG6cgqAgO28~oLidf8x zXjab~m%PgiMYEi_2DS8VN$GkJXAsGv`5lJ-B}1GUPCYf8$Vvd}dAjyt*n z_d74qdAz0iWR41pljKkr4n{GK0p6CTtdt%PuM={q9aQqjo%2Wk`{^^H@;0LKD&o^5 z1WrKZ(wE8r=n6mR3UBBNju8;^L|QE-j_g0AqEbZLFU_8Dbf}_Bx9KZL-J+9@dbFM! zqUS~7D>85mIhdwWJnV9@fND)EBEY1BRAhT@YoK>|c8JeNpP*YKSjMk}9q;aS=ts2L zvYUZ*c}$Zv+JWW_{WrFv-z-5m4$0A&@yq~R6Wyi9R{VLpyx2QnL7UAB%&Uh%3j*Q@ zTk3DgipXsdcY)OeW(7=3o^67wObs{)kM7W7J5WEsaTJ)cERNxmPTQL1a+%bUX(H7PEo)e5rnr zzgxH0XZv*3_g#!?##`cxJrUfY(B>#;8$_fzO!;d2ZUpgi>Krd@y`vxvPoXqE*VEt3 zRlk{Se>3}znB2k77jkYxWfzx7;ir2SBQnnU(_JT-_KQJuN5c3bp_EV@C?I4?;#ym& z@Wyy-`9X9);*JLY=H2ZsFIkwJ^}(Xa^-4C~l86CvhX(2_i1^EmUdgJySQs@OQHpig z6yp~HUsD>)b>ICu-x#>EuQa#-#{G-OcLTTveD%(=u84kNK4CiYhJ=n4>4O3To zsB7@OSp6YnO>0-{h~&T?522=E?Me?0*lUlyF@~sKuS3&UaIS&2M0^0I&bDpg-u3X7 zdlyk(+Bg};z_t}$_ad3{b|CMtYTpMSpX;#C#k4#CYGdmnM%`asUiPMz^85W}52onL zT#tUoUf59?_4xew_;V6Fzw8}4F@GbjK=|}|+TkxG>!>T!kg%zFxMFRQ5UY8Z2_%u4 zhuRfWD*6nnx(uqoMK4VTC>4B_mh{j{OdeC)9ToH;2PEN$f`T8qt(1kT&_a-wHFXL1Ojb9Kwb`+(lg*~kgJ?Y@nFgncit+cG7W3= zWyGayj(2szDxwCdy#y)3QO#!_oB5`U#rXKY8#~nWU4-YOJnO|pmigK$+gNj*Rf>() zFY@*J@_FOSi20448SBrdQTB7*)d%$-isj>D6JDv*0sd<}c0Ix-f}W_7@16=d-2iou zt_9ioGadJRFLYNQ;KRdQJFdH*c{ZPVyi4KobWbIL_e~POCJt<3#Ow8N`#D?lc?nG1 zN@Jc_Z@BKA9l{TSqBqagz?I0}x4o$|t1~4c{6A-+RxS4#*Q^@Rt%m-9Pa90$PfAQ) zSG*G%MpDy^C<(v@YGc%)WvsPsW0WOvtaW2U)FDQ!HEcr^qO?vumVbT3%V`nrv{_Z^ zh&o+jr6Hl(6n|NVk9^B*<|&mQ<)TvFfQt;C@FH_il_`kE2uM{5s5%39g)J2GqT0N6 z`AqlLO z`lu=(d?oL~2NiF;)>=MaCQ!z}7l+_S4<)o#fG1!8AAsNPY_@y?5!=tCeI9AtKol|* z0E77o8fW&iWnwd*KXR@gLhVG`E9qfL1h)xsu7_8eY*=J%KHZtwS0gaC;vpWvqyudY zjk=ji*;U@kt!6-RN-| zXw*M@h5JvvSv@G+>xElFGg?ALlt{i1pi{dm7lg66D_4j@gI+`+!lLt1D<2mK7lv|Q zcH{GE7p(QiuJzC0k;oLxh!n#9$9_gcSW6Td@_(f__&wDbxq^ZWVG*X_5W^rw=xzvD z-!JHQsMN>d+dBI246x|B5biY1)XLT>1v5p$X0aIyiwpuVX)o7y(zM>-)4rEaSGb>> zFR*eKt~TNU^$cz+>q4C#n;=!;-}g7a5h=S(pIBVPrY~Q-q)y6kIelYQTEl}({J@1Y zw>^@X4frk#OLME5DY3ALsp7;%)00a!Yp`y^FWl^fsp6+AK4pW_Vrg@dT719N_AsNj z>A3@GtlG+eGMj!tGPl(az>7Rv_i_?SlA;Q~2Y{AA@BniueZJ`ixT=iy5~{q)izo0t z5(YH(6gKb4GN_h-s#Aemf5j07kjP0Tld#Nxqc&h69*Wfnd$?L++GA>gow(bB&fTNy zA$@fn6Q1PM@t5hJWYh7_3e7b62}0447z1`$@9llYX$Tn|EBGF~=Vzl~aM_)+u;h0A zc-zrYqF=K#n^O7Hc}hKndvV5~N<+%h)Tc3z#Wa3|V_NkB(YMm*0M$|0AJ zVpgdxfnZiE|H&`x2Ik!l^GeN;K+1F_cveYz=vEFfrbWiiI3+?>N%?_|clv(Tl@%M^Fc9_%~u07ON&so7KT<$`aZJiNUAIQx^}Q1Ul9OR;YF6hZ{6m zXol*OWf8~Xki=BY`1kiSC!WlW(hzBF5<_YC2Mgxl>y;~WGdA>G*|#UCgakH5!b)tq z)73%8PE^~>juK>x{Hw{a*iz(5@zQo(X*41xa6(@?$gSA@)OgIRP#7GSn82`wU(G=- zBvT6pTNjs|h?GlTtB5r^0%=rEdQO#b)cZ{bi|^lGI6`!*0gG!hD}_y0g}RyFBW>kf z36~Pd7j(-HP09`n+5VVVZkX+V7T;e#gs%?~+26JYEEi^^<(2Upo=kvPG=N$aSjR6$ zIbvqTAD2;>IwiCmwdB6sM;Ja_7^-vF!C@g80Gk6`{PDb~dTd5llpJl*BCX5f^IUn> z&k5R)VE3=joRPX1uB&a{L#am52U`5kwOY>0cFj4q?u)nj_xxG}i7O8eQyzX|iS(~3 zf=T(3%u*gOHgaSwVhm(5pJ~ZHQS6BiM9uj+qt;*uBr$Eqc6}j$FL0#AHpdx=qo$c~ znmX1QL)Y;gag6q&F1N$)iD$3A7SB4PFmpDgSfYAV$#ZaEB`!&lC82TAw8g_M#d9VX z1$k&rW`#9hULT|LsBQRKN)*1;7S{%tmSzZe5NRacoy2#JBvsPcrLQ)a@|H65<;WI0 z$f|Fz+vU*X;OfSSi78J9?FI4j5N&sR!AZQcWI|SkJ`MG+rGaWXKxuc<67cZSzs4&e z$=SJfEg^H>rw>m4wnfdjdb(3y#E=pJ*^0iaS8SdGAZG1U5N8ypBTmfxd6FHuFD)JH zXdIC*-4B_a8xuvbJ+}LxBhU&;N2C+tDB0lRgy(11HxR*W$;;#WJutVS|D?<`Aj~=jFh(rV7oc%CJrg~RQ z2IeYU+!=>>1tZHkKqeqX)1w0Ry?P^&$!ka1BKk0RHx1{M7qJnrbNY=)Eb?+ z!?Q=lIgA|^yW8r1j&?+{IB0f}Uja%=qBtntZ-9=Kn-DkLKD4WBV}l)bMLSZ?F8uYI zBxtc+2&KeCR0{QsEe|j)QV+UPKO2W8#cLcdK8_*nydV!D#<}n`x*kW+=k6<`8(*04KnDotpnIG`BgmU?}7jrZC_MKv09%)an#qzN|D0P0qhs1 zBseu6IQ=gXWhevR63_8V4BV1pi;7>+HY7u=58cF|A;DoZm_b5&Tu6?8^m{5F1C)PU zd#DFiY_^+sz`P?@D3J`Mfc+qG2i_iUK#YAweIZGD7*xH+E{;b{XqF@;W4IG5*x?8c zS>`6rA%>T}g=hf+GkU?IPLQz0a>N7%_?J>Qxa1e#^T2HYV|bCQfx8Sed#dnboghq%x-cfLE;JDbkil3?7;xR8pF*xKaQ^ID z^T6teniOwCsXT0A;a7c|C(V<4aU$au|YHbmuNG$(YDR zBdy*9`G#;%^mkEWd!OtIv-pqQNxC1;_#@O}Tkz+nI^=8~qK4(^gaqn=heD?$O*l*j z^y-W}-92Q)CW9;cj{b$NV<-3B?O$@>Cry5cHH~hJfrouhjr>wvngt_iCrbMNkoJ~g zb@ocb=z`)_ptw5}m*Vd3?(SCHy|_bx;;svKC{U!u-QC@bODW&o=h@Hu?Y-Y~uJhwu z*UH?PB$GRt%uKS@N+y~-!0uo4nL;6pphWncEX2GHg?Ha+65bn`^^zR4)6~qIG9&Ch z5T~2*5LjU7XdO3Ww~zJ+B79#wprx&uu_9#O9VAX?zVr%Vtm)_jZi|pbX5$5&e+_Qq$LM+<&i>X>lXLs*DXRPL^B(JF2IQz&TpW&KF z+Md4ojG82pfUjrk+_$D1JeQLn>sS!G>Z+2QsbCY9UV;Q$l@EEvC;k!>`t+pWlr`Y` z(Nm6aHAL8fieO{&&ODG^Jhd-f)Q2ksvWbS8US;;YWxL{VCMu&t_oqpD3bCf(_QG>f z?Da-l9~58SBykRSX_h0)UR%kfA+O(}=Vw{I_DpA6^*dZ!*L@RVOA0Jk1Qq*A=IZLt zQTPE^l^m;1b^Y*4_G9nP5Ls_`6sPvp=RIPm7hiH2D~nCK0~Y# zORP9U>aO&^SNa8=ol(G!0zF59rQDz;7CR#*ORZ)JYdt_v#MqSJ1*tSEc0cCj7&5yP zt6Vm_fVEv10y6}!Zlz3uY$u8N{a)Om5S_*qKfw!KSq&42*lJz7<|)BrG-6BnP3d4r z0ryYP+j~7618YyEPzOYe_SoVi?g1uXi3()CYyg0PG#=TYOJ|3=8@u^8qlwBi>+o%_ zC|!krRatve!SRo6N;X2FS<34{nP@yqJKQ?|w)VC-jRj9-m~(e~%vgv8^8B1+V+MPbn>7p^PUAH<>ce| zEO*DkVJlD_v#ySjJ@K3PgsH1Bq;)Sze7O1nlsr~Isy&r~SW z60b6cMzqF+V?r$^X#a3gGJI)O*(;IPHO~1n5=A)WT6M_!B;jn3o^xa~*bV9A{rLQN@1i@loZH*N2kE*%|E&YJ60_;sOx1WdO3`E;u1EIK_7D6s7{p`efgMzdp1;quWo@<&tXx13S$|lFUeC36aEhT|3v^>(1i%W%T zZ#2PUD47`@CHHJ5W}Zyx`qpQc6%&pxri??oy?+2LWi%pzGmeIhoF{T8f~U+7x!!fC zHc`>?3wcLpr+Wp3q_aw8NO1}-SCyP@GwayoFab{0==sQ_YvM+8cx*6=qd8td%F>#K zh3AO2L1LMI*_@lV_19>k?KdkbzY1Dc?8t1GpGGgzWq;}MZ zzQt9DHEJ09DlR?jdaMdfd1I_s29IW0fI zcN%vejI;0EeCle7E#@^*>2I25vwGSmHEYM85rRXzQt?)7q`f@PgAgGpmt}@$Si_}+ zi3s?(R;z0eY9r!sqYo3_htzy@(zXH-GQv#un1J~E*o6Y}8mL7?@o&pDOj`%VOhJUr z$cwQUUzI2Hb9kad?=Fb=^#oft=)bcvP{@9HfqnaEkss10LZuo5Ev;J=beHC^b~fE8 z7c~qQby)Ti{&xPY!-?bUk6n6~NNY6q1o7EJEagmpqg}nJfh+70*#J*rZZOlg{w?#J zqTecBxCL_1YJ%Y`Hj{CWKg8TCw zNlR=sS?-y1O5NrdF`z95Y#mK?32NaquYy^)6 z)sgW|`RrxIMzx-)e7LNR%xux-vY}8MYI1Em4P?NOclP;hZEp-nHAKfEa6BjFN+!{2 z4z@U5)J|7GDjEu^oM*WiTIt2^2c#bAiD`R8%Co+xrk@;kJJw9IS0L38f%WN~S;72; zl>&Gy?UNei;OXdyJ9#xBk<;y`0W`M`E6(kTu?Vn0{dnihO;BRv62!a)tQL8951id^ ziGaXls!*MmvogvEb^{=lqqpPs!00>Sg%HZef)*v)Qyv>J+<<0*?#OkKY%L|$<4|;e zg@|tHTF|^gd$$N`#XOpNF?q$j3ylT|M>wldP(^ScfNNqBc;3lzt?4zVCt zSCHvPs805<&{!6vtieMFLyYq8kl+}I`9-?Ic9n%ns&8$brb250XP;lN9Y+}xJ~J%F z9b1aas$qNR3P8MXx9jA(!j>8?w);)H7UdARdIeur;1NCVTmAqkJ(b~z!K7fh!2)^6 zq}`(tjiDB5$e=6JW$qhUaua)j(=yHS;fo$wMG%(qyVF@k=La0p;EK8`C}H_p)nE!| zHfAIn7(K~!wMxAhto_dsaZdP;Wq8;u9xLadIIhxJU?8nKL z3r=|v(w02_+WF!sFI-{w6i@>ybjLSlhyuvXiIH z^OyKr!W|nfZ5kkdR9v}+*u%uP$^l3BJh*s%&JC`Bi$-BSA^2dSl3y8u)R3EoD5sTM&=ogChTfsGH zGA*=~Tbt!un8>*h8irmt3d*@iwcg+Rm^*d+1Lw|(!U!AABfL77{+w&)X0#SR%4`$p zA)w;5OCBGQ4wSQn^=`jG5yKs&;sx$KCH&fFsEzLyVZuNdfJFADaQaqsIgm9`Rx4;e ztME$Bqmm^2*p6GL8NcF5TVJO*RSrC>YCVUdHt#XV`=gj4HtaK)I=xWQp_;qqE^P`U zN6DBq{h%)OBOilq{I=4ZB{oxB^4`~N1Zsu;JQ#I@1@ftDCmq6cv&#zeYaVucr%u&R z*H?oSg}+UHN*c77xs7jHl1n0 z;=R}Nao+f?JEAYMexHJzGjc7{4f+6oSk>M?1*v|)rKa)jhcL;OSF{Ney&83Q65b5#!!hm&E1UccP0%%K5YsAQ*u77 zeJj!N_Db>@_Jo&n1-!)`h!IRDD@fR%ShFR`<7A)zc}oX614EfKnT+;3`~Yi4rELv(nE40lxfBGdE@rF#+a~ipr6VPuyj9)+us)CLq7mvOB@9Hxe4{-QOq;r6pH@W= zNz3tyB>kLGg6?fa356tkgb?rzcMd$Efro6OKuT?EX3ZuUXDNu z?7thERl$_6(u(014gtL8slJJ-gQdy9Q;OjinwkwXImHAJBLMkuyV4Jb)3$$8@M!*O zkqyQB0fv@QRIShbBqfiYJU>=1AODT|q*bSG;cdSV^o~&{i;^(AmLrmyQfv^y$J+HO z8-CM-9tn@#boV2l2G~5CLM-1X?LOWs9A6QE48=(!0U?$L(2vbG7-O(BFvKJoHKDRW zmGfg5Ws%0(c{r&cYb`pA26?OylDMHO6pl>EA6->Pg?AM<7NSCPyC~7_nC(;5!7yJT zquik}&JbvpF_jwW0J^|}TLg?MSmrRwJgY)-db>FZ24yT7DPGTyy!{nIQy-xV`72lu zB|^Aju$*w@x0#oV_QS~(v3SQDpl{<)X}Co4HsOMT$)OPbK|;}Ipe5n-KSJdl!i5$z zDz0SKXC#7<<51~&L~0M=dJ4#)qW?lzcnaFW592)I^LqFL3w%K0_n@eA2#mEiH0`iT zu8KiclX&N_2v2T7fm4=&1rq{m#J(DNn+=jXtQvX84K~~+q_v0@sbFoTe0oF~`Py=! zo)SptOau%&TBW}b1WH1b{des~U6PzDc=`8oBr6SgyCQsel|~^w%Q8Jt;>QXl$Y{Jc zc3Prvb4Ins$fRl^Jz?yhl#p%VAYen9*u{|5l}OhMs_vI*3&x#2TjU7rAb98 z+`UsmLK3RW4Ky*rpixeJvCD=eEOE^p%Xc8qMo`W%#)^JO5qSR+;r|KRUds;t!>7+C z%7nsxcj)_8Nw_*%k^D|UCV~RBoB|C9fd_HRusvIz$6gHXAspzb)j&@KCsCQ$|{23 zM*%++a^f4BvzHTD>| z_)1kTR4z3uHUcUzU;e^`>SG$ZP0uiLsddx;5#~dyV%?#DaL6-AoDxF(9wO@e4aOQQ zP5WCVSHqx!XOIMtw6&Uc?;H-=5O5XrH%>3u^ZiDa0i2Pc4e2Fo?`??4S=SbufMzJ5 z4t9nwZgl$=kwT5Gvm4m}(TMxTiVj98@xlW)?Y8{)59ggo$2GZ%5p(J;Z?`c>9O_#1rHJc}K3Rls0ZoGoN{B(>=9LJ70JCz(udIvaDdVBu`PKMSx zf$$otaIPn#l31Fc3S}D_oR*7{8tCXpr?kvDJ9(UnGw_;DDn#(2oJYBR_!gDv;SKu~ zF|$gdj9KWX78PxJbrv6k*^hTZw>DY4cJkk*j zBkf1*hS0l}7SWx0-$qA$;LKz0MbFAA!js51JOkfvY7zIIfmMe`IpE^~OLlZSAue~e zRL;iH414@ha?|)A&9RvCZgKnxL4Rp>b|TtbBy+7--MHx#S4_3Sr^Rhdfq3y9=4l=@ zbmk4vX`K~;gg$leHMD{9{TIAaG4V(5ln#f~Z_SMH(a3`otlfA-Zz?5ljAA1p=5yZG zRy(h!Kub;SZb6*)2($(~pG&$${xUF-G*7cpKPc8w_bb=%sZ|3LYFEttrk^ulESy@{ z9{zH20bBj8B$eNwdO?6`K{8x@NxZy8rvbCs1wml=*Jg5aI^BQ+az*^0Y>b;4tlrWX zs@Zb=D*v;XT1wODs8DL{L0&{f8a?lI0_ABsO~EnE$he&uypKRs84AzHcoq=VK%lu! zGPks-|HRKJ?)ri7I+^kmm$snr=u0uZ@q{Xx`b^3af}FRa zrz~$zIJ1quEZ-@IoZ4jMWgp?2-7*@rEvPQjj345>C$I2}DZ67WSe}Tmi4jvvHXM3W z5TeiW-uksFFGHX}qfP-Fm4eT7hV8>8u;a?P&GVFHw~HG)5^?NJRuBm#R>lt9^u|Vh zN|{|e{k&p)kYgV8?x zf0p~;Vgh!U{5G0(JF<`Hh=IupGPE*c_egs>7+gn1^ttFu&KokKbMR;FJhVHE47lOuI1#^Nv{a$V9l1$mpRjysnD0P(pwFv;jbXg zM5?fuXni!(zz?Gus^mZto7b{`uyq1prJ03)@it#y@LbrpLW|fY?RX_+={ z-Mec8P}4;nf25abpB7P2cLb=KIM+OOF3d2}JocUa&VK#eetj&yteZG&Cc;;ffmuxO z7$&~0W+jJaWpRy`eRZq*pD0JO$pVTWr8n_R06ZhTd=jvQ$Be7TgIJFK+UVo za_Cl{`LZM6Pg_xQ$i*G=BJY!o{chQ;3W|ykw^Y?WNmF*^a|Jr>8X4^>8SM%g?J`-R znOkhJGN+c<8PiEpK|DPa&Q~JU22VNm`!C1mEOYCo72gILVToo0Jmq}0>WYYCyd?)V z@3p4iqClsAn`Lw+a#M$YQ^pUtm*NgwJ^65w@DqO3Onj_3~?CXJDd! zHyD?9!)eNV_}HJ*y&h^XeWvRtPi> zHJju>=_=6-j+Lp-wn(O10^W-7a#`r8Qx1%_?q{BgI&nrx!j$%2VH*zE@;QPJHWNQ0 z3h|l_Ounl)NpI&Ryhk(YAe=0NLRdxwe*CAgVp5tk$TRW@3cd?$)vJ~HIJF62#ss{s z*0VX$@YJ@mIf#go>^2kP5{RO>JX!brSiL%jCMfh;gL}|3aXen5K}0jv-tR9N0}hus%X^#xnOqd{XR<*}M#INP!SD$B%)&ju14~Y+ z)+jg_`W+lr=^pUFpg)3LNUtVNOZNXbcXX8GuZQv?1i2Q6+h&BTf9RNx_<~7qu^Sxv z8;ky?#i+*-8u5ie>r%HzBmgn>Cd8{`sbZ4y#i+!|RgN7w`HbK4O*~MveRAU;iu`YX zQ5-t<1aBZd6L|%08iKLOHiOk=|4pbINl;r?-Q;F4UwRHxFeM;Cfy=wDhl~PLV(dYFob(OqVm07ZTh_LZ=W63GD;_!R8yU%|zN!XZ)CQyS1VHOJ6|i(n0^LkKJk$PV0vI&fz)tlKv-{YtvsKX%!nFyLgqn(jzNnj^o`)_ExZPYbM zROIO|(ylEdJnRLb)?2Y_(PY3WeL%~zA`=qs(Bh>bRboyQBAtQ5kU%-b;Ut3$#R7Ig zL1J){F`KNC{P{PDMoT2m2wp3}XAKKCu(j4%srHi+w5*ZMLFEb#Gy<>>%8p5?7OI51 zx;qot#gz|@u-4y!&RGfx4Tg-$R1Fd#PCWNi+4zh~qotlVDH+rle|4zIx_MwI5a1#& zVEb+PNp;Yd7=&fz=X|xlJe1~WQ2ov4Y5V4OD08M%*YQPwzvS1iU1{SLMtH@3STY}` z%bs#6KebAt`zLtETc00N*&Le7**E0Dv%kF7VidpTT$jUNwMS2yoOm0QQVpHVez3k* zOKFs0mjYSLFxt0J;IZd~$;iAql_4QwBC74g7wpzGm&8BvSTx7!*P)@Crb5Y^AxYyGU0OapL+KK+FV8uX zrD!%5<<_&mpy?oUbwa1v)G?(Dwq%=7e6^#zsP=Ta-UPM?wfMu&e3moNX%zHJG7KFm z--6Cjxv4Mtz`Zo-O_Yysl&55ii@=@)F)Ik8RD&brXAB}F27Zt?2I-Hvj}_wi!p(4d zMlOTiMBA*J_t(1@E!YZrYk!>@S&lZCSGcLa=u^1tN69Txi&dU~g9O|`AJ}^jA9xP~ zx)^(o2UGw_Zy9$E~d*JRL)z0-5?{Gy| zo0npv6WN}58Xw&^m(4Jnyzt#Cc!4eKAV*u!`aGzk3c~YGM%Q=KkaafVrnHCFnuX`G z&hM~(o$HcklmbL2w_Pt!Xg4|m+*;`7j?$p@Bv1z>gr_XzWh&Gr1AMnGdSD9$2*{|T zu8_EhY}ws28X6&`VuF=5Kwy&O5es}|<(oZM>kaBsJs?3F?4Wgc(67ric1Qf=ABe-sE{qV~8!w;@fB{B7UWzOz-qhL`idpM zPpp9krH{7TskwSxT4&fTB1aQZ{BmvCTKjlnolX2Y60_2SLhz6OJtz_Ukv34eH3h)I z>3OixNlLu!V(*Ig-VWaF`aBqQ!Fa2^*L!ja&zyJx1cR_4t@PJ8!fe_j|N8)Ir2+q1 zSMBs-`rSHpzOy65s?NJ_MnTk^_0bIvJvlZRB*hM?QoaLP3($E0L;2r=0c7~GEaL5z zdHq$C2}ait$q7h#GKn~e?wQ9H)eD#JMX+_mCZzF+g+RhspU?XvX8+j=K+I04@~L<~ z7w-4Wrv+E_aX>aJG1&SeufWZM<2u)Yt!qXnwXs^pZs!2g7I3E#uJg$` z!WO8d(d`z7rU1AOTJuC7WgSizO>4x5+)iBSq7$u|tnA*e6}=wG9c>(+=2-v&hsHNo zXsZ*V+vm-HDAD&EG4^22?K?|oBO~Q8FlRNCqmh$g<;+PZXZ0sOS4z(vnL$y_V9s7V zztG~EYvA%u{6CDQR{C4}Hvh9m=7hE&yrwRYhFN#AePmvzR=>O{e^HySrN zHDT3>N@uGh%ij#{3LEj-7M`Wbsm^Z&R4RgeI+t6@@iR)@@V(%6Gt|91D^|XT7Dz~e z)z@^D3kxyTuQg@|t;DghdABBs&9T3!xT7%w>=u!w(ffqBNu~$F-hym}!|oPu1u^zQ z|B=B5IM{GNSFp)xz!|Ox!;BJ2fIN3bqs@Y&I@fJ++<);dO0A4Cm;=N0CiH@W<{y*t ze}y1$F}X~l)@}7?q2v0z@j$${A;Am_wR?$*4;+?Ic#73(H=& zHDp*k>Aais2bf}5wJ?i3_Ut7<1eZ^6G`AG+ zNxH^Khk>GiPSv$H|Gkkt|DBcifqE7LS4|7xYIBVeWZeaNfE$w7DfF&{HLM4dEqd z>|qD`ywhMrOB8qdJe=8Ez*A$QK-qu~ERw<`?CnFyk^%ATj-qEKdkDMl=}sVpR`NG0 zhtu(s+m50+o|=W3q(AMPkm*8k$>s~VzBy`0I~kbB5%BTbT5iBL>{wcViheyD5PZ@! z*<+V%_rJQj)?_lw>hf&3HT)&3yAVJ$t3U32pqVqU0XIU2x~t=n-)lbf?y)~9@B-=* z{Ib$=u?qb~laP-_-TARLx)=W~!jpqoY;7ZBTEB-PEJ_Dv6*zT%Z~8&xxI4&jl}To% zT@PR9^Yu>Pn@lNhujjv4A=if;tP-* zJp4_vU<{B5|NfhVa0wtu`a?o9_LdH&hFF8qy`e&_PMxPRkdd~(T~CLnQbU2=5fW&{ zv~g1g65apbh;jz81`?;ByI!NYdbtVjQ7vRUSEW{(tZew)xS3WR;~CXJ&_y&pjJ7&$ zR7m&CSC$0z;sP#6zD|75pQNpeBmEmo^>Uj2u2PTuTp$nUA!q%EpGHcQ$*hkz_Kh~C zxF0fNnI)5f-=oFL?#<)%?fr2$^9Hfgb76doiJN|cUxL~wF76mPIpnhMq_bV(8&|XM zB-yO2yOI*0UOp#62(Xe3mc}3*B%+b>o10z6Wn0q3p`*pAMj~}2qV;f^n|(os5Pe04 za0z=pRxv%qn+HYYSDl1})pLEZjyUoU`WX}0J=)dnDIsKp&J<%xe0W(Gj6%p89`oUh zfKWh2&$(>$vudO)N--{Mc#iQ8o*`sBle?iYx|yV@j}i3k=1Sjv%#8|FVK@Y(U^&|D zjIKppjS59!IW8uGV$TT{e_awT9-an`J$oA!f?+vE(MM1`yO^=z9F~Ina$A_n!bzAg zUHbV{x(~_C?O2GPjIv-Dj<53#Y47*^vNcLri2F+nYE!XbKO!4zaUhnAn@>TWE%D=1UWN zODsgZc%}E7&o{`&XvF2w#W%;tW(df5ZJyZU@5;^X@Ve@BlilZke~+-TzVZd^CaQFD zGW{E0dknWy4hJ5rh${_7TKhBy>)1PNB&+4uN?ADy2@ihT_9;a;t@dd&rS|TlSDcJ;@k5G<=}RhZJ|s6XGXupi zc)!L2cuDhNry%R}qiM7N^w5%qpHgIL%#)Z)liR_?%Y)==eT~foo3KTW#c$34tCOdR zpn3s4z1$9gu^jW^XqTLv4sHk!za(qYeG)_#8HVplKVhBE3An)9+SxU|P|LYk=IZaU zVFuCDG>Y}$b}1RFSoQjG!B?J-)ALQQKB|_#-cI2%Y3AA=B6+Mip^eY1gX*-b9#P)a;b>`b+owcT$+8^uW>0!%@OyqhkF?(DxNqC$RS4i>PQL@n~$Gqt1o9%N~h_V z>W?2}T(2kppf%g~O{TeyZwncf{yfCm)N=6kJY%FnMYp}5Z=r|*dopL_M?;PCR+f4( zUc1qq9%HIs3gTWRt^Eje{eiR7DOeB3Qr%8APRy*r@Ahf;8gU^mZovmOm!QUx$k*BS z#IVoxIbS2-XCJnr5Q!}DZ)*(!!PjuWEv}Pfi~q~RF>R*fp21R0^$KRS&h9U@=q%aB zhgKrug#vQ_7;>7y)%M?{zhRL9KcnA#uU^iQ5e+-NAD_mCo_3FB7D^3$>-_zm))4Wh z?H#3BmJ=K-McbqCr;pYK-U{i2)zrfETuThq2 zN0g&a5MbWGJczXRfe-nAg<0!t2Rz7ZJ5lQy)Wd12xCx$R^yW z?$zI`q!F$!Z#+$fp**_?BBRJQtUmq@2ysChbFo};OgdEH(2dfrADSLYl;0PVY$@*; z(mU9d-{+C!Og|x5GplxSO>P^XlDD9i<<$ODP-QepKGh`Et{$VoEZJ)@$$oJLxzD8@ zgLld9y~U7)EQ=&3@`M2RlEEG^WNK2rZ{|Nk_WV(a)56fd2aeO;59jTTLr)jGqqiH8 z`me=3TO13?Sx1Ld!a(4jnUW8l{*O>DInliFz1The3uE31`s8Uw%cY^fTV3l0we3Fw zHKy<{!BVZkZU1M)SW&9XCigjXf1C+MUAdnQ6R# zXZ(Lj*G_e^{J#bJ#Zc>2H|yl|^W6O8IKikQ14W+Znzb&c+WXBeFZbUzeVx}E#P{P9 zg3k;-?*1wPOv<^H*T2;nuFlo{>c?}_7EFHUboV{R#s5T%+|$1B)y%1Z$!d^u;kx^ z!ig&&gJ$?LnPEa{9NHXNjtS2x94-0T-@^+tfuI^+k{nl<**be}`M21k$Jwzy5w4Y7 zQ57x^WyaV@a^lX#0V>k)Gy9L0_vhPt{85Yl(33yh5942B=A%v?e}wTOg}?C~^Ki(K z=XBFa<3tWpXwGl6hI6$}mOjYM@3is!)zPrT=1++)DU#ss#AYqyUeYN0mmXyN0x@l* zoDhBztlet<^>ZPN?(AUY>Qv>$tlDj5c1Z8!kjka1FmtpCV9^3k`=5jlFGbQ5Q}S2w zYvS@6QmJ+}<_+jyDig9=<@W%KwES0_|4o2~ij2=#wMhZdM;J=_i=bYl-YofO>L1Y; zsej(FUc4Q;^VMB%ahmt_)%E(KIY4I#Fwr6>Oo$p_BXwqF!g$NxTCRf+pR{3|LsA!@ zTGLLh@6wj_XU(ST%#x*h6Xhko6#`}kNWTL33JfdHA11P;%K?p{+L>(TO?RbV`n&Kz zk~|gR-(uXCx*#Hk;88f@kXzyV(WZ=C!e zRW#T7DmO0)*bo7Lk++GInU(QC&zu|oWi>0~Pph5r-2E!DtKutLy*%-}W-dRt{&!F< zb!!Jiq#n5#F!-`S72}+H2both7vmC5Yi(l^O@;p>imVlcYi4S^?L%emuE|bTNB?21 z{}M~wfj^@O816r&w-r-b6R>bZIs6l2|82ki!T&cWhXY_8hBpT8iXDbE7aUIcXHQPT zInV81%8@;G1NN)7SRTO}B`YV?o?rc!5{^P|-gU5VU~u|I>UEBGO|9L@oG$z6t;oCN zt;8x|AMSU$9ejQK<>#N=XwGQ}M1OOLH9Y5x>dkvM=oF6AqYn@$z0)?6Mm4MtC@BPvDCthtWZZCj|e*$3E z4}D+#x?Tk~0#?#4m+f~?E24`t<=z2yL>|!G4}cw+`2@^xK*B$E6G-HoPqyw&8Y_X9 z7l0=<+=V!C{Z|h3^;C7;7F$$_Za>5#$$9VY<+L+yn-$rxxrP| z*&NVj4w>ivZHM2}KdQd{^5F34{hgb2aX|d+^6C1eBYSx5@drgI56@V+Wp21!QpK8o zamc~1qhDrJjq|)46KrF5g$YAhjtnh;700r17v<3|)2?3<8Jm*FvWau3R{|!m&TC_iU^fwS-Gh<-=Ge5A($Jjo*o|z3< z{CAiBr;qh_uC4$FrscDnr#?7!opUABaL{^-B1H+@7`a{c1_{Oi#5$bR|~0EblY11~hb zNgoN;D7FB_>~(VGK@uEAF9noA^s8|O!Lv?%d5SzwR*WV!;A}#*Cf&UhSb_cfM47|~ zOqBl&_P>w*zh;q)TKqBV#q`Fu_W(a*JFGqNfNA7EL-`EP8nB?Tb3XO?iz(0LC%GR5 zU!O_OmZF)~?F<)}fECO0fZ(w?p=?0yoZ)X7$yZ{M`gXj)Y(%JCnD)WdsSlG;JTkPe zd$K{l>gP4D=y;H9epUb{u*?lRFXy~pM>HpL`MJGZ+ULWrc*a<~viDOu&dGF^+t)J| zsD3Ni`#*yx6clrFy6(hiYtYV$#4>#GrYL1^PSc9q_giJ1p{q-UP{OY9zIhE(ck1G*>|Uz z0*dzsXFHvZPgdZH-s&qlyS=I?-S;{swjW<1&vmxCHRiX!wy9$`uihQ^(&80fv{lyt z9`#xueomjy58yZ77%r!7O0ti_8l3lpJQHK~tgL9Z2rMgI1t1T-Lhd%gwQ2GEWYVz0 zulmWHXF9Ek9M#_*I~a*7BFV79jmGQCCO<&o{lT}rab(V&upN@s%6xWhy=rQ?e6pxw zYPY5c_BcYK8F0jv?FvUY`PeFY7`UH@lK+P17Z22n8-^R4j@1M{MKj#7=q&9aWeJ>j z5BiEQQ=Ql(V(KPQbCV}#!trgmF}w8JTt9c=9aBqN;w|OAgNBJ=t6!&V$y~o_dqq@U zXC?%mAtMXFf1)^6k&xS*s5&9sq~_wOjl%dCFV=E=udyKZT#sJL$f+POH0(U-3MC^~ z?Koog$DSa!uES4=D3#Yz)OsI{vJH8*g5R8U%)nz4XdM(Pv~CJz)B*KGxjorjfr?Nn zXLP7oaXTE46Mxv)MH1VixQpW*jX zCloP-rcd_1xuui-&hs%>D;Xj+b}y2aMU$_We4)Q#RIMh;55V#iv7v6$k2VeTPUEV@ zqx9e2X=HxYrM4^@{+3!aoP)GoH}B4u(AQHhn7%8()2nF2MeTA>z@Ak4SyvAX9 z%b_gpccRf0S*AOU@||c-c;mfE{BdfXYON%pk;a+OY=^@UAOW%MlD1^W29-{~+zD9> z)}Ah7NS>EG22}Is&XgCLUYYRjfzG zCus7y&3+{}@}>@NMnk@Jtrl^m?7C2FDTa~2Y_{;b#lbasA5+&$G9Rm*%b{RXY!wlt zO;VrB-s{g8iu(t?A>cN$o;zcLg^6hJSgK$^R7*~$?zf{kyUbE-jzzb`mC>KPPr~0F zS-~Hg9}A(|k}MjA$L9k+N~k>E`=d)2iw|wj*K%X~i2Lj=bML@_9dLOMdd0kdiwSAq z9wV^T^+brNaGupI#g8tLridhm03n=+3B8&CDN{!wvRjmbsLT zcKr{sE(9V#k6~Imis8&%Uju7*}MffP#!x_QlJ42JBqLX-e%*2 z@{UhXBA;OsNa~Qd;j(^75scKKQ74)V)KgH`(_5>|sn6-p>93Vu@JXFJ!y#RGR8)Y$ z;0kcX^9{FTs($%ii`->IL#GQi^SFPeGCatTrlwkh%jgMOGYcng}w(NuPY)T9=7cId`?sja7bV#J<$J{(T9wTpG8 zUvyu@rdo{~>)3@TPO^{^b5e+Fv

^a-EN+ps1zA$r)!hw}2Xu-Y^Ezi2M|u=y~; zjKG3yDST5;f9sYv+A!>2GM|jnfqu)iG!*bkq;;HW9(LGjxfB(^|*Uk;| zhJ9I!sbX@FrEiS+$CWi??={``DpQhi@qW6b5;gbNt&T*g8hzV_8FiDyJ|_8Z`$gWZ zk*({j=G0B*LLiDeZri+IxJ16xmolN8!qG2w z{%&t_=C8E4%h9gK zUTjxyOVN

EPWNnO%r)L;|+m3xEg1sM+eUGzu84^?&H zMID6RJ8uLm-CtUjRg4(#vF8wak5y1PN`q@1)L`9(OoU`ESW=C86KzDYYvdp0YhUrY8bKU*u3S@UubL4kqpS(Dty=0=K0UCr10Nj?j+5boVqXGowB ziRR*GIwVkaZwXM-CA`Z-rt=TEwgdS#NUgH6z$iJe9>o8A=+5aXtWw@$fX;<9+blud z)T5ZS!~AbR{v&!U^tx(t;*Itia<2TKRzkZ2gq3m3=4?7UUd=@gL`?S9Y@crT>cBHS z2+-x;NXSF8{I1jRuKxKh9w>07PoX*}Tkj2T8;rX%^64(#;`{GI?6t|ZAW)YtkVcEQ zNmO8~cD3suVCn7xeOeD$a1H9lwCB_ub*TQbrpNO2F$*Ga0(g@{H8bde;hMV-xzL36 z?9TbeX`M*{5oq(SH4W&a04W{4Q>EBlr(U8@<=|{R>-D|!#L5U}+rU%Aj2qKEGsx=a z4uNTVnUrjpku{T?((fjrv~ad+!dmLe1NDru6eyow z+T1&SaO-p&C z`r&P+#xT6YoG0UAbMl`GUs{jW{&4VqJamS$9=3T4anU z$(F$ST<%5PYgfCfs}Mbpz$4`nr{ao2U0|B;z5njW>EWBo^3r05F0Y-twwlmq%9Wk% zY{QakV~?6O&;#O)WGCv)fIx#*fMBE9_bNxE5$wAQ!j1I~uaBM|p)T~gh~m)rU@0!O zlXwTsT%HL@3N|1fvjbPlJQmJ3c)Fg~x7dH-%w-C;ef8ZcPBUzW!xj?1(Dt`iDtv<~gvkx1M2Q5Uwoe&I+Xa_bzyhIWMiQ7I1f}FJ0osdz%6pFkodU@R z;XHKP?`cHZ;-Z?Y=d916@)txGXKZ7{k0eXhON$K>N{fXWbYrCS_^dbF55%fRgiMBc zBw?w95Nx(G&hUO#W_gbBf49|;?-+k%# zmfgT|dl+c6IKuu#aQn`_tiLy?VyY)M`H9)iKqkuk?=aLD$^WeM?oH=)( zatKRBkX{@SFaw&fHd2ydM$vKX#)xU{|8VveP;op>-)L~x;7)+x0fM^)_h7-@ZG*eJO9<}4-EDDq z2myk-yF0l<{?Gfo_I>x9d(WBLuI{d`?y6sP@9xa>Oico5+qPG`o;&q3AF-FGL1wh> zk$^&y0JedO`d*MOwcz6z&*xPJ4P}o5dU-i3nigGe;PaJQ3fQr9rU}9;EKtNGW{bY4 zPffW%?CkF)K-QWbs*w8B={IP-taS0|*mY!>67r03IHua{u`NzoG~`TMix$rF%zShn z=XjBxD4Xw>uZ?QEa7wkItQ~V_txlsl+!7aH9w}#yj)xnyx8f0c5WvH~dBoB1{z3NV zq-$qyO|Db5z1&K`{x=9ibPj$nxMKa?e-cYAijEP`<;T)egG-nO(mD>`WyC`1drzrP z1;dP*AgvpaRxnd;BB>W+6AJ%Qu&%8UT4Qz6;;$Z?gYAZc^#Z9#gE;rLe zHg{zzzk_Zjw}URb)ee}?Xa{WBFzV)3FzPnLkaBr}oN{?kpNC80pj*F}JhBZlkgm?i zVd@d6`!u9cU0A|2+ZsU@_Bni92b$aQ10+xG+lOpv9THz| zWR6UY5?dv{*i(=uZ_naOEc18QOz(ilT)x#1GpwtvQcxn+;?7FO{1XKjO2|4rV*d~Y z8DUHRD2L+-NzUFW&2hKsb#&|2@P@imU*hEfjcdtadAhoUjjoQ(Hkilq@<5Y*cSb2q zU0svAGI{~rGCu6)^lN8ERc*X9kKAdH5v`y6h z=~OcDD#X;zJ=Gh^eR-xw8jLm$x4`hGNp(E}e=*XT* zhlYEgE7Izb@RIn*bp7w6I?Laecs7e#TNso;|~hg z^CccLrH|40bqDFfrGI^Gbd)SyIt~;h3kywCs}CS+I*n(O%+#t_&KwljRmbN3H)p4{ z6Z3F-@&1EGiR|9bmJz^yW4U80+n0k`gSnAP3zQkV{~7%wloCuQ2eUa2rCae8%3gmZ zEjWCf4gnvhb+kCWdK^IG)&KvXai|i}(Zbkzt=@>LQ`mY}suiNQYkjHSNF`{Pgsn=2 z&)vn4^6GG>ekB(tt=Cnty=BZQ`5jf`u8rZYU=r0&+Li)fPh~*>-QRQvtm-%hD&0%H zRGVV&DbJ;-9b3rbCx6><6R6^tabvC^*;hGD!be_P-*G47o7 zkX3UmooEx3#?aErbud~Cm zxMsd-`W3Yp0Fs6Mbm70n4_g3Cya1qu0M0-ZWXc%hyBE$;^O#P`?*d}47;^Rl@J@3F zfXPi+iyQ)5U)8mUH!c2K*>Sq?-@?DjS^;H^|0n|{XQKn`vHMBln0WSnLa7n#vGaH~ zuz>=atzBCK^`dv!RIyOS<6pxgs+;?_#=~^szr}wwdH@qST5+1Ro1L-ksC-Us0(#U zlV_E)&^hGLxtCjCepjULsbE$iEPQVDq23;*&kpBO@hP4Kt*=r7U=FGk{200fPkepA z9)T~_cW*nCucH#E+rNVU?0Hd)3oO8XUABDG8Y<_D*>pM28CY(4J_$Z$IoS-n!|mEc z|qdp=?T}v6+vHHvu zgy`@AM;A_Dmb>RMm-b7u&HD8=R*W_SnAnpS^kRD_Sm>)23%S;DeacQovN-()c@?Qk z{jFX{1NMq1_-^D6Zrkl0Pup$sOVKUKAGEBzTM|~o+kUy*wt)$#%jdB)iN{kEbvqK< z=+$1}Nela9@W_=yFVK_ESI2pbUc$pB$ouh3l1`q&sT)Y2k@aFp?))1t?+tNV@@@sT zyO)=>eJ=OpF;4`H9 zkD9XkjQ9NB3rKLE-`fDm?5#ii(!D)0D{lRF;Tf=M9W$ro0DgVySUAB>_+nn_CXk{I zrJk#?>M8YT=PH^0q9dWPOxF656{#u4Du&_^#g?XY8NtT_OKW+Djx|r2w=)-Q`s>Vz zvc^<=%4ZLvVk}kw8JIl>IZ`ocdc! ziD{U7WOY|Go>qqmg%@M`2!~jQN|_s~P1X6xlA#eDYus*krn>3_v>M-lzYw|;(Dru^ z8V)V6IN=PJhPTF`lvehFmM@vYQusgPr5HIhr zI(o>4RF+UlP^?eNBwQZ%;(hK^#bUW4ViI8nJAu3AHahB?9WKYac23F_f`yn{#t4r3)kPKTbOVH~l9l%9k zfgVD%T>Xp{_I@WcFYGu(kc|b72xX%vSD1*0P3CdGN)jCTJ-ioU%MyXPj7rX0@F|CT z_aWe>OmKO{n^~-Jf*tADVGLGL?#pbM>CnUU^Wwyfh2d=3`-ZHV>E7mX;hOOAkk7ji zTc}XX&1R6H?1WTE*_w~qyF%Ji-1=X3Q){1#q=;D2YuVXoZcVdGTv_$)t)LZc@S53u zn+#Dpw`SqV#_k=MuV;2SqwS(baJxBq&mIYVNV+4zyCmOPeBk{hpR9WlPVIbm;(0PX zeFEBx@Y-X#5+qhOKeU9+@o{gkKl*@8abxC=`ZmIe;Gv(l9tqdNq#hO8J96sJflNO) z&`gv!#1r)8-4LJ%&n)irQhI5d+4_|2!);sZ_nYlZNl}-gUkSK%45ws2wyogS(SHC8 zj5Qp2xjrwv1;V1R;Hl}XHxv#TnI{YSS+rL>CjlinE8gCeOS_*U)) zlp-#G;1^+40&Sq^x*H&{$?-wDt89C2JOr0~#3*i=(c*jx5>T0ihs1W39qu8e33`Ro zBU^JfisHG-h4Eer>;raMEJNrG+6(_@GK?puBz)vx*-iE_H|UA`lM_hlT=eu7jY{y8 zNOq{w8M9z(HzSDlen!6M+Y|VbnW|$UEIB^Q<$b}HIxn{J#pQV4w%%369&hh*TXX^RTNDdvTQ>4b53fG~0)nHfK?RB=;?5X^*r)pW9!nrT$f| z{7-fHKh?YAZum;iB$6z=OProKdFAb!wOhMk>KCsXa3)ZXRadVyhUeH9OHLmd?wx?Y z8N7lr-a57A4=WS#dg`{N?T@uvf2;p6*oT;{bf;NW*RAc~H?FBg{D5ivFfC2Q=!}6_ z=t5I$e46tkwJjt*{DwrjWWj@`*cvEk0SXXrfr2F@-f)5Ghgm(kJ_T5+Zu~ZS5krl{ z&q_C!>DBog+(t5D|CRQcx{3N8WfVdt_1t*^Uw%%LUnOOt=5$;+!naB+A9vPuC|+NA z29U2_M**-r3WfiU4Y?a zy2f3ybaL(*)B1(8WT^@;^fgGf^#rX|-;^D2M%_lo&Sb!|q}EiZui8dP>^DdDJ8MEk zjQ@yU<4!lP>FnKo^U+I3wk;rf&w=Q5&8%<%x4j&!@}u7lCwR0gGdj{WKBAg`R?4SD zO&CXScppY?-CXy-sgF`rlHF8=^HQa^SpM2 zdX!|IieIXz1Hc@8C4)uZ@Tz|~;_5Kc{+G9o>d$*8RjJ)MRjLd<^+d4!08(afHp3MF-nuwppP4>s^x3BCW<343)&r_|)`;*-g~jApf+Qv6_2?w{teShDt> z%Jt+kOk?IJ&hX7K`@`bWlGQmniL%8|86pA50Qvq@V|V*M-@mr_4sO0A#%vLf(WVsCt&!r z;`e{8RR7_Dy*d1R_otSUAD4$E@#B@H(ma2C1{C~VvmTrhuhI(3!4;S-;yPlK1tjMG zC&d4w3_yNwPBr&TTxZ)Gi?%YbL{Dt^GW}8GhrgDc4h$(j9U2s1Ab{nxBn;=*&86jt zxEsLiN{WBXzK#3GY$Peb?3R*;D4?B!j_R}Y=f5%GO-)-_+9JCESg*Eit+V~VLI1Z0 zGBLZq?LEI*r5r4}OHCe9m1eZ)YeAFPGQ8hn^PiId!2YxNe;EAVJm5aCcv$JJL-o89 z_P(+-@V{dJivKJ9&xIRUtY5Kr&8x5%OiWTIoUz;9b{l{GqT5y8SmCd*J~pR1bGnUP zb8Ri5(vhqVI+W_3vD^6<;+OxJ-s<*`>D%CcOm_wv1Jl8qp9wHMauP7zpy~e~@N`az z(@sFx1Ah1$`mex8{=bKPM(O`|!&wv6MP^QAUk#sB`D^%ujJ=F z+O~(j;(rX?0fb)-bt+k#RaRH+;8g|vof(G`&krgB^0$}D zz-r(ILzV+x9olDz0w87B|bs~`t4iNnFLMQo^6;#M%CCYy(I20t8g{kY%rQLt}JeqSE z!Taxkx(@#zUOml^d~whJaXCj%mGQU1wY1c3rMh&>%K6PhX@_o{_vN)TMj`haWy|oT z3{}rCw^m5iab?M&bEi_EjgRVo(j!%OL&y7;|4A$74bSZ76h3385}|W!vtyqOXFbX% zE#b9tW`H&6e^dPa6RjQmvr{b%xZ2iPFe=66%QJ_mu3a9FsoPaXc$`ZYCKnZ|XBP-Hh zysm*hU-1&G1@N+4CtqG!1f*6cM|Tqk7_!vs&ET>$ekcqb>8u9C*dFV(I@R6L-aQ3) zP8&88x6ht$pGvOAk;lFyf1;EpZ8w;O8+pUi?0iT#7ag-lD5juX>EH4d1bSYB*T1@# zyRRFwxvN9*yxBRJ%IKSTX^1!D9K6JirM^MTJ|ZNSd1IodC#-mLO#p4O`UPNA(V$KA zJ_8ID0rdGUQk|L!)+z9pL6$qb(kE2HkLgdlmstR5^1->PIGm#bGm7xUItYIKw8JUXeDN7ppH_#om7-DsBo*G;QB z6QlhSJmQQv!ME?TMEcMqsA3;FzrC3m2*jkxQukLnVIaFUNP{k^SC9VCdtfAjpkh@i zU`^4x9byBWCt#f*#6Et|!y%&W{ac(FU=V(AZ>n#3TmG3HJ4|zliAG&9sNYmyyQ9zq zE`D+LeaiaKnX0-HdYs5vL+U$BSiO89enusPeRm;lJx?^sG3qvthP55_dzd@5+-lSgZMwM&#$xA~WpM*6t4`8k9!e3ZJwgClmaETJ8=b(&F5}k_=SW=+LSp`Yt z2-A&+owy>WhKFPe^Fg9)K$xSC{Gnw-aXntV^-lG2Zob>}*?i&bVCo7OfYiM|B!%*M zMph)d8smjyI$GszZ*#j`J6i+Z^t0xEwmbpxuvWM}y&dw{2$Clv()F-&*z8|cyv}ym zoQ+j@bE-&9-8DBgBjvbc!D8gpm^?o?XV}kS%TkL`-4|KDVrVLOHfz}b^3%|C(<%br zLo*`f8Qm}f|Is`mWvr9Y^k|vUl%u~f`5bJ{Qi~7ni!@UKD)6`lEKlU#W=~~o1&F%{ z{RHj_xO9M)bWa(Zh%>n*(ioq>Alpy0_Ks#~-3|4`sxa|A;jY=@{`v6^yvx+e@56n1 zFZ8o?)@?%1*+am?(8#vRgPrEL83EQ2JPKm8FA5@uMu0!#Y_>it8_k!-Ye$|^X4=Yw z49V)5>}1szEJG(@GmS`DKf=%6+R%u{2~KVj&VI1;gzN-mztsuKtVhkO3=1u*YB2J; z!rLmlhIf9!(mPKB0zl>OEW$Et6^kmv0lTUimi!af+I9U6_u3U)#VhWW-s$SD;rBmV zU<>B=-(Y2Y9xK(K9Vygh$}(*uqT$)jyuK)&8!6Oaf(w@~Hf{4fxW2HM9q|*9QN6vG z|JIOtZJ#~qHKU^}Agy_8pS@?yez;w#%cM63>y^Ft%~Ch5R=2%ISGRG=y11I3m)kGb zPJgl15K4@?bbhFLnU{OJw8AN7F*CzKXo~jsD1GrH!=aXHZTu)5DqNu2#gr9E(Xl2q z!-2QW!Pd-q973#61yDGBxXoLui~2fP_j~!uq|?y?ve(|6d5*5+Lo#0N&@6E$OVc?$ z8kdKA9UoNp$&urmaCx=bX^8gPr6EAHlRA}GV@y6|d1b$fmzUT#SGi<i!E4#Lq$UZz!j-o!x`!u@drt(tPcGp*9ghPrWD>sW@vubD zQGyb_5yHvfx>P=Mi0Cizk}90blGY|OkCB8c8Adf;fha0$1P$;wwmVkWq=_ORjT#tjHz;2gc% ziavYmWBf8tC2xP3(zZBAC*{kh(&==k>h0*S0jj4b5$Ok3RsI)E3MKQ)xMf|BU&c<_ zJ864>8Pv)I;;K%VIpTm#w>UCp=OtdFF$SjdF)labd=<;L^h&af!S+6 z(EUKoPFUvN_B1pfTp81*D=_ZPt>~x;njIO?Wg;~T5KwfKW+%KFSlMSz3ljWjMtG3m zc!2T%>LpiUKv8QG{1BDmSs6v;$ad*IRyO8gf{lyPQ&~VZ`6(zZe}x&%DsATXAfXtPOE<* zxVmXlufeNQyZ%8eEhM`(HHpi*&7sT1i)-|?@2%v~bV5v&=BB67A3U^&#$tZakrBG9 zhf(41W`_)IQ|$O9LxLu1G{K^Xta_qH5nNISM)@U`$chyzwYlqWjtnzpZ}sJA`;Se< zy9bAocMFToq(h_ZNT&IO@g=ZnB1x?yeT23AFN-AugoQ^exG<$wjWyOY_1;v-;y3)r z2MfU7kX!xATpS%GMjnO_69?;Ni6m;R6*~@sZ^rD<)^$Njk5DDfkWk-LcugTJOVT4c z3peRPNY6AHhY{dho64OdAvl-rZB@wmTv=AR=oPCli!z|QdCwBB@%F+*K98fhl+YJ? zoykgUOQMl16{RnBWvmac6D{qRGn|2T8*0LPGJY@QL^tUU6GM@(o9QtyXH)4(;c?Th zY}TKC^48S%ef4Gm^3Q!Wy+M5b7ZL?=3|NZwhBQio)u?IV{35#yP^k ze)}X27)Nn&4GA?$!?A;hcd!KqjYmsLHM+RgOJ2J9&MQ8~)qUopGIm9jUd6=lvkdtjC`hC!{bWROfq_9s zq8;vP1;_RM(=-J~>?KXLU%e<_A=ddUDq6b}CcY*w-yQ9b!O4YU?>$M5UP-Z^VCN#5 zjbjx*3Q@JZWwX$CqE@*NaXG&`Q}w?UlSTXc?uAyzsb12YkPt#-TYth(PB-~>JT&;L z#C^078uFbo_ZY>8P&kNdaXbepCgPsCc&Q-_yQnv$QVrs7e4}8&o}tK>u6?R9 zy%Dj9u`G3$(CsqmQsz<6dIaN?qnp^f*}n})Dw_x*Tv@UqjWIPhyKsG35z5ck(%Mr3 z?YR_o5TYbUX`nD!EejKHu>A9bA|!U}bFYfmEkrzU>cYpE$jX);}1EEr>SKtQxT=!p{3D#Tu1_?>~V~y zH)sNx6rlU#cS6O+?O>P>w97czN~?}d>6F#>(|$Z|qzE4CVza=_S*kckaNhRLDc6OW z3XN@*dadV8E3I>`NHaKa)i?+tF#u)bAgsb!#GPRz;2@BGw1@*8*~Niqfb^;o&XoK6 zvi?uekCi^$t;+}Ix_|85Tl-9O|HQj%Y42P!+0k2lO_}=x-r4eX%p;ZRWQ=$FlZ3Uj z@$vM}h5R&55|HD}_59HrCIjbB`2 zDRp%7vke%qKIc0Ous>;C2_xFIRUEzTj;rl5nw?%Jb1b4E;4|eXj%J)VPu8jKB;V}J z(-oOORg=e^3wg)%$qLX!Y+E#ntR{cL;hv((vt*KTXri_cnlOnTDEn#lETOxlN?Hca zDiwb1D@`q60Q{p?Iz)?u6;W#pXu39fo~-&%mJQM}D|p+~#wx*5dUdR!kmTYwGxo0X z&Jxhy_9sX?O4l?|fIb*3P`VNZ#{NfHh-$zXs_Apzfx1n=Sg+2=xh+=NE%8KVbl z>Wlb-2gR*r8I*91{2q^3(_qL-&eXi*jQX>Wx!fd|4?w3UWrY(pztd2dJZjfX?9_k< zHcPLjsXnZf{oFQ@ak};8q#R3z(e-2lhUEBu(8WMMARJAZ4@u`Yia_V_)XJCx45~|I zgLzEJr(n5u0?fwyJJ(P1RMt}GN|Bgwt)HI?GBM!@l|Atad%ISCp&}@6=zCbk+;mD4 z*(%<*f|_od`C$^ac)ewMr*uuiMDl~w#LVtcT?H7_Hb77@?(|=?nFX-aHiQg#9jOa? z{km_=V=9?RZDT$(78!)~qVNk4sJ&A%iAqvE{z+m$j$)f|aXg0!C%vguhe-)VuC|p<)52SxV%kwL#l3Y|AP@Dw{_?iYjuVI_m3SP}+@QTy~Vc;no{& zxz}xf%v5Iu`jthRth&Mi+~RJknn95j;?Lg319bsPm-q(4d;7- ziPo)FhGuoYyVhP7Txf;&YVtK3L%qY*x_l{vX48nllXDG%_h;8Dt=_(!31Me)BrXkW zQ@z6mE_|tb3M_mnUz)cAD_eJzW1BODI{5|1)_}$aN}g!f&iUAT7D>>fV?oq!leD}y z5GCH;%YK{ z={AaL$6w(Tak%BD;APdgS;Fm7Du}ZB8pYMeF?(vRj0bLMJ)bW#?N~fBF6~QyBC~J9 znR&8a#$PJgntRS%)L_P(Ee|F3RNZ~p8XnU3Z2Y>N%+_A&YUViRe@e1)y-c`DrQM7X zaSB_J?%L<_tk%+v@rXgJx1d$*cSZ$H48b|eZ%L$>zl&cYUd1@iZ*2AE=}!9np>Yt~ zAz)q0A}QSok;t;-BfATW$~`TDn%6~h2; z2$jxp0Z-Ys5NVg4J%ju|&>i@Nkkt>fy9t6~Few!d*9dm7-7;~Kyt%%eo3V=3)-n`CSvqlp)(td}OKb1_r zbrFH!#!U`%KEC?eT8x5=0?%&qbsTwgR-@Uji+*Y6_G&>fT`(hLv_Rk>f=$U1J3f+9f z@e8&BHVyqXGxhw8sbmY&noj+i&Q7h|zk_TnhXMR~%-n^qoXWs__8!t|6dhoIF`Ur_ z^D+a?4E0_O=)*}ro=E;_453W?>WNopEDjLAiV4K70giPc1AJaN0&ONMg&X-1XlBy7 zCab@$h3(I(9D4c-ew+)*t{?F=GU8~E6zj-pQ}tPZe*QTwg2|jX0QRCiV685eVpR?k z@a-0{5svKc(3G*?e?8*nAea2)gNYFEMd@~t>|xrotIuG#A@wFD@BA4Q7G_7eAThDw zgxV*6g6@nW4YKpse-9XI5>)u$=jBfwb01+~OYpx|jv7UN4?fiGH(v&UjUs1J=DgAQ z@bjE{zD7CbVGzv}A;7V}>Xv-fJ)+rfZqZa^61lH(80`HPJLF?~w%ahEI@;(}HP)+) z5uQ;UTcQ_escI|v0{O^nPiqlEj6oXB*sV}=p75S4ftJEa@YCqHe^i!?S3HA5CTB)K4c z-FolMo7$Yu*2Fu!h$#>cWe+2j`(1MBi^*vPnN#-^CC5v5tZ*Dk3P>zc_He4s{~jbp@Zh6-SjVDUGZ87Soh2Y~g=_?9ik9SmB@Tf*k=@1%(WwX>jjuZ(`EdG;pR?%cTpagJ(jR-@ zs(}lx7H6JN^Kha%tV5cH6c${eE1lih*38eu2y8MY0$jy79JS(LbX4TLnMv(FeKQi( zreX?!8+jkJT9Nw}R9CGAf+32kSi=WlYd}W#t0NPqm))p|e`431dZQh@H3fsXRBCTa z@k2RWTeoyLu4J<0qbZ27c~@tTZjH_zxhXvDP4rwLjEp1iitzc@AB?0D2Nz%2U=WG6 zCUQ8Qp(^6z0S`oB3`Df#3QES*upDpFnZh3rhCMka=zR*{adRj-gZre497uC8Y9r>g zZLe?ojbD^>chPs@KIa>#9fg@O+EXECk7>=*C)*iYL!>pF0WX}+%2qbX$Ye~e31?#X zj%-Obu`0CKexq&C@Cbslx!8X}^$PN9iL*UBc&*MJ6b@p{#MUvwEBzL*US6DN9}9X} zLTZxc#`|bM1%ol3$fOR`Sa68weZ?1Pm-o^ROtkU~7-T-2BDuy|Y zjxFS|H-W*LFBkILRjokqb`HO1N!7(KEupA( zz3!*o<6nh-LRlK!W*E;zP$II4oH)?m^gwVbeG_pxtGEJ7U*?TbXzaJOgF+1>nrT+` z@9Knr4M+e2|f8c)H9e)c-^aZ@@fERd4%_T6$t#aarQIU<uDov zLrglv)c+>IrwHrK9ofDj?HkAn`#)T3T-R97!uojh5Xf2b#Pkr6An$up5cpK(Dg>b% zbLlK>uDWIql}o_;~%1J5N_DK46|(=Ch~3670x-tH47xv&H`3Rrnk{o@vZ0rps`zK z9pEa+r?g>d9MWmiKSSl=F&2+E;>i2e=#V>JRFypu&0EU~w)B{7iUP7LCfnK20+{Vv z(=Fbu;niJ_+bpfX{8U9I0AUAofBIG!iyddTpr!ECR3O37w<(KKBV* z(p>B!ulhXYw$*MK{MFO(Y-bk9C8hyK?=k;z^=S(E(*OOUcI)#^#$pIu$Uub8wgj#f z52@g#BQG)eZmW@}u*g{-UIDzG?7BbRTi{09cMs(jlI75a$wD1N4*Q(V5YGjC1EloL zk51l~#NIB*?QsEf4+%<7V@a)gA*@Bh70_$A8&q#P{(OMAb8lKJlAoX!_3?P@ zuX26HYCp%#y6cx13Q z!QE)64^KJDTzy&4g`r3W$qJ+azMAvcp~hg{7~`ri*Uh!Hhc2bx^r6OO`;xF8!6X_c zPNZpF@)?%j(NYDHP(AGIG7KmG^oN*CevPf_D2ByX9pojx!`N;-eZHzg#tG)1&+_fs zXh-$FxLFPAGKL=MGZ8yurRu7>3x9Wq=Ih%u^`g;lqphvd`{!$#tERSM?;oi&*LqhC zj6d4qPr#F*IdvD)y(}DVM)MsaCZ3+2?F3AOs5#M2mv~_(4WlX@?$6aZ^tj(vfZnVh zY~8eNrf-RfUgS#H&&HzDiw$s1CC12qs+tpZ#lACS9&S3rXYJ0%)rlKG(zdGD#D9Ts}95va>=@qSzai z?an6~gq4UhX3`}iW)q*-H0j{u+?T-KHyh%VB5iPJw1<)zLj@;BjqkimO%n zD+ux}1thF5dY;cu`7&m@&+k~e6|wH1c1kNVr)iE-(~YxT5YZy^($347zO1&m-4+U@ zw^OYk&o5jGIdkXucZ(^DEen30>zSB`6V0a15``_mMRH z<1A0dcADb&%Tn!!uq&xM|B^1#X*lT^ysA^(MZv8F0ch)`DMV&2L-aSv&&`wmLq3mZ z{bQWPvWpr|79c$2OKx{4jkUdnC{E6SY2MFmeGLS~mMs*Z52H_JjT|)NJ16Ha=fYns zJ?TO&)C;TLK^_E%k_R7F>1F%L5^^!In^B^sv#U7hx~F3+I*@3O6O?eHk(j~!N|#8g z4YnN58_ z*0ULqH@2+Rcw1RZC%`y($Q-2~f;e}i7t$TsQeseiN$?$3yC)vj^2_J2h@c*mx`QHv zA!~BBHPCfvHe*^-c)=|Dig6~vcVxAmc!cHX^&`R#Tk>o>;#IHHvxTXbMZD}g>iT{$ zC4ZZO<2=u=%~0c!_y-v%wqAi`*L>QLwPUKW#;pCP}3 z#BfR>1^d`^T=&kt`Prr8Rt4}yjdWLO1kN7)z+=C&3fCK*$zrm^Cu^BWWo60z)kwkH zyFy(+lT%@?F7=?%f*siKmkMn7lLPjh73>XK)>;;_m2rLHN!rLQqPQgUp2O4Tj9V{iL1_20-QZ7)?feei!0kHo^& zMsE}tujBNncOwt#O23nF7CYSKZC|ZW0~+ncnybU**$zwMbK3SsN2|}DG=qr7-u=(4 z*2HfS81bs+s>X7CwMX=+T(4J_Z`aV;)KYL*Z%>52@Z!04`Eec1b?tjAS<8Ja*_klr z3KH?!GY$M1S!_o~a(a~K{P$)cB=+!p*r&#RUaHS}-znQhU0YlGMptBPr20#5a9BMC z60wAP_`FZYg}H|(N|kW1++$JH`1)b#d3#~$Nelw~8|gAdn@@FC2l9_qi_Us7QhR8nY;Y_gm$UDBFNW-n6P!yrX{sW3bj<2QyyScZT01|Xgm$q0n!|5%sTpuPN=N# zyQYOmtw#H>7p^Pm!~TIYMc7JHb>@<$%mU<2yq|b_ifp?`;q#RE8sE^L%0B8%4&DPN zcAbrox0!*H3TLf4uO}T`cA3Rx^0+TqKU2Q{*A$^BSp5a_TyXx=?!ecOr4Qe6d~7Cd z;E~P2AH^*tQ*nrNx!?!M-%U=m(>6S#Y*aAKuX~(+h&1lAO@CuM;m{dlqk?LdYcxxZ z=g;b)%_1}lF|&c0uNsS9rKMDLgby2E*zn)@eU!uX(Sv3jh9ul>)Q!g5c~JD+=o3kJ z7R^s-jP><(z6&R7iE34`8iTJ~Z->1x{3B03$|Y3ot}m#I`7~PeNGq5sN@kOb^QQ=t z_gUa#R=%8#W`AYb!@n9I%NmXF(;Eth;3r{EV@?xHk@Jp?w&d{=z>HZBN>FRh7RbKS zh2FF{Pj}Ae&8J`X!HkT#RnQv`%@HIcr9no~EkikGAQQN*et`71)S{!>zs1|2d_Jj6 zx>gB&dnBbp^!YTQUQFc&?}g%skiOM>o%-(8RyE3NRSw}S*#h){CCHr~ zz7%agK}Z>~iNk?DCi6;{gP6I_L;B2ULYs<@Sq!jWH(vr4j5^!adlv0h`Ib7c#~;=N z1}1zHr(W>WSE;rP%qfnG!BOC7m}A@I^+w2kte-aVd{rZNoekWB+ZnufWp^Su8y5l+uOKsw(2^ovpg#T@!c6iO6 zt6&Y5)Ao*WJILBHZ3Glh+x}F(?@yR(POc78K6LLvvCdz){*rCpQ?*tQ4m%AULwyXp zja5S)4sKg3xLHN!tfD*3!d*gFt%h7|F^7C~>RB5JE}Ckj?GP!7P0^zY97ow@F|b02 zvHiI`-U)v?PX?*r(G6kOl%?!@-U(+%zR^6%+qfEJWOaK2-Eww>0F~VDYkma#$%vJV zAOT{EuHSdi=nQphs_W$%RD#$qy7;go@GGW?avf`Br|`yZnb#L@u-iHACs~W61<19s z`kN9`=Uey8*xds@a)ll@kxYZjKt8t}1UKDLdU#W)6!%Ol?F{YC1+6R=Xo~ojG|FEF z3B3*s%NRd?l&>A%B^%dRiFX(F9`HjDE==0QD^gm;D{fiFD?*o!M4YF8n=2qcuD2MJ zw@Ky3HWE)(Vu`<+jEz3rAS3;3pB7xVRFI+!JZWwm*~toGEFG4d`YTRxL;#xuPMM^`0$(z%Mq0o&K@B)&cp#2~PNn1v*E$ z$j_@^Rn~tf8L3cZnnZ3o(+mo0VHxKYr8ur2z&3o57^CF6CD?ASKc#zrqp{&&1s(n) z?zfpgpbFYVc?@0I#!|BXVsfcnSSZ{QJvK9Q29q)aTE}Z);OlC^cE)0MEoGBM3TP>w zZLFuo<4pb~6xw2;&%<35_2wLFxF}rsQ`Ya0!!z_2zK^vmo^_>ZaqX>4ySviFR74|E zQ-LtR9<}Su#@xipkpw~U;P_zfbnlUw2Y}s!$U5X{08*+a6L9Z$Kc_~fU+126+PF`q=QO@)-ahb!`n1D6(o<`=lRXJ$>C5O zTi$+cy;JfJ$J4>7Y9 zkDhri=L#pxuG1f~3lfjc-%?Wy2>9auus)w2*Tb+Qy(k>}!s_xaMZY_mJiG-`J$$#GlmQjiO5ZD-4U!BymrzgGb7950%l&Z z1?Bf-6w9#bpPiVsGYl*QQ9Hg=&T;{%1wuAqGqx%<6yW-dTXaSiJ0%U4GpC~!EHSPy zT#<@oONZmxuJ*vCSe$pTr0sRWf86-BI3bu0-y{(2><>Oy$wv1C@*MBIKdSF3w!2B7 zOVzFje~(*{JM^T=mI9+ZcfRPdAwtKneJ12i7t8`PE9JVAQxi9cmGdUgj0O?8(H$0^ zE`QbGL--=qj}@c!9zucmO>oRsb69b5FGfRbIcf#*CX%PQv^uRJt!lonR0GV@{#V>a z+-%A9aLYLTXyfqgO2r?P&<=fxnDw;k899r)gwXEwzT`?Q(ixj&Ll`aOA6Vg;5~%9? zK8|%&A2nHdUY?ztS3!1?`pv?R zTN?`1;YcTu-h6r+5o{heWaL1+DDBafqWuk#bo+}==W{+TCsRSIB8ESG_#~%@uJ`rP zPGXXtsvjzOQe`?4mic^=URw>f$NE!>%*Sn|KLmp|jO8_|xl#1*WJXBz?^8=Ssuib& zq1>Fz?rXXj^=K?<;k7ie6*2YTX^ahIMon!DVoFhu{{1OVHr% zZVB!ZJOl`VZ}LCiIrqK$-n(nw2;Rn}o}ZS0pCwINQquyx<|`S7~+l$tt-}YZDx^+5Bwrwd*ozU{LvY?bt3`9OJc(Bd32d=g{c^aMb3opDBJo0`g5K-t;k;U zon&awp2M^F;paQ^zQ()Z#i2V0w@fvitQ28(0lOgEXF$hfbkO)DmJ;q1T)(#HrEiuR zvn?|jK=-+^-9WTyxhW7}bTm}&_&m%xe%B=G{A1R{`FR*~;;!k+<>?SL1Y#+ipU#Ci z1rHPW_z4Rxq6N;t!i}e`AvdQnU*Dp3$o|)Y2WucgH&j)LR$kPsnGl6JwUR3}gfFKG zJ8zfy;2=Z~u>zn_`59Vv_DCM`#;Tbjba*$l+8m0b5;+f-CXf!#^=1?^^ajT{x`P-A zJzV=KzFu(wz%~Z1+cJ;#6u>1PZAwvG(ZhGqB`8i=AW{MpCPac?4n_<%Zfv(!^Z+JF zG=RPC>F0l0N3B_eNJB_!IXz9#y9gn|hOuxr9?aka!bL^+YryZc&W=I;wdmUgmi6#e zUD73CdhjuRoJO(A@3K!xHpHm|CgDz3_#sS^xBy3=yR$lo>CmOLcKI~*EJ&;J-o%kT z(oe8=2P50Rra~8gzX1OEr<0Q?SV~4o&@txJftCxH*;Eh~_y~SAga{x8TKWKt_4c)T zzDYnvcab7)Xm%O0c+gHf6ixq>I&3kqbxXmNIwVIz8nH=YTE9%|`n@b>sK>kZPwpW%vNYBfGV2pHgSYG2PN(e zhoiwYOM!5TleX+R7hrOB$m>c=sbUXF_JH>jV_wsTc$+Wmta(j??l9*5P+wgkoSI~L zOuIZjcpe*l4_qX-)+*89#ZKYO4PBR9cZWQ~I5%0>I^B^(9#w=i>3y06Pq;xpUZ-?J z!xW34Ed-rvL%9)dL>Iu*P-YqDZCayUUqkTO;&Fo01|=!P3F{Erix-tKqpfY|k=s@C zCX(;LD|pTxn6+^DKB&%qoGG z;TPFCI$1TtvJGZBGY&dQ|LV%ezRC*OC_BWS5wAsh_s*ka4mYo?$zo>hvI%9ck zJNs#!XDZXHRwkAhkrJ&{(jX+^N6Zf*8l5FTl+hZTb0u1^hx1z7qgOhG7`ofTCVo){ zeo0Mt2~BrK-bW09N1f-Bnqa9}oBP5y>Fk>EZJWN`FM~F;@pR7aU}J_@YBrpcoirVU zBNa9xrd0Gw-LLv(;*mia&@pWW&kP&cKj;O|tQ_4hS@F*YxO8Y z&fJ0tX{@LQWvw%KTnIZ^8D?`eT3FxRTF zlkbUhf*kzA_8)C+;tQx%=7fKc)u+WQ_woZL+sfQ#=`c|PGpqt!2^)kRD{HTuu<$X= z6Lq`92pD?5kAt6GLJTUbnFJ;IAIYE1z%~?dW=LIdW>C$0{swEB zT-|e=d+FkOqaM&*8HFDv^^#^pP%@f`8UCf?W0S;PGnj4i zy5!-z*|4;bTg#IS()3)G5&LVLX=cB{ws8^(vU&m_;~A30)$G%toI=_(2s?cdS?-}U z{QuF%&k$zT!Q#ayykM=ET~!6*6f^U5&G=h#-3K%}>~Z>#XAAp4uTP-NZ{LQTY_2heHLq@_F@By2PyAMO@{ zS`TfrBwGH$(+H~S-Di{s$r{*zi`Lw4LH~}gfrSSdMF5<;i+aB)W8HJ7+oe&Hn zYxH>R@)Px`X|xcZKQQ0J^4@$OP5&}YG~YE%1pi=EHccddmfQE=bq?9xs7Y@qLOET) zGi21jGbC)k^&)t9F@v?D+MmCAPJgl-lwm^5kPfTik2>(DJQ4;|$3aOv^BEdc2ss%T zmQfp;frXxPf)#pOoS^r`ftz`q3%S$yC*hqWhMUpnaY={|&Djn903#a;$d`L8_sB4G zJ!M*GT+*<93r>p08+2&gbOcajG&nEH@DJDr6DBrbLkn-WUS`owD2%v!-aJmmY?O?F`H~1<@a7n8l}N58r{M!HcrM?ZjC&JQ{#9cfGlK} zR%G!%Kx1r4&Tbl5%gqcM z5R(XhzFSj1f(_(9dUk&eMdUyI_Wu}Yf9M_mF);lWSNS0wSCsvMCMFZ0?Q$KCN|@r{ zO}MsN|_tDdv!}|EI`We6x!Qgc}VieT&AQhgQ6w zJ~DHx`+HQTENf-qJAK`pT-BmQwvq^`H{0Mv9ct`h3vH<1a%{b?vPFh+L+ zg+d2ZNi#R66VO8GnCLu}W^7^ycyu&BA%8kz=I9umbVvDg%go{5azLo@Ti*gW_O}9o z<^SJ;^KXOVukn~whBC)fyequ%!AQzMb&9~q@|!yfs^=)2neVF%5?58ZG*2LeZ}Sg) zLq%OB@AVTL!gPps3D0h&w<^Yqm-#&%C+{E*pKzuHf=u${sc zwv|`GtdxOVsf(L|kTsndp^AwJ)ytWoiYy4#fg%u@YIMbSThP>G6|QYAFnHxV)bDhF z=G16U(Fr_hT3u;+U2e(F(vk_BM1^`gb`P1d6edj5dU=;lN{~MLl7N`haKz=5hc)zp zOgI>Pd}RQmlLNjV|Kg9jFU&b-Nf-VuqmkYJaI$ZAu|UE^_nE)sX0Ub>7=~4bGm7Bc zvuhO~9C%7Z{7cbZyQ72Y&b}~7FzNaFi2N6ob=}*wd4)vltK#7}e|AkUDs zEVzTQ<+jOjgSC}ZUHE$hM)csl+HwsLB)W@Yf}_}Cujbf~K8NSKhy5jO$DIGdyLX7Q zk7nGX$tUptu(DMGIc{T5_-uj7{*Y+a4dzZM zD8M`IL15%BYci%h~&ifI`CqQPs+Eet=EiNGA}f5bO& zZYviIrGAU}%O78}gR_!D@cCavY@cjg?q6WFRMTxV<1fI<`j;xMi_cCa@VZY@VM3E$s_M0a6a14H1z z$*71Gw^X9ywER>#u@6vWs#Wr87@!Dl2y^b#;Qz??MNhx~6#g-`hbtGPU;Z~){#_RP zQ11K%TtVe~Ev{-*!LW>?r@(^#pOJZcAS-5Ux5bp;9>7D%*oA!E*lR zZ@}f>w0;S+i^>11^n}RQ|2x>U7Gvt{+oc0zzURlE!CVui=_99OWzDkGyiu1_u+3Vz#Mee#=nSR zaL@l0AKdwL7+KBhWLl&ITBLd5DrU3eL#yWg|F?u9prubh{trT{p+bwK{Qs7mVgc|d z{4Yrn$>kTw-Q~`WVb8Va&t*2-|79gIo40Ykib{V_I#n`Z1^Tx@q$|>8p&J z^M93T12azj{+iYQ3ih9<|1lsOt^dd9>wEP7Q^&x4o`)`FHZuybZtkD70%cA>GNr@l z&?40;OmHA?f}fmH(SJJB0cFJ;Xk9|MB7lKdM*)hfakxkELDWIG)zY zEliz`ePngM+jj~^RH49P3kVlsO*0?-wc8`!{Pni+{!-cRpTwy7aKQ(Xgbf!VhXOS z1m9&H!iWR|-br+SX>IOj?(g6=_)dPztOWQZC%d?qyxuagy`JK};azcwx;C=W4?4E* z^g@xniVB;&HWcaA-X3VK?NOz=0ZB}L{^syaPKcVf-KxT_+}k$<<^~>FO9yfQsqvFPCy<9Sp^*%Hh&ZPcK#76oAy4BD>!=5YIi>!$6&H_fQSBN`gZt z^q6s3+Rs((4th<0(6P?NPxzQ2Uo0r7Hn zgX;?d`4vy=p4la4MD8fZ1`WJsqLk+)P9Re|S{Uc=P^Q$%GB`-9xlOo0rhBVUt3qU# zj2SgA<|(*9iWXsU#VK1Z$Uu;d^bFn?yuSNizmIQhxlBXTpn@oBkoRfVNMJKm&{~ao zW5L%$)uB^Kso1na{werCr{-Bm6s(B3;;j$Xaohc++gvv#gm6L*z|r(pNP zxl>>J4wP_b6459ml(p|dMusoON@9osmC=q;VyXmxRNb9V>HGo&@AI1%26z_k-8dgV zJMlBUerX^?HOjxz*>L;Pvgc$w8tS+dEObFukC5yd0C#l2gHQ~BfqBj>C2zOD0iaRJ zgO`FSVBMSey2K;k(?z?cy2fq2$fJVaIqTKIB8Uyz+f2d$d`JK^T0)1C3^5WN#8v5} z4J5gbX!7smGm*0Nf^K%q5tJ~ULcq{n2m`e^Q-$orq*ocz2!e;(h8S~t$O zihnGKoO6{b1FyH5XT{dh%pi916Mm2XZUmH_7~8btE3lShH0&xo%|q*RN0jWDIPvp! zbjirlgRAe>p`PQpe8)GSo+Fa6?^e~}vMZ)J>Vnb=x&2dfh`=i=7Fyz zLew_+nwmoTat{>NLK~s_2^0pRjT}4xg_Y4p${v7$)H_nQ6M=#C2%4*jLS5qlg(WCdyI*AWK0=RNaRU$GIHnNGV~esmIyJo-M2`vRH>45>|8a&85)qh>H}oluN)Necx6 zo{wg7TTD$}C2o4ExDTOuIsP(;=$-hfgRUlS-;Fl+ zF+4fi^vQ!95!PIsVX@-gwB(9?pSW;HNd?>Kb}>F5uh0Lixj9l?t53odAQqs1TjrP@ z=a4<`SWIYC!+}hpo*2h?inM7WOcoQ?J6Iv*d6Z!8b(BC_&hCGZX8tfrGeTee^T_k0 zOboh>Q=CgPq8whvPKyXT3Yj&P33jf;afU6e$tx9kSo5AeVed$H?&G`dAbw%kJ6Y6J z`b;-pGWdSx_pVG>xft$)>SQI;1vYtsKmnr*QOevnRS^#;q4=Zm_X( ztD6W5qmVZK-sACDQO*mZKZ825o^TfLLiLm(htZTYqL2fY>zb^TQ?x-Zf)oEd77mET zl~4>z@uaLfPO}o~R;NX21*i*SR!mfa7AGnp-tLYq78Asc3LA_nUonXpfsn%$-1-zi z@Zh1PAvaPP<_?`YVY9M;7`6tvjZjAPOr4Ym@$VH9`vnlP=7fDBL{#Pw46=rqPmDixfOB z3DF8D>IhvFzNF%~7bl9o4iS!u_797ZT-klC0>S~LZ^pgyi6MIWZ%MmgNBln)~aHEX5L z`1XbhBgH=}^#?Cx?vE4{!i*u0z`mkHH}8TXw`4^6Nd%WTT@FXH!vN5jNV4%uaJ zumeXIngxQ2lHM9g-$CP5k#QXuVt)*e9c*1G1bsqPiQ<2bUXx5eh=6^M)7`%|b$T9i zeelIc7okKOBA^KmF$%%UL3Mw$ld-d&xPE`Yv(qaIA&WRCxP%mec$Y$oPuZ-wGjy}F z#y=%|i{RJ3ptggIO&REW52%K4fG2|1{)77Zw8@q(2#g~HKXV=vk5e7&yFJN~D%eg9POdMxEEV;Q_1jGD7uE^Vn0jDa1 z&qPyr4_FyQ0V5&T!b%#ud3Pm308)?uqnsc*jCr1eH+n`C%KP_*G`IuTEpqf%-s!Rs zM+M4m=y|)_i`qvav&%T$Q5TsNnAs?is<;%(!bShGMb#mYKIOp!9zdz=3L0-`_YH^GWiUa z;PvggA~mp2Du*vHW6fMWaj^`&)ZjT@gNpc_1&`Xyo0=X6^R2Cu0!n3<-miO79sYgC zBx!ff(TRIXy-RduU&|2+F7Ey9s$l`yueup?B^g3(nRQxb63(E5O0zKrI-8t@6SuO8 zRIoKsnVTxZAixSA@i8!SZL^-ot>+1iziuztm6N*vy*QrdZG_{j{?KPPVpwCZgVWrZ zy1;Er*We^%h~6DQ&tEWRG*pBR@{sIAMKL4aV{_8@$s_LG2#102OC-YbhwG&RUqM}f zIyXV`1Mg=5uPV0d7YHxR;dIiPQB3!n2J~*xeGJ}C(UfWO!F|_h8GNmxEFT>rdPI*m zn&X7Tks9}?SI|zyDJUXrxNo7TWQEX8qCpbfP&ajbpRaR=H7F>`)?uyMje1KpsniUr z>D~#AYy$Sw_!O$N;%Wm(gUvH5_yt{Ytp!%nabIkG+Q{-?16Do)W!N^4bb>?Bp;RHE zpl#De24kZeE?&f}>{o+?Xa6bq7rcI)E%kKSGUq1=cNXqRP=hgt^?rz*uk|=Sz)~=M|Q)a4$NSzFU%uWNF3NXCCg2k{vG>+yEJbEHu#9C3Twux4j_~1vHJ* z98ML+9PJlkf1nPg{x(W4GRw|gUk$(VAYZOqF;S+8q)4~;HdRFB>UZj_v&>c_L5stT zy$Uc8=C;hx3d2yGeP6xtydD2gqVBIiiBDRb&hzT$dzQ+f$mW1CipKLZlA#86g+fl0 zG*>W%qXw#CbhZ&I^)(eAn}BgNRiN-HE$*lJJ1iMouwTCc()0y6KKOO$Y+`Nj5y{F` zf~v*A)=$^3!rJ#_r_Lr1e%$<7u=MnZ(eHjla0B|N>XeaH91mOk)4pDzCNOrmt%r}{I^6XSS?>00#@uq z6Z$r-4CtMEL$FUhqF)=0*c!VAJ0b?B%z#t;fM+_Wp*~2gx_A11QRZ+Afk6a1ebn7z z_MKf*Y?HU+K5Ow9JlQ+G973X3%RVWr5=Ch4TUovuCH~!=eweDw=C*|};^NOdZ{7mr z`+Eq7ItN2OAWaFhrQamnY*+VJ*A)wU5Q544(UGJ44p!vt>|FseV&a|>)~!)u19*3& zhk}pLFEWmiuvMFR$59kOi8%^~5AksjFa-UtNFqKg)e9w!sZLFMdjSM$b35}?j|nX% zkRqx%1_$#1SL_lU>jdLc$e>dh?tmIuPBArSRBWtwg@zW(^l=Kyi)ONyO77up)F)H} zA4@wd?)XIO+O5O|h{Gao(Kf1abReV`EWePS;JXY$SvK< z_s2X0#Zcs%Ybier2SM8h@pcq;;fn}g+`9IXcX@Q%?p^^!y&)ojmU)c+|n>L z78HMK#qjrG#jO`~W4oZ@wn499vi{q*lio(Xp}CuNamP1NL?d|2b&hiw7TOS*y`7(? zn%Mr#(QfLl)re=xpXGu>?t~PG=yUk?fvbD)y}tqk;X$a;J)?apN%DX%@EG_bQ{71` zhs^4DbSldkNOFwEya+sUfx~QM{RbVWD~uQX*B*Pow&$^uqq!E#XweDp{*}n5TEoVVvc_-GPK$C=Bu8T*#?586XP^(-s?#Em z>_TP}QIw1AkyHcKYsJT7>`bc0&c&i5mz1lu>Q>&q=XcVui6V-wTWyIh%vU$SMBAXV zzEhL}%2}wLso~g&_q{>{MmW+9l*|Dr-4#2jrqA-aBn`qczve`E`)WU+SilN^%o6)- z5p3bYjoc0;*O628e%S3h{6fDxl)We1d|4MKWA>eB$bJ8;x3|;?3CVaq-C> zI+_%PG;)7Veq67yxrA%|md)KApqVFWslAz($zR6XeRDcncI#w)Ws_2m`_pRfr zyr(DiK3WI}El^j$!jIbapaz86xz}fUoI3L%ad^uH^NKTCO*_oYGKt)Laz+q_SA0xt zg%}5;#Q1%9RQ72{il>GURf&G@JCEIR8gf@a+CKigc14x`RGC9$hZ6Ip1fiETT~lO2 zMK^{Qc7Q`y7pau?JO89+eCT(pM(x?nW)*?9^DeI-Mx}kgUf=Guw!Q?^pFOGN6=JRS zOzyo}!$Je?E(!04Q~DdWYC9chtzO~vjb(ttAHKaI1^#~hdU`r^n0@{Fe*W=I>R}f$ zGV`_2t}eLJ-yU<1-)zKYUNdkXB#=b53u&v(M2D3yD zuj9NL4i$CnR=NIs}}rlnz=9ot6R zMQHa-780(hf(-A7CRX-6C#K*w(eUZl#? zPx&N~+_a-&gRWSDKMP?WDZRfk2??^kuC+gkqe>cSXQPg~WS2-?HJ@|rvn+0XIu@Ly z?Vj0!U9k9A!-uUs99X>FMI<2yesS2F`__B3{?{tP=*P@Td-6MDVIZ9w>r>hA!gOn{Fbm% zZG8Mm^=a(W54YcXNGXmO?l;trgm28-?e|Xj+8mq3vFhX;Jc!}%+k8KY$=6Gsbn8j{ z_`d(Xqb5TPZzD$p^{H1+N3R0>LsXYap)9>*mty>Y?AY*wQ-p83NTFm6_oe8?DW`Pn zo$yqA4(gh91lX5MNk2a@)l*?yqp=qLiMEg7KP(8?g}=GcHNqL0M7R}1FQH5oGFsE6 zR7TghVWwceIG~TX$+;m6DN_^?2(P85OM2oGlONvV!JR}cSi7lm9YcqWd53Y<(vIFg z9T}~?To><{eSyKefNl96KFsXN=krNeO?$gU(3*IQcYYuI)zw94@<20`gnZm5> zyElZGUs*wXK!Y+FD%uUqQ+iO8E{ucMrY{I%HrlFBU8?=$jw$b>@=LnH2g9OWlK-1X z&7sJ)pdID`z4k_L)YRi{Bg9*Dh)jV?z9m&=%Bq{dPQJ930J(R~HV|VIw`FOTh-@%{ zsaXDwm4!LG)he}ceHV%MuZiN91^yv?7oEo^lQ()`^Xq+B-hUGl zp4FT|z-Z~lU_4YptHFQJ2Ddnn8W9FcVe9rbyVa03?LqybPj6>JFZDEi{mlJQm4?y* z>#a_GS(9fN7Hz9Bz{!L2L5uRdX(mb>=u>NG6Z>=5b}ZDQ_}bn*j|SW0eQ@aeTWD;D zEN#odJc3UazQ7>6{ zzg>c^1-v;wb8=?UQBt+63RR1ZZn_q*d)BI2G1)M=XqQggFQ>T0T=1QXG>d(!?HnSE(9}^yG#FvMf`4)Vwb1>m|aW6sd z5rP~^+D~Gd*)G*2aoDK+K#!_KYUeF`jh+TdGaShD9~10qR~bJ*5CbRn;rTOtzZWtQ z;RsJl7=r60F526o9Fmt7-B#LOdpYcj(2Fj3CV0GeNCnzA+3io$c6;wWes-5Kun_kS zkDJ7joHn3>nnL}ePI{S3#20LdooWS3E67#ZHpn)pG-gz)_^W!EZI~u&BHdWIA{Z*- zCGu8`rDc}(Y&?oq-L+0Is8fwj>N{RzE=UE3q-*1t!MiUe5?8r=QJI71o3Mon?fJ^Y z26;Rvv+g!O8jS?k7QhPG(rxv-JX!w}Uk9`}POzJqroqQZ=K4K4T1K8Vr-vwRhkL9R zqd0eOcNh+_>7{h5mRDxH0lT!;x3)v|m0c%GYu^n9A$pedR-KH72RJ@5e@bF4P^x%U zexO~&pjn1^HR?-#qr}xDv8}~_BT)}--sO#c+d{Xs@UuZeXW~iK$hGS3^ zSNlB1$%I?YP%&fJ(z3g@jVIZUP*9~}wDPSJLYTgLF9##1S2JPDz76Ja3-XU4KBgc{ zD{`FF4Plzz4{aFfF?yr&@j5NJo-DA;>8D}nm{U)>XoN;iMqCp4;8W>%XNV| zYx7#AfxJC-=Yz`j;58xJbP~jyRrzZ{we~qT>XF4}Np74N3RUpB4-_j4S3>qYx9`Gm6pBpJ$Vap;0yR z#v^XI(_YB6OEFw^PnoCo!OcJV$Wh;e0+FTUj85W|5N^mjni!#b|{rgy6e-8wF@Wd7Fd8*BTB94pfNqghN}VdF^yF5!vc z$W$mauL6e_$Bmci zO$_i-H8r;#pw59t`A-wIvj;SY%t_>&hJa`cF3=8(<( z-XI90u2BmM?lxV5b#qGd} zDk92r!X{(yC%Pug6OhNxM*B+Wj0~)(h{)$eu1IDkltqx;fxw1i62dUX)CSh`*ldI+ z4uk(YEftz*)GKC;?BT$5($PvcfNIUZ&5gk!ne&x?=Z%1AaG*mx zdM+u%oRB)=GYgX8q`Kr8zhX63pR;zj z>Syo}=0}*F8QSUS^Uz+L2CM05fv$-zM#-i4uQWSnD0_?)7N=%it;=!JMHVjV{ZIBhT@ zY@S14c(8~;_Z#(M+$ZW5vagcUb8K%e1kKP$=UFa*zPtXOxu=?rOZ?Cn36H#-zUV1C z`&|aoAJrpNJl9qwSbRmYbrm1(YZE=uQL8dDX8(ZE8S(16TGrQ9tS?>sh8aRgJVF8j zp#hHryxwBhh$>xxIVyziLVc;|pTnkTkuzrfpPJ&5;8z<*m{w#%cY4&-LO~MXE3zLl z1WuIlSL5y?pz~gXd4S#H=RvAt-aBmgduY?T_$hV*A}9Pr8%GyAO?m0GrryAWErC@5 z?Lg2dyNk*=d+!v+*;b(iIi@3~HnsS|5j-oe%NUQ~=);|@BsN6`Y`h%m?5cZ~*0L%3 z2?bx{3;scwi_eh!2YhNvdIChFx3s1Vf7V^$&tz@;LC>PSM-T}E00y?9bzMl2FS2eh zSTYLY8Gb|b3F#Po&HiMu2R+vmGTRhj6q`4)a8LzyY8;CzDF*>a8qN;%R`kOPw_cCb z5nJ7swC|BwZz>TUwNg8B6UHbUq|X>dC&>E6ktR*a_R;p|!7&XQ-O`ju>HHu$Z^A&# zC4n&S3jB4g00ga5$o)1*>FaPzP+JX8*K>QII!`ij`B#dp?VR+L50d2{y<1qyDJWdu zA5NIQ@zdI~PDt)11Xb0x9lSbgt*nJ%eLHY`}GH~IReI@fm zj=neQuQo_bN1@V{OvuzG`!hS8_M%~ci{Mx9Rrf$p%4e;V*>ny%D)@T|g!Eh7J*ysf zp?ohg@2?A_F`1tuw##Jq70gBDzb9udq&7TNV@^^K#;2E}W!rwF>o#`R(3Ycm%d~tp z^fw&8I(7e2lhlp%XT7g>x;N%^nxS3jHqNC}iz=3W-*%XV=4zRHRpoI-*1y{Q(Ew51 zZ%I3MW6pAyBr$5Zv2CcA61*IjwYzr}(=w6ilUz%A>S|H_#wZgVCs%Kzd&X=dVL73^ zZt^?a2K=rQtcKXl_2;MWh(tZBL*pvBBudN*TvUE8mYOhbIbU;iY_=eN5pmgaGc+-l ziV5^Y$qIyt9J>FY+x8m4(U#dw#Gq&Os9T8XE-|!940OENUYVDKu62E@!qU9X9%f>a z2_hv9S<%O)6Rxeg(9#loa1Z0b;6BgIX_MtDDwx0cq;?_tV%@x4 z9VS;O@2QEe>$}4UD5fp4b2KK<%M&ek@Jwa9B3IPH+&#S>;@xesiIC8p@KyFpgWJ}j zQGa(826%p+!}w5OPXaG#1gIW1D-8=0hkyrBlJjRJ-Y2LU{Q1DB+QOzHiS3LXJD34R+VC7~r zGJ(0k2H?h|j}=a+T8`fzz(0%8~V+ki8Qj2WYFSRVdBq^*? zWk}YXJjHrf&T8hDb#$_ovaeEsUCJ8zwnn__dkkaRIO*?&F40cD5EPck27wv)1bg*I zB_zEE$Mc|j6kkFR)ab>gUB-#X-hT9c^$bBvA{wLhc0+ZMdew@ZyL6b{VPa)+K$ zvpvqPq%F7R^sol{(_$>`H}ghAJuWr_thfpBS*)9?oLG3z1H?u~2MQf(C7&wV9B0ZF zIP7L030uy`DKtO-hK8xlffsjrCwvc9F(wf?cIxvRpyOeo;mRk}7R2~!LK?!NLlYLh zy;NAsR6ua$)+SKZX7RGcCwO1hlIE!`@|{l+nh#^9>m#)g{*FaIF(bZXg%I3IGs+4z z2s=i~z_DADD!W6-7M>O(e^#}c{Uh|jD14C0_m7f1XIJJa>EFo_7uWb(M~A(|`Wejb zMr%06$=dKA*WwYP+oFdbl=uKiACkYcm0l5K$cY{Bs>0J9oJW-HLuI+w$E@ix)`$-! z?#S-PBk=xiKhJcMPDPqDl!|u=pUmI?c&F{|7O;%%a#}Gbh8!ouhP9@_dco;uq1D4m zIE!f-NzVrTSz3?j4=qOGdDQPi+jBy9(ZwgT)WYeD=ro2kVBkt6c)!T+3j6%s(E9}Pg2`pQRp)8CLN-$sns1AV@f;HL z$0%FEon9~&JxDp)JqbOj_xfrRrhQy}| z+pzdQMpcY>54nd{XIzXm@@5*NP^^}khhYMXmh+Uk#z&m;q0PVIKpm%-a|)RLeeCs2 z8`k3%k~*_nndQ zV;x8>1TSEN(A>Hx?K$ics)`g5^JFA5oMOLNbme?uKOR<2z+1@b8T>F;Qchip;04MKwj0F!L(f zbI$2*JM0WRr2cBN$4&2oTcwU~Wxl|ZfTGVg&QRHP3mBHkL;9>!U!K$fr&=aDy&s3G zikTVSFexLhQ#WZ;BTdgd{J9hxLMCjwgb~(wxI!^Q0L7oo!m zhDBnmbgfCn)9yxth0Jd_)fW3xQ7*hEw>q9$fp>d zkttf@*N!J~<;-zGpZA)$mt0LBCT&uDVJJv|%sDv)C7t_pgv^#(Wt7K?WK_klb}TxN zziQ!6g2RW?oZ@)JeAX?VOo;-3m)7mHGq6fsHNB2VM%a@uvbe_U(J(Wweh z(CLRfTbG?O{S&{!k2Ig)R>Ta9dA2wk>j}eLGhzI%0-~oiL&q)*N`(H}0^UIt|O$jimz){LF5rcgJ<* zs(<5PGLn(4>BE;PFB?nxZLG(Vhi~Ge6v5c9Qd+d&uNV_I#%pTZQ}N#$yVG)yJ8QA^ zXPaod=T24gf3*M}Mcq9TjVcSyXo-*i5K%A?)iIwGJf=J!U@18y}g;ZJbcTZS-mscA0%0z=CVQK59SNXDB7X8C{a~ z9B*HfIcuSsUKw9MHtnGXYLEY9zL1XTX5C__S%))YvF=eb3BTkNieBeKA2egh)W_A3 z?0Scd08}8u{4|>nUBL4N9j`Md{B5Yj=2Mh)~gCV@$b^a=HIa+--ufl$w_Z~~fl9y2nUQ5eKag<-@Sh2eB>*+l(e zLO{1>xFZa~x8SWNn{l;OA+-02?=$&z2)9hUK{)F^JmbF}ffZPJf*-fHP1%RgvS@&}s1f0!0=i~*p2$gHlYHLyI%AA*MM#?rzWVWVV> zlTgP{-q)bvRQOmeMVa?dlTrIQFXW;mM0k*~rLv+Y&w0|ZupTgnnnhF=&4+7!Ks18_ zp8U+=nm^}RW-)NrKKmrdv{#-xFq^%bIZWOpL2}a{OIK8?Ys%qay7?L$HmdIvTZikS zw+P90?i<0Z)9d~T+GMWRj&2!Dv8!LVA751@n{?|oFrq7H-GxAjpxago^+V`MU7#dm0E1&3weDWd6OFCrqLv_9w7 z7Zk2~zDmdy6`rX5=Z@VLBJT$gYz6kPiPoz6Yj zpqe(ti0a>}0&?s@1~G5~iCvJWl+7MN)2FT8b?%L}F3f`H{WTsCiiznb2(-S>HE{^- zGQmtb+1jP*M=ilJ7rX2otkaY={#FTb7R{uNZv;-#z5NCkxtaN`Cgygp8W9Jlf=FLw z)74euv;&(9(_>`4p%7=HWrjOr%ExQtrh^TkeA28R(sJ~7Hf6YVM1S1pt;YG8zEp7a zc@AdYs(j?ilN(bs)##T-<_*rFMZd(KG0@`}tr)0H+W5fTZ_*z7n{qDX;9MaV3gzJ` zwXcp_ulA`0$5G@VWynCPZOq=jK@=r$2CHtHA7|tQOR|Qn=sbIu%DGwb#OnTO}u{+IF}h)yo*n&(788nc=Yv|A$v*h zY}5boHCwAvXbWg?QDPgkN?1?yp5DG%tohy+h1S#3d{|59D@?TQwuKlornyz4^Gh`M z!19rSu1dclaEI}+S9G>ubQbs558K&G%<%sYjzDq0=Gx{6E@E(18g$gW{kz)syuUyEit$|bV#@#mh}t-w+XUR5 zU$h!2x3Ko3Hfm}4{x?Nt*MW!;C;$K;03F~RYF597p-Xe;%5X&{iIV((6^GRSanw+7y%$Al-q&%JKN1$MIhHH1 z&k1X0-M@Kmbh7&cRgee4r;z}zs%GtHE{%W4nWUPG#Ynb0htYPoZ?=jx)%(YN3Pw}n zsGlsQkcCZa&ECGo08L>)`FjEC)~{9(`UGoVBZTODXwmm#a1a6!P}}YkFwia-yc0KC zWI9VBHSV(X`zlCHjDtz$vaOg(&XTa$t|GZj&Y{bC&qe)9iq8w7) zRVEvl&E8>_t*H9l@t>FXv7I4F`?lSlP3-aA^hf7&x6V(EPS7xNNcJ zXAP`US?FuE%EFTcnKm6~zjT@cy=Zj8z;ZG36fd27(?@btiic>_hvSkf8kNxIcKV24 z?ytH^_2K%rj^z@0x;!FU$%0P$s1I$rme6m5Mt!BX`k^cIwRVn+mJ(X+XI|8`pJ|}Q zMzv)=iI@7&rn*WUQ%N7{k}bNg`Ld?9 z!@S`;`y|4dk@0XO48JnYNFKHUvwh|~o|&_`jyY4APfi}`R1AvqxC{@p1mj^kf zubf<9_2UX=cM)4g5Q?f(vQG$Gy|tn_&$i=cjC+RhhNAtkm5rfpW7VIng?7vkM*<>T z{aNuC?}ZaOjxpY4dv^&~7v(_&H)4d)_}~RAcbH#la>m%Qq+ zX%A=m%2)=(fO2o}Q6+gshMmgWyBNw(AZYGkX$1gz`6fW1VKZcX&~m(wvR^5N>F+&% zMf7zAqJF3S{%)63ywb)$ryU4;5)t0SizFmG&c?nxtvbgUCTuwmz~ce2DE}6#?;W{Y zM{bI5b1icYKMi*ri!*T`$z8{Bu7ZMN+E=@dah(3}QINbd(!#y$*rtl^`>h8M-+<{x zslaB$;wU*r4&@l5RgM#lO3`1xX<8#|o^9J6^mWkpm>eHWn)5A4nZ5{eGM0jyeGLQx zoK0DcIs-u^{gmzKuYn*Q^n;e;;!j=2s4L^CuU3fcD`0#9gtC{%0_eu6QAEeR>?{@H zAO{kZAPz#8#l(MIs~@I8E?h29iDJ}o`AY)%jUJ1@$`@a=X{~S+#w>Gx+k@lX7qVtc zMIz@Uipuv~w30rX5f7~3oX>dOjFW0QW%bcw$Y!_Kv_12{zh6lK@mdFu85-p#tNN+K zBq{jxdEpA|s!7SmyYmk{+Cl_p0_q+qc0tJ165(VC*rIS#=O-4V^ri0ikI$5H9DLzd z?+7MBR3(AMIXET9m2?$w>}xPlGWsZ$Jj6>hL^a3MPTA)nTFOV>tZA)i&a&;OLE`Jl zo|`bTZ&+4Z_0Nm{onW3GQ@%?SkNC?~O4^^cEIT~?v_;q22w%cZ;flxdYKpq{6`~5$ zJQO}RCLL&oJnY`3<3GgwvC(8o%>B{(SK%>vdaxZ zzlx&9ZOU#!LK-lxb)QmNsP+ny7T9v|6T7bwjyXXlclS!sKYc=+-$48JCP zem$#iGcY#k>k}}+m$lATX>jHC=Z<}i3SwEsZR$-l+oX@rq9)IS3oEiE*#gx^ErFRV zIkYG8N#Oj(wC`Innq^>0r{_e(kqAmSI}uTV2Fen26tO3F+wU3)6E&)IE)daET;M1I zO6SBpB7#AkjLx|kQA>T0*tj1sU{v&)g>aBwYmLZP&3t^cVW6fyinnCD5&ZYeASR@ofF%v2)pg~T`o`i{45saS6!j%qiQYVgyt2g2{ zHIA-$=*?ypw^ocV7$6q+qCs$3j$ksl=yd>wQ9Vq_PSNeeeD%=$oyDvvNh}GhSyvSZ zl^2H3*0^$2K{M-GBe=YO=wx3#XI~~^)L<rBf1-;L{v^Y)*Zpm`aQRVHu_Qc`)&S0-ZD;9JzI9FAHQ@snq;1Y8{ z1Glt3&KAjUxItDiI+Q|l>4TaMM`{tNvTp(VCEv-SK|j%%ALW0ExqNa53*nZ|;FqzY z?s(BCqAIY6mR%y|m^eBo2-G;p36Y>-L@o7EV-UqA?sS&u&X6pAP`9;40Z)MtYR!JFNf`Dqv-VX zVEfH)gs->$V30r}(uDpUBM!D^t`HaF?Ajj+fVT=ZQm!n3xh<7iEBv`xC2iW?7D!GSG!k&{2%N#y0Z=2w{;{Ec zuMh%EpwyqfqGliKZoYhW=sPLRC~S0gv(c$Bst7HWcL|%=m#VHJwtRg={9>oW*@sAE z|78)lH%%Hylao|7+%+1y1g@aPXa&05ATaX&o5MBd93x=-ZnTuuAj(S1FtuT{?sCnV zU|>KD!W^bw60yj>!lDaQt$t5M44HvlQj_(Rf|R;8jsdaSRH|LjpE_XrhRa||4K$T~ zP@ws6WSv>7C8m})McQ8Un}lep)f5^L@Q)5byd0^3pIdsIz%bxyt=c@NvY$a(Z2MigeO}*)~|~v$KioK zl(qiiM+u#<%Y4;eJaS20Hd7bv$K0rCZMXTc{bJ+HNgm{T-S?B!J_hzNu&WqozRxBJ zCLJaYCXzg1X<|{?pLjG`(N`0hCLm~QoBGkN2~+(tuUdcgLofBGuC1nv77bZG^5`e| zY7-A+(LSV$bf&K+a`96??Tf#TQ>sl15omNwrRc>!>m<9xi#F(Fmu}O2za86EAno}c zpCr{>V2HAL>Hy79U=9f>EiHUjhe;SA1gATG8Cdwi4lSJ?3{5blU_! z<0r)p^}Mg+y59f8`?HJv*@fkq;~Lz1z4pG@$G~o5p!v=;VK6~3zv`YB^DYxee^J1U z$<{xvefVfH>hlmkO7VcUM8|Q_NG2t>!f8``=7s+3s|{V)=qEZ#g(O^30A-OEBbiSFF}i zCvJH}B(pri7`&Z51iJFz1@T7B{SE4+5#96KZ3^4tyT^AQ1N#`*$G|=Y_AzksVxW0Z zEX1_T&83#nEQvX;ed;+|+1D}ANC(lW)bWz;nMa?G`l*y$_+kskg_~r_79AIV zwbO@V=t7<2xlOYAxd{mbX;>mHzRzI~#7xkfCd}zxurAO{tsy{KF?l_V+ zi|v~4fgs12l9C+zp4YBU`*7-5X7x+ybo%i5O>0GSTieDuoyPWt*QogH5}`ua5Ecp_ z>IyL-uka(3sQ>r)pyWnx8Nz@~LUi+RtLLC$$Rz=+fU#BmRh~uOY>~#w944SO8O^P1 zJB{&?ZSpU2G9vLk=qjCnGW&qTG4``tU-+9V56pTg1VJ#dvbDl5^JQ&nbsyU1a~@Un zj#hTA@kXA$Kl^U0#+#(Z8pq>!+~E-J!W->@0XZC7ugVqykizus$PV{m4G5+rPUY zYiibOy2jcem%D{9xgw_G^G7-iG23OEuZ9qS@5ZbbD$t2mlhvZxHU}0$CGgsTCdY(| zL(TViUKEY9=3LC?Cka3Cu}#bVngkB&Lu8ZlIs=MO`IrkUT$z|ZA^u;Jkt3t}rR!tu zuIGp2KP)$XRUhNnuw!yW)4{a$4}MwU3rvnl)oxIKO4ze#L}>LX)k~#9aifJf0l*K& zitT5f)wCR&>~A{ba~Mb)Vp$mF(h04tdGwoCV18wVFn8M5>(|d3zH_E$t+E^Y*@S%t z8zpqLO*w%a!B(P^mv{QS9m+}xN)&rs)3jDJcd>0B74@hPHvaz)Ls!OuVm;&qc=# zqvl+5s3L*L&|FB#Mg%EJ6get2ZP2brD`h&`)rYdbpZh2)-q`4l8(3rd@O>>wtU{HQK5GF-Yyn5*mOYaikrX`=zuL}WG7J9AGn-v^Dl824NUR^h?^_ z`0-6^g}2MFZnnKfTpXqIfkt{LLjt23OXUI4>O|o8iJeeq&-&ge&gv5m?RQ3^GX~mC z!q$qif%*%eyBfg|*L~Z6EGe360jK>ySW~8E5REC?6mIf_XcUsUU3HZYe%tDb)Y-=8 zIDqdj0a);MMqz|D4D4@rjI6xBBlI7UGuM%RM+jgQWqpw40JRf6)HOZoICRu$XVX_c z;U}d$>5+Y|d#9$gLRjj&Kel-iIc?ZATsR_-h(<-C%F@u%F(pOqGqgFk zAYyfFZb@Hlxi8Crq117C)3iq7`u82=%5Vi0hA)4~Y8`;`eM0R!8X)krOIKX?7l%&Q zaA__y=W_Kghn2#MGOs#w7!K9xr&DW!XUY(QhCY;%kBlFC(JD%3-S?*>KSJBiKU(S+ z*`}~Q?xkO4!Ye{qh=7igiqH~`%4=M2WiXx_GSrg+*$$?+kH=m)0U7GFL|b<$MjES> zW!it)M^?T^!M~)g;>5u3W*=&q&O0Jjn7ZVx{xrDaA z&*)K}P+NbWAE98j_|Q~hQHg)@hUdw8KN>+l+eOcb40W+F*#!FI(C5I~n$f5* zP%feb!9N+h+zhlIH0bH@mvP=)91`~Yzb6^6uR-8x(AqnPofTLYIoj!Y3-M0V7U99* zL<4{-%&`(?8g}Nf}M#NWdRT(ii zC|XLQL_JHTb0ci*-z41GYoh2S>(&|(Aw7y#V5(u#LO2;4^ilAwi6jMnR=l!O75N*r zH)R0sA)(J2Yn1%%jTS;mN!oDbT^TsoA^@zEkD1$GB)>giR89x|esXbWVTH%j6 zO!47dnl5+{4CDyLx_gD-NqHe_4THx;;A{m2*y;=rrrOZ%QK8*NClfH>Cue4j7dvr7 z2%Cfe(G5cDMc+FlN*MilDTqaSNoK1{lrWMda_Y{{ag=0%PQp>dENQK17Kcw4zVMM? zyhjMz`icn_C}&{>+fT>%_#CUx9kFBd9&uO%d{`u*)Xxs97P+j4WeiY-IOQLNWs3*^ zHhu__B^>*euwvuTmQMr?rNVo$3?S_#1fkRw#}I*jlN>Z5sGBAkw$2#?eeB+ZP%|`*R&fu-YrjH3eJ73w{|8B`5}M^Vqdr}?nngi zlNEvr_&9dofNXKWB|jk$!9N=TacGNZP_W_SE0(@r+5gT!VP|iwg9bwa>otfeK}2XA z$vKbW17(;VgbpRjzB`aPlqXz3i66>jl&C*CVrHuaK8*MQI~!KA$pwp#0tpnXD+5Xw$8R z$w3DwNU|Z}7s5%%H557CNkZbRP7J!)l9X%i6j!!qCoI(DhyPv>E}48Mjn4Rz&a!nD zvKw_&fJ@>mbxl(b_WK85Xi)Q~pFGe(m&A8|p#yFB0is;1(EVt9bBP!!Y3$mXGtNBj ztfn=ZdHIoH31@vwY&6bMk57R~y)Ta7!8?8RTs0)zF2?KZFr_mpJvH2RXzQI1n~9i2 zn#vyeg7{)s(OfXW6O?y7cjb-AQ7Tg}4^xX0AOhttdVS^o9K%w#GEqY=>0(;fktdB; z#1WgXj4W8yiR5gn^wwxS6CMzYPVWj1V5vsRE<|SQMbt{K!LdPtwOh=s(XZ=9GRt^`g^@cdyqSL$6tb6#u=nrW>iz7W}QzG({K5f7PKxuS+-43orcdt@Rr95|4ZiTMeM(PYrTrW z_r-I3MhslE(Uh<&VzW9%oeHrj%lTPa2Ki2P;Wm8wX zO7T%Cev+e7?L#HB^v71&EBQ>u)Wr`R;l;6wUbkBD+|uf&G06Rscbs8iJO%?28vqD2 zfuWLiXhr|B_pd-|?bvAqX^u_)iD1LQ!KsZ%fYhd_V_#07BuWALqAzJ$E1HMcHb;>? z?eUnFn=in7_ETDsqcw`ym$UQ86CEWZO(nGK>l{LTXy+LF3aXEbw;b(q(mr@B%lT(j z1(-$~z_D>Wr!^f@DJMXe9rktc<)NF}@sm92x_=#z!IVRrKoDq@fb$jcrO=z4P(U0A z(|yqR42&X4r6SDE&Rh6gY}-kV32B7`B79CP$oH2;LSF32ei-xPUIEkLg9DvK9!6$pYK}$Fe+%tr zKSUwN0>v+fh6KTozq*j8vt^_4SHB@-j8NKL>}eg0)+I^8B(-$Hs!o8DZSi0Qy+;IM z7@i4KchT17dj_QO{r9!_H8lGy@0Z2vY#@T-J=rC@S8^nx=9|;2| zXFPPydQUQz5XhY-OA|_poi(GmP5gu~dKOna`n_TNBpZz05flE4yu$=2Xrgj)=Eksl z_fO2%K;-$7CkKdYjo58&Xek%787agl+joW+?7fOEpcU9tN(av@@FYVF$j-&I#XD@o ziI^|`_&EajF)EolBxvht1ICOaVJ^m7JsA#DoFgaBde8z*G#yKu$%EJWx+&@iGlhxU zNi=^`1ni`d4LGRt^AU)XZ}KCWA0S8_>Qbj)y54jEJ$0C@V(v5PaqxI z!^JpN!YPG-o*=rv9UJq_zJ1vX{C;hi=|K)5S?BOns=!&i3`7s{7r8 zhXB;yG`xX6N0R7D$7mzBQR4jP#HB%dpXVwI`hmYS0~oRo;N?-n@eHVFC}|to==abV zCtqmw(-h7A`6|tjH4p@x${Jlh{$owk8dkgimL!YEEV$&+uMY3s>Xdn}6p8*3CIO;V zwf5yfa)%}t9TQD1e=EFrm#YA9B{1h6Yxp>YB9dXnriJJb&>8expVCL~81ZDwT!ojS zcOy-Hmvs9%MbUO?MASyhKrzV(QGafn0w3x-|D65vlQJZpQu3kwMq%~hS1y5>A8`UY zIbQzclbY5Joy`9x0!bN_k<~Gwp3B$9Im(fIA%<{385GQ3kb>EWH^)2Lc&|1Bor%`) zFuCQwOuUE3vK52m_51es3oOA_#_MLiCDiZr@nxk4f?6ck! zY}|uHD2$XHo*ib4jsrUIQ^y;IbhIl;cXg$q&j*-pr{*q4Z*<^}h}cFEYB5GM4-w)Och_u97j7**(-j6?e!b(CBvX zVknc&aA8)YU$;|<)<|~um3OVgur$|EyhqJG~lkz9~&Mz z+m0Ov6uM`=v(-|TQr4>Em@FU7w7^F!2*$gI^|K)RorqgB=tJhX+Ho(xylGnMIImC0 zz7zFhd1v3mz_dseg2pIf~K|Ni*0Pt)x2JY9G;ceKI7j{&8TOPvu(KF-H-y-#1F)u_+? zYIXW+!9yv2;*pObTk^$^MY!r}7mawauexa27agTdY|~EKV4zGy$M=0^(^}CS`bYK= zE~_Y2|NeLp?nv%p;0_d130iWcpua)6_~~B1`DkT`iWN*lsJc){5OeA%eS2p07J{%E;iqArx86yTHItPLMFcX8O28IKBpv z7OeWOg;`gykpKfp5|i0CK48F4s>@l6-@wK}iU{G=FXf<}Jb;b@!d!x7wf09H22>gZ zMX$mMGbw*A+&?;61294vjUW`t7*XH3lk`{(!ZlY48A`1HP&AJ!_bwh* z7T@S{8eC;|_@%W&4nvkk-3dvoba+g7`^Hx96CU?{f9X(G;$#JZ(7-_Id0)pU*bBy_vVC#t2`$!vHGB{<9RibkBgr2d*8j9v+Rq- zryBGwi_))$jp1Gi|F!j8}oMC@`Fn;wO(fr`R zc6O++#u1`xMdMB;R9&w`ass5&Od9HXKG7$e`=v852t1S0QB&aLr78&o7uns`){2w! zl@RVGXv)(-);tUn3|>K*OMOfGM9w!%%}ksajDeKI5Ov~2hI35YCI7S<9viK3l>%XW z=lF{A;%nS&iAKaT-#%~-fHwA$sYm^T&T3lQZS1B*b(r*r&3@k&Vcd`r+GGH{0I+Nt zNVF@))TTZh7oFNDm4eQ)`cVVjDTslZ*0ZwIKN#}|bt&wVi~py>i&k;C*vT*O@gqZ{ z(SzdebJnCo^oyJR`B--5J%;|E2eC?{x9Z<@5{V2Nsq}(Rczsv(EWs=r=A@iMKO_5^FrL|FYzVlEjLzMNAiEgUvJdmz*)u$= z-@l9dvk+$j47=VJgPkDM_9-7(jrZBc!r%ulZCWe*Bfe7U zuuFf=N0`Z~emv`VF7NZwmE|0h((WagT|Yk3y4Hxf?}-2@x)2}JOY4#~4ve>IBkTto zpE?viec4q7vzW7NNA@38=}rU~&>|@*5wXuEhiYG?u$mOUnUQ6-*7*90ZOm%dKxxvH z^wa;z5|M)Xb~4pcpM0(i2J$GXOLEn#MsVg2LcPgS;LH!y^;XYh+mJ{{D;$(rcSn$n zjo2dwv(<)yI>S)DbmUlR{>di(B7N$!H@+6mItz9TC~a%0 zk8(6Sd;oJPS9;AUeE{YOIi$N54-dO+mY>bs84Kpjl=|a_l9$=s8qIXuNAo7!q=En& zMOIhyi-bZ z^Zt+=scbN!G-e*uTYqj4wM#LGkUNBsi>y9p#2h89|1$$9$v-MNHfQ_g7=XMWxJ!fl z!~hSBDf&f`YIbtFkkLtimJrgs^_iOt7pzh$5c7A$2v{U|jeqA?jSvKx;Fh+nE|f7k z_(}6zT2KtH!0C8#MA4!jq~k<^ALeG0rQK^IQYN2O;iP^NctDu9_0e1FiQmcHPyvlL`9Tzk0+LukHLvV$SOD)a^XcSP*n#o9Ck_GSGf^T6xy z@)83VV6_2mPEdGJZPaO(cRBu;53N$o-U)T!b5M3p5XgW@T@juE7w{@I6663m<6X5B zP5Qb0HJaA=y8vn8S(JlH$4F44$}ZK4=&S;fh!3mmF4chn_6q~mYo&|;>zcC8Ov}xi zH%)7_?wr965FypD_E~pSf;!krqNP6C0hREOBoGHBmE2@cL?=n z&0BgtD+4lH3v7h}o7p}MRryUI(ODolFc9c|#3JDt(b1C%qf+RAq}d&8 zmFK7wjeKP^e!g)Q0*6@^$EW}uDm6wITbJMf4ITs&SV|oFV#vlT(UK+K9pOToAn$_j zc{QgQF+?rpT2BtD@ocM$?NOHQVF9TU%`?F4_cs|bX*k)a@NYWYI-r4h-)fi8ANr%* zQQ1`K)YHw-NvC1`&KkUHo7qJII zwvDD6q|g~P?ifZ-!k>;zGZ-xOX3bGKV8vh*JtK5LlzFS@36wvK(SDYx*^k=8-uLl} zVz^O}Hl?&at#$E7$qq|tzXA>1ePy%Xr2O9n${S~K`Be-l9}!lcZ}r2ndXAj#b2@!Q zh~oY+3?f*+_p_VUij)2S#(a{5v?@chvD7)9JxM*&uzy8_%vKlj`h!XTH!}Mg4MEN? zYki}LzeSk6GcG@EeN`Jx`$O`9ae)Vna|C;4=Lzy34C&|f2r}_e)qNP@NMX+~!NMFd z{fM%h&>D#ksgmN(OiATB0ng;=3F-W#5#`@RyrM}-BF9{1Nu|@jL?=utb#e*T<{fVn zHVsP1D(TF1(II3P30pK8UA>_Rw&EszxnZOH5847vem^&~V80KXgQgC`U}MP{P^5i- z43$}@%XH+InQ78qjL{AR`&`SfaNgB=$GomE|Ynw zt#k~h+#?3otcyS>^(*22FShz=_MU$fUh_%`#r`ooFvn|u6+lk%fKrp8-kKbDwb1vx z#p&N&!l!7VMwQ+}J-;uwOj`VF2>*Bt;n7ZX$g8W@M3$S>Q7VG&?ZTe(tS&o_OX=Ux zQFvf6)&V^A90r2#4+DjZto^tcF{X!qDBkovU{H>G8H$m4-q3N)6^H(rEY=t~22naI zC@)b;$;sCZ=)@)@G@J%csE8G|J4R5$Ami_iTxq``1}7M10_si#mp8{?LSTZC=cJ&=Pl8cSh`%_d5!w`b zJ^?VG|M;S&wd3CLKqCjOz5F!Gv23)bQ(6>Tv-4PLyCZBBaX4T8RO!eFp-bMl^6k9~ zgzB&_|KpT<4i=vL$OFm^N?IJlGiuXuLRQ07`GYpmW_oDf9bX&&2CL6eY)`wHL#N=@ z&3HIV8xABlYbMhYMb5>UY@(;GKK+2Wcg%RRFYm(09*^0?Aao6^ypd$WyY;5bL1pSs4oBhazJ}U&LUpvV zHCp$)2voY=G~PI-@!9T2Eq+4!X(4S;Jx=dX?-?IhO%sQHTF&~AGgP$HNI)ThOr7mz z@gYg-2QZ`aZFlvrxM0aoT5u5o&E9>@rnSPcmNvQc4#M`E-oC;XW|>HJCx#nR%o<;d zkx4{QDqRhA${iqbk_(q2W=7nA8A%-jdJ~@p@rxRqOruVUVEf zhSy{+cN*fNCoYR3zP`2)N5Nl=q*3g7=a6|p(p(lCm(riw!4fRM7lZ(HHtHN>jHu-J zT?3Lu-*-g_X+x9lts+2}e#X#p4fAAqz4+ghL%FJf#taT1%FtmE+hb$yTNEyQW7%cx z*}a#=#i+&SPXz`RQK!UOrMR8EE{Y(g1VtbF)FpIh{{$#&6^(sscLJ|j)mbWwW$8`@ z;z!0FR!ZQZ3>}0bTz*9XZBk@%0w7Q{{=PfTwb)no@IeaE7e>~$*O9tL5uNt3du5UU zV-sSyKvAw^l%ioIurK#es_i{jYg*eq-T!}0`sSyB0vJjU)cacoQ}GQA$1MtdU3e&i zOiD`etQhsT*2W5#8vmeaTBAAI&wy&7-jC62iDFS7!N%I!V0K?&9i3hps~KRbb>O%= zPK#h1DJP;;siRA=HZN@<-%_7MY|=bDc1OI}OM(5X0|3+Cel-vtbqN6B)B8k%o|O6} zjgA6tQSON~qZh(^e6Tl|Cm(Rn*n?+ab9)vW3#!LL!|c$@P!% z*4lBmMU9l*S*Z1Lg2}`DhvL+wD9*Szw@I-ee9aK=w8oK(So%QeEzF2)z-g%atGu89 z_*mZ`|3XM5G;Bno@&;|6dtuXB(JX!+h4B($x+t%W)P}{N0tp~U8X0!l0EbP% zrc!N`Y!7If)(ZdE<(j~$#}5dTz+a9i=PJV#My@qO2kp_&sy>Ts{efHMeVB^*!-F~S zm9+D8Z*9$=1x|Dpp*NCim58xfjVhgpULqPD?ndi|za(_4a)t1+(5q@dKS^^+78@2JsVHmVTBN+q~2u0Q5E|5k9O#*0t4yn&LS~f z5C&C49w*^yjkq-~dCaagqJw%Cp->EnXiyJ`ML5gvh{o^2kn&5y_gkMw8;qV)7hm^) z1)8Eg-qsM-r$Qzr5iobEw4WD5FCEWie7F&~NVHr;O;V^!Z^Fl3;+b2et741MZhO>;d5b4Ic#{87h zLq+N;-X0T@IW8uiKnR&14|~o}jUqY@rN3p4<{C}Z!BEywS3C6&hj$PC4FLQ{WcL+C zIh6gRH~~1z>PL;NFB^m$D@(B^I)P?HhjNC2Zu&=E0`^^wp;zGEgY2?SL8xlps3=g|T{o*e3)T~N2L z{$cEQ?>A!w+N-3FrRM8>90f%<@qxv6NI9S6rcnR?JM%w z7b;yAw^zYiJ3js2b-|h-5qC;O)tUrw`uUK6iV~ko=Z3z27O}a}Sq^HE0TdQltx?Ip z5GtitTnM<)?@BlGyn zLyg%d7l`DSN3zD%tWzG;f(d@I%hs(GZr=e_+4+WO+&a{BL1eK9dr+MvCZ;f@%PoTg znGXNGW-sygs&EY)1xCMg)U4eRL;O>sQ#B$W(qvgBg5z6*)5duONqHMpjd-jke;VJg ztxDLH*IZHw2WDuyu`{>`(}(Uh8as$Ap~Eq$;%X2II(Ml$j9%~92A!-9Ql1{nMo)c_ zY#Ms(-()D9A6{!T231WK)Ki%|a8P-CkL%OJ#0sDaWezOZRIwkDN>j|?~`PZ31v zw}q-3Cp^^TV{xd&hMEr!rbRVx8)^=w9L-=4T|zyWNj=KD*3@H6TsAc*;Y#w=zs79s zY_dFyY65&#;ll-;~~x2Z_N;k$y{#;MkL96<55H58e2?iH#H&Q7THkl?ZidV~~A zaq<@h8V0Hw6c2&D&QO&cDg3d9?5A z|r;KTN+vzpcle`;k35d4Y~jIywP z-3FE48kl@msqueaggDk`ZGA#x4RP0aK?4Xf0rhUV{EQf7gJT#GId|!*J)reDciidp z^e_&iMc6Ho-x;Ed24JOatrdRqt==j-14OhY0)^FB6>*agacvVL*1Ie{B(M#FBO#&Q|)SN-M=qCOHFHeSL3AZN3{@gvu3S}Xj|@HQE&KJZ`wGgHp< z)mCR6Xb0Sx;Z~*s=wi%FvE?-DGg}NdrHDmv(_^oP{uwgz2&WpH6;WrZykGpc`m9wF zA!Vl4S7jXKfZ}t?lmi5e_B+>_sRgicrVIXT*8= zp`LRZ2#$gj>6bzUCFLY{5HsFm+$L4GUFpm`gu8pBgSF5Y9${Jn& z_)lHCX-#xO_i*mE{=~KRr>sOQ^2|R;NvBl((D=X*64tMIQOzEZxPBn9(OCv| zDqVo{vucuf8sb(%m@5Mo!%_q4nPb?a12Cc23j_a+9&v#I%(U4@1zOwnxL)&iNOS@^ zh@cC_n@`bO?0-GAZEQQEr$V9f;@0z@mOuMDj&eKPi6mXG4?(z!5_- zp1DpmYdGZN)P>&0$qvCF2{S8OEBvjDIY_$pj6t*vX3l71tzaUpOfzRTYud&vvY41` zjZ@&`IEmTpM2uG8q>;20BjeQ#Nntd55Mfq$t%c#FTUGsF)!>IwDQzf{pzz2yGAj>MTm=RKD*h#oNxlfrewT9>Ez6j-{=& zUH=b)QnYMy%q_+5b~q^>X2}u)n&wSEE^_yepm!FM zmlBju3(ulRO^}BNTHQCY*UblrYfO&oaeofS>~U8p-ZMfPgmP7;`SiH;-<~2sHg#0P z_gfTd{dgCkwc`RAx?ER3mFj=fxYm5jQwqF)8I{#Vjy4%DFo;NwK~tj3#~xB!Jz3x8 z)1q;s)gfB&lUBLBZ_~82Op(||b5ZoxqhM8_=_M;IQ?+y|#u|jOP`Xtu{O7RnZ0jVQjtH3O*01L|6 zhE=rvaKzrZRzFJR`?9+~qQVdhw2pcfxA z&-Wu?Xy0$bU|1>K1rF^Qgba>A`JSd}jcoI`pin@`Dl2n`V2mJH(j~69)n+PGQU2Lz zX@!ll6LrLF(uAOBgTY-x|E-oCLLW_})n|w8v({)Z0%b7-Rb$Xv(VSzO5I*YC;|Qft zP~nh$A{1z6lR@?jl&@X3da4;_S-{^0LJWu+GTuQq;nC>XL$PW9xZD~|eG;V+E+)?H zL8ZQEClI0O)>5Ap4j{`9NqMvXzI3TOeF2%(n%tI!t4^CfmV%;v^VxT;HQYWgw5}4{ zAodOy|Jw+t;;2>AMt3=phAKqT;(w<|C?Wuma;O5HY46_K*$p0UKMG5Et5oXm6Ypm9 zCGYKz)juKP|HenE)(x!^z#PN-gjs(m_4h^F=hKfK+Rusz`U

om6+y5H>8PyK|wu zlKJzI#(MD)%Dl|6NT;-hT_k#NQ5gV!YXB~{!(#~TN*=&|Av9iR;w>T4cyeP7s+UJ^`%*xPFIE| zK+yMvbG74MYO)+9G_Eb3MhV3cH6+@nOL}X4I?BbeK}&~GLJjkxIK5V9>!?l_>2z93 zzhFuX4%v}Ot!U`qgB%t1HgF}FyG z;k6MwFv*=<5{n8mv$ZzwBm!@Q!-df}8hXDob!18u=$ZgG2#-i~E?p-fVk^g%&a=;I zumfp%`^}KdF-hzOrY&_^JMMv_MoK3Lb(~-@4Z&Kve{6`W!WfPiM+AfmQf%4x`4Q(t$^m^uK@Hwx6s!Qq}p6aZ6(qDHG@t?>=>iDVUJ--9v${k4!(p z2!{;cw{5oWKT6!1n?=}^R)sl;6_1p);~H<7R`q_L{=VqYgSOnRx=PXJ@@wNEg9lpQ zqXhJ-EW5FFKzB)A&LJoi7%J69DW{eKP;}6UPNmu?OMKOy>7V`4RVv@=(z+DQN+Ik) zkwbTO5smpnQ-ds4DQll`wWc+)4)2aVG|i8JYo6DD32^*D+;G(bn|!kD$n+ZOiV( zh#CsAnD#8p*SV~({yc(x_U!WaJIqGTCv-Am=J(a1hP?AhE#Yi76ia5L6jL*_9c+Il2ezM z-V#pVNv<}5o%tmVFO2bEq1tIC4L2?Qu~iAqLbE^gDB>CQ=C@FURBqU8|~+LaPsAbtlQ0^b5XBX2109%z*g0XMsq?-xsgt{2%Yd` zKRi6nzzm&!HV6;JgD(#!Ek1$>9e!Ap$Fu_ZXCrjBK8XP~vQrlF$gpbbnHt7~D$JDv zu}IOmwE(S9VcOsRXFxPL+)S(PirbqbiWW=MXbRRD3Sq7#7#1kD9XH!=o*AQN*0pSL zR=9j~m@~Q_Kq6{LrCCyu#xHx~Ps0nvaZ7CQ`^yNpjTW^8mIlAh(8(fNw8=(Xzjkt{%;9T^?ShlAG#9NOhX_Zk#TN?ze6+Bke0VJSKOX+JuWq_Qk zKwE%lSOJPQwP|0aXfKY7G0^qF>IV69BFeUQAp@MBq)Qvh2ZfNy_b^adkciP=M6e+s zo70){ni$Ks=@De&(^mIS84Dpw1yFW_>I#_te(q0Q?bi*&XQg5>gNZ&Kj8Y}!J(Tqf z>NiG^Oqw-I0rJ{V*PdN0N-Jrw$uVC>%1laSFX6_q_lGq*JFNw#JVfMNl_+7tTPxh5 z+0t&vd}gGGK?lJBZtsZK$`?Iv(mD$4vg!!bL#T_daS_U`XE{!SQ9?pT7c6MV_N$S0 zs0(BVn5BWH*`0;~iy5Q~!yJbkzkkFcOM7WpDxC=(*rfSZk49yX(dwNsL??~$z8QZP zW^Akx4Ef=9TyB4F(poX^VGTO+6Hb(7PRp@L07Hl-&yTp-YEryj06LpV2G_oK)S}hS z6DJc1KQPP+WGq#;(c{+in*aLiIgz7_S~=+ z13`V$DNqYy%HIu@VIt zo8l>lwMHZERu!k9@q>|gkHVB$XJiT`6vdlLI^Sq@d2e4H{ljzoC%BIyIgF_Ur?Kgn z_Q%Sc1jlG|J94JT`qGI0Nh>V`a5jWeuZW3s_A?36N(t#JVhzB4KYSfVX64_UvYsK` zp`r!`b#Zh~q%}2Oks3v`FOPoo?0s-Le9+v-1{lAbm2zFIJ8N?Pll!&JrV%~a<>?&GzYcT>~DnkLv(E4 z(+Qn36fnMdFr_Xg?gWVcZ(wy~FTd-GFR-woea8JZk$Y&9_WiQ+JSILg%!Sb!A+g8r z%JAT#H|j8jb2zlnCTCFUo&CwfFpm^H4_Y+~;^&6#lkas9+59x<9~5YhW;Z&RshzDB zKP`*~)q7kJ0ghbbkqBz0zjK(3jv%JItTscz`PU-G*Th=KF4=E1ma&!}B^wfC$6`;X z;S2dE+pPNc4b7?8|Fj|Bz{P0Xky4#w2mE9Eon5G+MoM=e!Dqc%D~3a$Dk6tKHHc75 z9F8nwF}P5KEJX<*a=!MnqE%}qnQY8EWM>WQaEYtn zhfMUMCRoUBeFW@x?U?M@m)oBs?=d`{7&dpeK+g%FUsw89*>A7yLqC)_O8qnt)i%~Y zw@W{c<>T;Dn@WzA5@7C^>(VFp`Qcca{J8Pj2lw#|?&IW_h6j`R<$zRRX|R-nw@+UR zOz}~f16{}ZeDd+J`V^U*#fs0p3=#ummh$R3C#AA0Y;28}tEw<}!&$w)r*_In4{P@;o*SJNDnOM9 zuNnVqvk^1w;1?Gc%GY7Jp7g$}H!Xy8IAAOX|2*tLB{k;KQ9*Bex~H|Gei8?C_Ah_K zL~yX7>_l)~69t!_37v?GOhDcB!IftRmo=+cliCG77qQU@LNB;#yPzfsaQ{~{R&|Ao zaddU4%OE!-pXe3gz*5`1cU43tDsnMByQ?Tg0+Z5-86{Y=Vw61!>QeJ4``PERa73qH z51b}508n(Nv$k6Y6T7(Gxk@fOtkVDO5v#PJs1z)fuZRJ&*p(&DDr=Xbr9c}KpvU5fL1^(5n)`$%tFZvuw+{97X-WA3OAe zNV!dJ%BB4kL2LT^U)>#+;tlbEH?tztQJhVSws#DzPUs*ecZwWX^cRHuqJyvA4vCO> za`9ov;F23cRw?LqCfqXG!Dt;a>*t2;zC(5BU45zwcnu>zGS^6(bXyFruAm)jBS1$X zGK;us+=t^TN;PmW3h|#1p*Sd3aUmT?xr%V~o**i)WdzvQ*!Dz8l>PhA9V_&+t+m3V zOTAUP2xHhc z0LH%-OeV#SG&2i4pUjrbvQCo4WFyJNF+pGg?pHKTYt`XQ03iVUudrn>?%)Qo^_iAP zHHIf8C8;9rNK!QWkc8mUFlrWDFA04ouelT+sK92)U^7n(fQ;H8#5&Cx1mVl(fPiT1 zdHxg+198@M63oW@!2CLBAjC+}8k72(m-Pj$N#Zpe4eQpJ)swNX)gRwhM-Mvb>!NU% zew1A#T0?7XWpVgDwqYVT3@Q#6en&)9HDCG^{imxdYmM2G*=ZAQZ20Zx1O3TEnof#t zF}g=EIrN8FiEEH$q)?)qEMs5U_{g3e;ff0@AZ96)6k;eUW$o$y)^_UyfW~V^)}d1# zM%_??$V_gdJV4ZqH3b{kWG+rXPZ3nb7{NHvBu=x5ib@lTla$s_s#=!yu?yY@@X1(h zTJPR%RSZxn26RlY3092n0cXDyCv-7|}#j1rvjLEjJZ zS*BI}T>-$P(+cD5q5QcK!W-Z98FGnyz3B7(Kx2#@8tMw)OTv;9>3?35!6^A2!>G$R z`B=wtTtIWql<{eF&D&#q;a>-H--Q9h zB8vddKtLz^wO_v?0(#PTMr#=8qNzRiQT6X}bjvn6`9E@$T-HaFeYu%Z^;?8%&p)Q1 zxq%XsT`QycnROuko`TIGUREn4j1zt(nnQm`npq{c3f z`7<(RM0Xa9vTVYQ$Y5XP1LBYI4*d+7r2vX3!cdU?>`=P}+S(yMF4P=)&Zr(8-!ZHD z2_M1DOl_^0_H;71=#~QNu$gMD6|Sn($fc`H5{|~B zxk02k81!Lvw=2+P?%4&xe#gVu_vg*=5tq@>o>Jy>TnZD{HHEwbi9*hPL*TZ^nT+Ni zG4Gd}7&tBseH5XQ8b{T)&fWOjsuSQz4N6yqKoi$XdIXvHq}2U#k{Q=|JUa!f8Rl#` zl=`FVH)7D-{uBVRjpKavFK{RYW(H5kC8oP|3AqBlNZ{moFZcSRq4tDG_ zJ&;VLvHn-%(7xN6?+6GkN?9kx1kd$nTG_XH+kIb2k|E?zDagK#W#y$fbQ0cWyaz_^ zU28PrE}LH~^%RGtOP@;6LMHU6b5h`gyi^faRPN)^C2|oR z3WzM;`AI`GBNhZIbt$B4etpgJzIV1h9YdYU*)wKqCg+Y*>%QvCo|5J&(b#f9YlSP5 z8LweXClPoflaA~ibuYa&aMbC@&a2TD?NLh8BVMFVd7FP)JtVhgGGJak9;Lm|2!+RS zEZ6QcVU0FftNmJei*uURx-IwL*$6WK`;EzejBK;$EhB=AX-5|Q)0C8kM)nxrnQw|$ zgwC`5N#6~a>I z{juf0X3!AmoDdT)Y>K6R>}Vg)ibkc5m10gbDkUSA;;r)6<3jVdo2UY}jFBAVBqj-q8Q=F*ecxjswhnXhV`}|6>9u9fN*g8KV&9e zKh6XeGm*PeNc#W6#3Q*4gxQ?uU=?)~I;#c)mmzOn`(}c51gn;koGJiw>FkZj%dd*F z56;apQLXNsOoKCo?Rg;yo%kjd3frNQ7Y+O8LhD>DKBg zy)v+2oVPy;45~4TZEPE#H zG_s0O&>YHbBGg4A#s{Swfo2xo{`P%Q%hyI|pPHxdS@H@EP$2D73K0;}agYKPTW_^i zct82EU%JzY67#1M7vX%?TUS``%-xgS2V+pH@c&=6HNgf4beajVE@uj zX<941O`18d44B8o4X~=(aQL=Qt#H6Kmj?$#ihV9!u2xQW3r+;X0U`+a{a$bzUHYOI zog%DStJYWD5SyyL7`7}I_G;ayhSV{O;YZfilywX^<6AO|W9Ga5A4L8rMeAA>gUi$*2= za=Yf(d@R%U>oq!#OV8Y=Z;#}Q&lQ(7t#xf~Xxm8$1b^*?St2__#$X&NPEN21p|xHA z!}nbq*C~{hK_NDIq`HPgyQ{@{EW}w`Pq3J5YqHjg#y+WafFKj^>%)oDKc880kzA!g zqKam$uT6shOofCoY3)$+4BHOd!o9rV(Rx+D$CnUi#_dgj~jBO0BkKi&8q1@L>B zSIq%GI^b#nOW-ol6u^0a7lPD#}@?;7RO{k)0Uv#QV500}*2inC~`fyAe$1=V4a|y4^gZ}t!Cn@Ar?XE%Q^IGSf5R zvae&BEc>PUi;jKKs7)oua~n3OUtT1LhEm6M40`I?hd0M`Jj+*Km6;~j#Ut~~d{n0$ zUXsUgZR$(A>XM;-XgNj+ooGdudF4JF&--@c$Db;l^*1yK<0m01Zxxqs&at-RGO`YQ z3Qc#NQCM}Mp{GYTeou}NtldWwbKKKMrn}KDqFKH`eSQjtSI3I;I(DotX;=GxqZ~|g z^hqJ%dz%Q3S=JG0JgA@uQz@v9j%2<1>nV^7DW+@jwmAzb-Mh>%dJhjXeC#xn=f zjHj#T216%Vj{Tj8v_tzM7*~)rz=XY&QTbI7WoGFt3*Cu8Bs^6LvYXFjI2Yc-r1#Ik z*coY;GzcyXE(I4zYj#z;KsoYnuJKZlLP8#+R5;X(;<$`}wvWE&txE!8AD*t@Hl(Y?`!7P7&Zo9yKHqEY=c0(L$-Zl-(#*GG0EpH?pI~QEqt@+&kj){KQe5k3;Ee3DeCJGK#Zaz$suV=RAMYu;d*< znC49|9_l1o&2&Jw~#&7+B2$<^(6v1o=kpSvK%%hmFS0lt~q;vvnY@QO) zIy=4RNRq9JoC|u=vgD(#cb5p5Vt_@?#F5$;Y_m}*O?rk-YrI`h$$Iw%k|lM}lc?F} z;vDGpli|&c*NVoYi(DA8JuLFU#!Lwn>=Q%Es;v-L8?9sWUpI|dI%gHAKYW{9XKJo7 zlZBpYW3Gp^_ZX8Wya?IT61Q@Z+tw!!U*;k(6b`=0RM zBDTpbWQ1&+>TY8ms8uIptGLlY96F>R_=!yena+K`JaXGXitlQJbPy0Uk*!C*ek8E4 zB5!@D^1c-3n4IKpj#pq?JMN26HTFxF1d+IkV>LD)93_I|<2Q*9#A*_vj+q6f5JA2B99NL`Tg4@dQ_Hw#c-0X5Q`87veoU(>$AaV zv7W7UcF4tn(z#&l0xja#Ne&EL&7Q zJZ##?!8v<~p;QNb`Hj+jhXx{8vyJ$B(vr6AWG1WTBdS|cJg7#Gv|`*sz)RVSPA!%lv}k^%hvVc*6p5IHxO@?X_P zTqUBxXcz>8Xb>2S>Mu~XTK8Zhpp-_yBw*G8oDpsZTR3x{Lqnrq`cOfHAYS9dO^Of1 z_fhXLz^Tu9zh8a~o`1J<)b(%_L5<_Jj|XZSp2}_Xp?|6G*M4O62=3X|ejJ?f|Fd@< zVAmAo-CrB1v4UL?UIf7c*4Rx}#RAr7tU zAc|m5R8&-~&v*ak-2an#cBh^8yzh(8xvsrtcW34<|GUiWY&qeH3v;IM_54_gcwe`1 z9_GGForDjl*>QJ^c^=bHYzaa+{8oKdN_Hbeu_NjtAR7Wp$Po-gup)lGk z(^0n(xbW{C;fEz<&Dgn9`*FM2@^VwWK>IOWofA#<<@k5Gul^&QTx#P2SK1GW79qr0wJY_PW~~zJu?kcoj2-ScvyphBZrVs zQ9atC)H5#I^;UFy*tSu^*7$@`5TRr?l?cziHjel4QSkD%Un9Jc_2GY9O{T2xf<6kA zwgX)`+DQ1|kIY@)kgK%D-Ie|2qU{cr*w#5{e(TE3^voo9L)?%%0)+OmO_**=WpJEf zD7T;zqa`JaNzF1}cbYrVHt8pRg_aEwP>Ho3?tjQL_BL&5tWZ+~-yJHa<4?NUv_G!{W?d5 z___D#ldICFSWB_kEH`fXaTDuJtT%mN(+4(vVABUSePGiEHho~z2R40R(+4)v2fC-) zg(}Nd@pUNIoNTFc@inU2mC90k_^L0wa&2?xhw|mxiASzJcbxP zmnz|rYR~zhy;J?^JM2G{JJlwpHS8bPNxqUSrT#H3?Vo(Pt~aqmF4o`^)*5*~|DL{t zxYW;^@-Q4fNf4Jp>w~`3dkJeNsg_+%KNnns?0@!}K(xmSg_%`3zMjP&(uO~*1yP|W z1cypp`lQK%FTRsv7Hm$ZZ|3gj!|lQD5SPqQNl~8X+`1W55%I^0RI zDNb+o-Nh4)hMnd)o_@qI2RzbR{Pb3Ygf?UnB&G7k-e|6OVXz#x9fZ}Xj?Kyq#pCk) z#CQmU@Gtru1a^1zw~PCmy!ou?Lo?tXA|N*%mLEE85{dV)K0{~NJYM}JV8>nFcnFp8 zhK4E~tAA{KwTB-2s-wg?bgYoz5-4Zz5X_do*3Rp9cggX&#yTI|5Vw>Bzq?e|TfcB# zjVPx%=bS&8_+n8Ms3D5ou~}pLxF--zz0np{_~0I z3%|5a1~f;z+@8RNlapH*?DG9+SrQtLr&+WKw4!5fxT9by@Vir=; z#ezyILnC3O;XIuOcBitYpz`Q3;LDqjB5U2koI zT4JGc>X6_0;bh>swl!4c*{$p@X37z|7#Jgw{AGyPIJ4}Z-}A}Mjn>%>ux07fF+>A6 zzydlYz+(tS8%|Ce8HRT3|I$Yh`bePQw!b$^Y7#N3w8r_Upz^#eQSp0^3S<>;%D^8_ zQs5;Uz~-0xbv@<%Y>O#u?r)vMALHKbZbmT+1{cKr>gAVwj%soW8_W7B!LIi=329J;H{%f;owoM+=W=EMGL-LsTWous zlht^tBqeq3Tp$QuY)|*)qnU0q0Ruq^0i=0+ZY0NlB<2=tm=kFu&#!yYRdUh!2K_#?4|!)mHjITAA4C>D0BzepYjJ^CYcym9VH zZq=+tnE#n>S-e`949no5#3wdl6QFasJOuF0*fJyIvm*U`53Hp8^x#MNn%Yfr8a=F( zcubMr+5`wk+Q+O;_Z(Q2>C3sbcG$eY*+E(Cf+JEXaA&W4zw>NL9vB~^+jO$GqCDp! z%RIzUE_w<=Tif6%A9Zn>QxTn|ee>jvPTJJD34mBsbxfKSr0{;zqqp6FnyfY~gB77H zibb@b%ukJ*l_qxhauxEjf0av2M4O2KVzNQN^gF_e?rOFTb=uyJ!`Dfau7nUy$Ucb? zg>_!XF$h<&`t4F_lY1HqD2CFpf+(pC0I|tyO-C3xY{# zQA)}9oZ8xiptmO7m2KOeTqoR5MsO)YiOa}sq_j#YPdmBJwQPTTQo2Rf01Ww=7>bhz z2|WfQ22T3|W~M78h{8}rC{Ocv+Sm45q~6xrbUb~DQgWLZxzxE>dG>elxY}Ni@2qBe*NpQ_1XrOB?Z`8^XUW9tRf(2Ck$i;|(}CR%!da9fotUG9hlbO0i)u-+-QS1;7`D&>Mhn z=}$K_U(`VnPkgAeZN4aiJwLL@RlRf8_B6hq+kPZBv8$IW$?j4rE`x&L_>|vH+zhsH zgW-NB`6z8H=&cAw7&Vk{9_{}}laxUn-v>pdMmCX=kxLxi%6I~V_0zeSm zhYWNqZL%uU`7{S@t~7!@`N4thHzf}-4!yN0FDOWM%GY>@r94>#K_;k_zpf=Q`$YcQ z;k8Ca2>5$SsLDZtO>ls0B|YxtJ+tuwNq%4~N_*J8*lg```c+f})rL0O$04+j652n| zXDT+?-Ed{X+a6|`a7R}HA*ckTIOQhhK=u6v6UPm%X5*N|m7zz0~Lm ziAHL?waZ}S7#m?m?$LRji_3%D$efcBL30k?-=JCN_aiFI--KTgsv>HfJp9@(7NlKReiWkFK{S;Y+?T%=7Gr5g!duJ+;|L-&<9v6N6w+MhX2L%gig1 zaAdM~hP!z2a)idP*1t(EW%3bSMUC`+w?Fr=6hxV)nyaFV$k=uE+Uxv6Alt|~ckgP6 zN225TH=oNEX}4ZH_6 z*#E7ovCt|P#88I~qLj_!BGO#ORcad2q0W!;H@;$(4FVczYI>Kh>+LXzD2Jg8PD90e z&pl~SGy@cIKgvgYlAdYcp(7~0#f{Ff#0iuc#7}ooMwQl>Mm^#?3AX6=acdnmPglovxM3^t|Qsnp;eiNa6$qu`HSg`F`eXiVdw@cQFTd z<_l3|#B^{#v8THUi&lDyhyPsM&YcvHbnrzB+H6mJhDaDBTB+PqlJRP81UBl z5RgB!g~F3cG6=8{d1Kc#3Ham|@~;rlv^@R92IJ zc2>y-P&mr;a^>bYFYq`hvq0T?-ytzRjp$uHp$8?S$e*-1*C_# zU`hYLSXtqyd|3;NL!Cvl^NdvWi@6@Hs`C-t5LO@ddGClCS@w~~H_Va1MCunE`v30I z_0~2_21mIZoX)6Sw;=RIa{}Y>kE~HZ&1aP;{G(3`vzkER?cGEZ_N7Ufe<4E^3wk}i zW%h}>&v8~+c>qq-vW<+;)J936pPkc)p5Jm*M?IF^glW#l~@JU*{;}_8fDm#cYf%?w{+U zHY($G+J^@lG&x7xxP5M4{d4uujO#>O{Zz(nhWZZs&^ASvcB#I@{^FTyOFh?Du}tbi zseUTsy0}lgPn-BS-jDl>Z;Ey+4g6zBU&kuNGv-4-@rcjsT&fT4)E3&J&pGy0N8h+D z$FZ-8w#v94=R_+m)mA*!PUls|^_)Aw{~Ze+!(q>1KEr=l*Y(ypXq2P?8(k-& z=CBdRffbj4YM7OWOTcfOnz>`gCFzY?))s)_lP#+?2+vvr^4z%Pa`|HpM|DvG%KQ*z zKc~!o3{QXsjZbu{bW($9Wfim70$E^eGL$1S;^Cvh{nT6l$I*OZQrEQ_GHf5Ib4#tpI!njIH_d+M30B7 z2La}rA1cRf3+41f2q>pb<25B40I=yi?czMd`IIs^W_S{7-`qki3G2}(6$}Z5>+Ik4 zR*dt2bzb|FTlsA9X&WAPk`X8Y7NFS#dR(u4wbL=><=s<@#sS{%w51ahqkd+!85tGyI;+H zq4aM)f`C;_Mf-so4Nvho(8z63^4OO9fC%~|XSdV`TM>yT3n|Z4ni%nj`d|FH+iXyy ztZls&f#NzOm<{gaLv|4|l)bl8Nm>X>H!O|qpLD2j7RfLygLTj(D=?05@$VO|7Jish z)u?voX&IeH9zoBnKyhVL&ID#rYkK4sxZ}wCWN!Y234Y+7JHHZhJ*6L9)#L<$f4lI+ z*^2&T?Ybkc+t{#}8qivJUeTxyeQH}g4&jZTgBK+>2177oV?W;h?%NZWFJcN3kJ@X3 zDV?Qxh$=kjo9`2rFH$Rho$ z8Wk-5#8RgDd}+L<_uuAvql6;1sKr0KJ7gOH(qp>`Sj9$7lq6FU_QyDzQ6(~*nsZAk zw>S>Xqr-8z@eK!(kc&I{LrVYY^wQFb+yPgaK%T_n{U;WZjHFNYY^vO_e-vg0#t*+j z+|ovveR;>Ox1xK1ZOXix<+in`7C!2RAu(87#>SC_P19j3fH>idQugD4#5~655eVFpOd(k?QgF@oL%JZ_B114(3GJtCL47s*-u3h z9v-(D(o6MG8~Wi;ik<_1KN)VC#={qSSZ&q+W#U6_aac&RRH;vgP$xHkaW6Y3irZ2d?qNt+N(_!eXg{tS?qB1)U2jc8TPYRbV&eSq-%UIPf~r&*8bM8S zkRqnmD6mjtIzZlWP?g|kFQQB6@kjDWKO@@f4&f#(jPqn{c&N9}v`_wF!S?W?7 z3o!g7W~$uJCwA1yCR3+6@vMnc@h^+2+=z1B-yI2TN(@4{P@dqDZx!JXfQ?9!fHAzU zcjOQ@g;;=?JgFN$)sQ4C6_RisQk@#jSoT&QL>o5JagZ6Wm&`@VG~!2jJzoXikUvcW zJs}LrY+Pu5=G4qp7*7!F_BRv#`SOhPT}2t}Z#=@cOR)%&75{B#r{6T>=(}BDBL339 z4}*w!oL1!k_lrJdz_P+<>Y6A0I)kz`p1LCAy?WNlU_UxzN7x_hi%Bba0s{sF4Z5Mb zO!UrMP5|b3C~@dOr+4|XMz)c)>26nBW}=ZIYXmXj_aC`cBzpd?w4k}4FKCX)((^~T z#Y`$+-m;kaAg55&|A?ITpqjwJ)-lXeh_6{%EJQ6M67th7{`XrOp6H1b(hndg4I!8| zTdnEsu)B(Fv5MQne)um4(j}7wY=lx$R>x8_RsJMre52Ey?*ASL!5kXF5r9?^lJzy* z>BqikjVPiRC{S6YK(A|;)}7C)g)$V*my1?pIHHBZktGVBF0HfPAlK96sw0T>4{(E# z7lmM41~kciuW+|cMlPy~QnG;&n94IUmUUh`#>tN=>3JW=AW0hGUgJ1aJqrq-%&j$Z z33Gd2Aui$pmH)`C7IW80uD7($*8q!>4cx^b8y(hW+GvC+=Gv#Up#81YE@)?^MpCJk zlsa1(>zj3xY;VJ3YO}?KtNM=QND3OKu4IE))gr4H&8FM#CRfP%l5b=JhK8&A%kx>* z&Nhr4rc8Lqb&FAs)5_M`57Ti3#L8$8Z=8F#PjQy^O+tXjq%h5G=W2b~0Y62`kDNJy zHR&H%@iqRaPu7`flr?kgf>{b~=H3Ver-@Ap=`d3hi(+DSN&%k#kB00seu^+5@DrPM|p``AOJ_BkH5 z=iKXS-zUZOKIC6IrGwB8(!`#_JdoR^5F)Zu*Ky{Hjqsy)n{1V$?cOx`E72aWCJ5SHA6Nz#kN8e z*(4YeH+ZFIu9;R}q!a+i6Gqg@XkT$Z8dV~^o$M*I!qZFSlMrB(Vgtyl0m4fCxC~Il ztg`aN?C2mWfkK=m=6*I18H=F8@?QS`87wMDgj5N@;RnStw{$%RbH5m5ForR)Iv(1; z$E_XfD>5^A6()uYC8Fyqu}ixk!XuelK^!CNQ^rNlK$^?BI&<3 z4RO^Q3lsT zRNHzh+FwzElH8E;lD<4MS4cq&DbNFAd**aub>`E)&a?18IEWhvA_3cuuEs*2S%;9O zyQs6PtHb#b`uqjLDXtO{#SM}sDrN^zgynsF8nBQa5F@|t>(iF3c=+Of`Q+y()?ui_ z=JB@mJm981Hto^f)B=@JoOHp)L;vI!rA4w8=NV+QueO}izS>aIpSE%Pct7qFXGq$J zFXu_gYMbh>HmZ|68VqB4&>;;{q9dhdjWLfecY09|^@qO!2k z-_NoyG^UV=3f`m2VHq0ZifTNf#2n;Kr!+jTaUpl85e1^|fBab#EELNS_#5x;Q~swH z*+G{^U-U;pk}P&^c}gS8|A80mdgBlbC;@|TCj8pbE?f~wgwiH7i49Rpm4O2?9p+P_ zk!JMz&(=TMD9Q(busYsZRU2E|?pAJ8GDu2+_*|eKr`Q*^#4KXz+uhACVxb3yp#-uw zI;X7nSex!1o*5R-cyQrI$%YgkaS28S1dy9L=d5`2`l?fC27yj&us1H@rqhT$;d^yw zUrZ-Zk=qtsgN9^evHyz8&G~iifMwaPR@0tF`ci!sB5PCrTD^(1CMqKssMNRaN0pG) zSL&-6>6`aOjHJ4iJ=C*W;T`(C{YWk<#cosySp`@Y`bRkQqu${0qFT_<>)|;H2&Zq{ zSFu2Uee1r6g#a4~9J9+(pqJPrDTsWLLbZ``seieiMh|iDlJ>y_N9D2xm50^h1{H1V zVO3OjR7sDSzP+CX3`)`>tEMy=$$^?LcMPhL_n@3Bl8rC03;z=+_39iAqs*qF-rj`HW7ahg; z1&oX5u9}G7>-dy78+GvhtP47lgQ)vRs~k5i$x-zFyXEHXCR=x`3s=QKRI;j?GSfTF zMQYgR%{fd!_0En-mK8`I=Xg|E-NFBsMOfxCt%OmzVm)qicE=-2)RIhSaA0IP=$VaUbo+{Ui%zd|qwiGDVX(Sz0!5rRoy>$*8k`!R6>vJF&Hqvom7dOtz#Ja&V{X-{o zSVw`%GfP+ekNwHyHr~RwC}l51i1+`~ugtUZTl?p|<2=`mderMrpdG z40V3UyR%bAAHf=z!ALA%{MAapLzrj!`@>014*PQE#x%ke|1IWzxwnn! zSyT&&pXL8;kVTM2Pt1KNdNJ!sxFjH|Z1%qqmDr1$f(TW~Zerck3AS*;aqeAO-@Hv} zMGz|dD8)W$lDOd=JbrnfF80}F?T4@e5Ol*1Y?2N^#E6q!cmdeIyfLb@`tEKnZc;BO z#L7Rz4n%&l{Oh~2*|hnl%{P5u(+4(vVABUSePGiE@PY2@Hc8Y(k;!6dW6nx_ykf)5 zlXH~R>o}&Pew>T<<2La=G}xFM$L)2Fi-@?r_Gz#EVL#5t=QtJ}&a7(;{*GKYkOh56WlpdlT*Hfpy(C1k7 zI*w_nF76{)X(t})qxP!Pd6n>>4RWIs`_fHqL_@MlAL_+hbU3H>>YqEu`B(b2>%vQF z2*tX9m-KTW*PEO+YE!W^0wlDRz z_cFX3hjJhyboAmJpP9hP*tR%AH?aNfUFlim4{s5g&f&d&B9<+VLhYxb(E=sBk23f7 zIu!P@4COJcAB;dv2;8#vWUM)OZ|#B)l&}d7LM(&9`)ki1iz*@vsfMgTT-`kP0V8sZ z;6rOs2tDn%JGVz8_^UEkhEBx0H3$Z2e!B=6**@UhMxyX0|Hn@)EJ4$QALZ4Zy^FGz zk~c(~I?zdPs_kO?sSc|=H~pA3g2Wr%J{-Ru?rv1n4k8*qPH;Gu`k9P4k;M{g-#&KL zn+)9++g1r(EA5bh2`U8SS?G8~mC%%5_b_huU2Fu@B6vlQScplw;M{QkvOD;Oe8?RS z;YW#dZ1Si-`{!*|@)2;bBb(d#{r#wl__2D514wJysJ>bzKjEUv1(o9l!~K8-;!0G#fzmWj zfxWzf(!}ho2t;E}K`gjD-*bBNpn&rHVlH}Lcp)NhF3ktwcv1fk6gW$lsUDB;e{ZSI z9VGWYxd|D@+RKfVv?iv1uQvb<$)Ykq1GccbwHsh0wAD?mGkfKGoPV;Xo$Mi>th`mJ zvgj`8eSD5D`Wjk+Z8gQV&s7RrIS2TohK9 z_K+&ZVJw!tIU8J=b5j7OKv}j=cQjIpc3}3;GC6D)0zC}G2Hf4G%!WW@e zA@p=jH7A4wJ)qTyug%#6HCC<;BN0E;QB2}@QtxP&j=B_{A+%`xK-afAhXx>?x`X14 zoqBU1Tc5D7@NMS?F^LsKp@Aj&p0XMiG(y?$l}upd(=kJDhTD5x?xJJR@Irp=uvL~gaV$_oO1U)VKro%Vhik&O zisef!Wgq}?fv@s@PLN{}3fR%Ocas*K;+{?++sG)_Kle-{*%##{3*}TEHQ11~!JIje zPUaDMS>1v4Rk@%fYNS$AR>7x96zViG8V|>2E3M) zUo^=x(gbqz_dGJ^)iK$H7lTk8%L66~9P4X0BV`PQwb%Gc(N?{rz{`*tCqhajm-IUR za_JT{jnIbjpB&_sJr~YJBhtdGw&{-qXt&M9!0ilV$dm*H!x zvLWt^(l`W)>o^92{nW9}U)H~$TFfcf@v)`h1ZqsRD9`KAvoENFC)ja!RlktfYGX7w z#X$phF>c>+>1Q6JA;M3^?1rzDet2nn@m$@whk&VVoQ~S{ogkvF%ktQrP1AXnuv}C zhN7-RdcWMgP!#{N-)XJd4H?*eR9XPtr4&^*bC_-Rekt$JG;xu9ls|EgE-FR3;P*L~ z`LE)ip}5nG^MDfQ$kRAxUnt^KN)yL&)XT2{IGrOM$2%3MDxjuAf-YWX8sGme7Y>Xnjgm%sY;h00OZr#)Uwo+`*|W zZGjM44p;iIJ@g1>uu@17 zjcocawyLhyHV}D1*Y)f-cX)9tnovc|Z+nNC^YtUWBYG+Frehr*(0gb$Q3fgNvu+*Y zTGyN?-%P-01f9@}%^V^OUJDaIx>(1cCmi@uKL0#j&;C*-M)Dwe4-B-O;IoTrO2aG! z1SOsC>$CB>v9Ju#8=d94$mAj&&0qB#}ihRw|A+V)gBVK`K$ztX#iYO zEX@f?!$T*WIwJWQjyHElT*=dESV_(aGx-+#C(h!StVanS<-}$SS>I`Ah{!RQ`jnT3H0Dsrc5* zPQ|G-3@-oG@;8fEKoeOy-ECQEc&WaFl$cjOv-=|69tSrnKh*uFIlzZ0SZjFA1dM4& zhHz(-?<`E9>U?)_=Ue#c8q#bWL$MQn-5swvATcjLuz=7#+zICzVUY@g0@upk4g=xg z@Dx;_@~Q4nb3G3UC$bw{kMV4_l!+Et#LfojhqyY;;6j85k1^3*l7$^^VFE}uvI>Cm zqr9to?A)&a4Lud2+P=}6BI9g-pJk=Ug>K|1X7B^{FXj7UAS2DjrNx7nnHEj*=|Ck=DZlB?))X@Usr<_R1KjCm3i}vPC)gXUd@4lD2hHP1 z(i4X|&QsvRV+_Rj#WTk{yLUE$2!VKVgOuA*>bw6cQ{T7T8=EfFv4Twe;CVbTCIBIG z?VfWpB^f%(7cM%Fm!|yTwzE2vWu~_jL6T3$& zIvu{D~Y|`)H7QOH33zVTL|VP4=PisKPFwuMIpW- zRj%Oo0%ukOM^lc4;4f>Iqw!B$AB8u9A@%>Qo4N`TB4}opbslW^7{8@gqzE;Bl<#&C zLSz{M*(%t^j@RDmninZzbtt?scSn&~rZwuV&GKG+Kz=L>BJcN`RZAOw1QNuzxa3*r ze`o6L@Ey|DF?zGFWA#y)JFj~6 zk9AWY%2+P-NtLN~sdJ(Y4KDUmv^W>H8@6GBH#|ps=@Qp*o-LL|=i+wk|G`&pXgdtf zFt?-8TXNT8c<7yc(uehi6gUo4V1K@Oo->xbH}W;Xtei|4b?0X3pTd%74$*#UZEgxc zPwTqg)DPwpKmimg^|mH@uB)VnO=f#a995O6GEhTCbr?~j*Y$UsP|HF{uM>Q!Fx$x5 zbdP@DWaX;Z=$Ok+*o|aC?aS7Hqt_2hz`fqxE-M2R4$eKJjSN3_3L^ngQQsm+Rtn}K z@1tDBVq6%d?l4!A7>TVr^$}4@Hb7X(GZNOcTszdsCxxX4KgvI~AbOsbg(EDh0ljQx+_U++a6tcv)a+&>4# zB4&MAIuzL;lNuJ0Sjtl%a1a{E{X%!fxx7H|3Rab$baO z5hr6bjkhF@{7r6* zT(A_y=1$gU+NpXafSkBA<+uDl@c7`F6kA0ZdGv zo#uIX1wZ2lUTn|tn}0LilphkQ-ig5tpW~Oqa{+{?fP_Chx8(s0IvCU77kBBp-VTGl z@`peTv9{DM0HJhVztuYvN^}G%Hr)y?4t$7nI~Rw}>tv1d_R1X`k;&_b%l>6naf=x9 zKzxgvC!67ZYYji`-m~zS53pKXI-jexvd^`k5iQ~B$zauyc=RCb+v z6h<@%k;f46?T*RJ1FUp}2qG(&6~(`g>)1So3PnnN3u0*xE}#NI2o{K~Td_q`S-b8Q zEvC|X!%}aGlN7lkxT9yNqNw%=-`YETZF8wo0G38!90wpZvS6uonaqy6$2(xj%KNk| z%)Bl~0Rt*3lH^rcom+q{c&fvQ8fv}w`f9R}| zLeqmE<&#=4dZuGkj9>)+{OK8wBLXjd)2_ERA$`S2Ptiu6XZR$e%F5W4sew`dg^4^> zYG<|}Zd0tl5;>*7bh7pvr;zj`uu<=%Noc@UmBZRhueg(Ph{C9{*po{2%nAreUG0y^ z6ZE1ms%+++Meo`_omjGZr3eN2Mzt|=sd17ue*3+ByPk$cCqm`jeZ_O6K2-kRCoiK) zNWcB66BfzJi~ACAvQp9)E2}tQ@+>7TulMnF;-YWZxZR`8NEUqKgzsfi13j|5seGd^ zdlh-#!&gYNjbchkQ=5$WzYe4F;M_k^Kw26kNn7Q#!3Z2kt9Mpo+IfaD@y!~o&ca72Yze3LI0%{TFJdTYyl>enjdSyXr?sEF6I_rn;*;Q4wKlw{{7iOcnD#V$hg! zXTMa#j+)`*NWsc7B@v!cbgWj z{il1%QXce%JD720eKA*YrC&}%HGY(AhKQ;&ItxfsP5&CM=>mYNb zVZ;GAWM`=um%8Qw6E?ya>~?ikVLA-w5XMC44RfZ7BQ#3^ zHs|+CAoj&3kcYy7exW(4w8q`ro%c#tJ4p<1$M}@Td9usu#7gJAe2~gEGD7*u28w^* z!Jm7az>?%zIqspgOLiK+%rDs&x+M>1R1k8nsy+D!toL)oMuv|4s5<|V!pafm!c(7; zZ*4?5O-`}rfCsVlsUH=tX@33dN##nDGb1}cq|=6Uxa%!1*!5O~^MWck5+^_KtAgkP zJ?r$ax>0HQ?|Ao7JV?AL1AlCLtK7n!HCnqt&BNjU=^O?8Os_u?GckYd2RRV#B{?e1 z0~Fc-l>R+13mS6i-(`w&L9t2=oMb29Sf?4RPWHT$l!qStD4*Vf_EQ|Es^A~?CBP(G zG(+%r-LmU#H>5NP9+y)$$!dssZtKs!&YVn&O2lbu1T1WS+p->nZ~aYaJ?Kt8@Q+x4 zOL0ADEk~A~S-|4T;`IPF!gyIqOcrj^vL0k(PY>IXia`7ybFT-zuVp>xz?StOQA!FZ z{fo|mnGeXV3&klUn+TiOAfOtKgpJu`qmEvWDy<8dN%HxGN~6#lk+C&7DdDPWCL6>Q zvKknRDVq@nanM!`CSgZZK=K(Ye~<{a$V>TCU)ovf^dG|9wFc{l`)q8Xc_7f^0%!OH zzlbnz&4113^Gmz^jY`b8@Q#B#bFTbR6PWP>M>dX|AmGB`y$(S!*UA;3u15yudb&X( zLa@4eh4b|;UeihsRJHxu(VzPo9A`pY2gVCoxeB^)oCW8S^Mzh*ehMErcQ`N=W66a zU7ywAGOrc!Kph8w%PW1YnSBum@x+sxO>4{GenDT*ZBo$M2iCiI0L^498@8|^Z%SeHK$)UM}<`VMW>R48>?S4*BiLM(kjE% za#Z5cX=D#DII#v4z4mkRSuALzlxF+A9p(o^8uJ4ag%RiEj=>da@U<4%uHv#~-2qBj zHnBq`W%zc@fP3Yj$ADSBu~1pxIN5oR1cUSyYI@V_dpQc|y?ah_ggdPT`z!^>f4_yo zt}a8?vxpJjdgMxi1v|a!pY;Q%=#~E@6(h3t-!-A3a)}!B2zo{d`inY+q#UsLWv(|u zUc`G>rzv1z)OssI@?RHW3~|4&sf!bx*V&ES5ZLvEf6;)bsjmu*sF0%U0lD&cOs{j7 zXH0wvZQ>TK_GdGBNc)3EzXEqCG@@Rn7x-i|nblM@!h z{kDY_f{N8h** zg2MSq7Dv#t+zcaht@GM0-n+>E2RRDx7X~Bp#=5(sM_p4Mc}Gr7@`%_h{Gb)0;B?44 z-1OSTQkY=-AtPXZM=oZpj(lic6FaF7t{U6)=B*Q-Pg&hn{vkg)doosNYXq zZrYK>Ml8Wb4pLQFPBQaC5SU?!7t~x4aUPX(<~YGrWzA}gc~sd?CLHh;){#s^sr{qiIujZ`)2<-7gAnEP)3 zpK=1hbBCO>P`UP%#{4ON7^xLI%cv3-*`9G_F{wgU+jDpBdOD6+f&KBh^=^7dj;xcY zLDfmruqrgz;7O12T$lAKwE4Ve%cOipC?7;|Ws${QN}m*bYs;Jv;iUe^1kJ86_lhCf z!IlzH*w2a+XNHAGNO7ZtyST3GZX}}{fTE&QmfDmLb)Q=5^8~m)KQZDs))wtGSW^N+ z*i6FScGHUiRID5?L`52cQh52f-cE&c5Xh|l)aHh0`Z6)`aG`#KU z@|=E2(tM+nPQN$qcxv^z9~xiW#msu3wV9@$m5sPaLQLS|cP%~tll?NYb?ko7^5(=Y z{;Xbd+%e?V9`CM_MFc_hF{`Cc0YCuWF*?u-Z#rB^*PG*XXLaE9yNGy-5M#C@xaH*+wepEkr%=od2y6+ z>CJZk+><^S{+0fuPm`HM(F3|S;`Mjt0T|!>wy$k84^4E!5^xL7U3=08@jf^Y7wZ=| zK;U4vU@>6P=?%_lrYQz`m`Wku(CaZJe}N0X=Q*dWv(xCgPN~_Dbb_KuQ@(ph!^gV_ zAha$z@F96*Gi#{8`Z=vwi+vLZIowTJs1uJL%_&Fa{xu7s%!i$VTy`BqMr7?~H7W@G zp`08s$XO>qjw%~#Gi8$%_LeQc!A`-({yLQb?iMXnkfBKBfEsY{et!#aBO$G0*Q6>! zexPJw>{AsK3ro1DF-a>Tw_5a37ez>aCdK^ z^5&AD2RVf#3uJgx3t;!C$zg=LHetO276g3ZH#k2MV@@NmB*Fx{R182{6BBDbJQ<{Qw!HW>bcg(2w&Zm~^|E014$UmTn%&$XQQ7 zCN(OWBWdy2m{}Haqauq@rO7rGF3bOL2dasGk_rKr#h_T(B;fp{pYOpm^8gJNYx+6y5;0Su)V6MAK?s9Ek)!^pw`+P3Bw8vUdLGk|t zzZJLgx2G{V-v|LS_7Or49B@3$kYOys!CyYh9Y)d{S?TAl)J>Mgc5rd&EE5Fi2^?jIm3&T?XT;!oh>sD) z!Nk6@zjjRSnN8+ir`8q)V6`Pj0k?UTyG+))P`IDNwGu?gO)&Y4OM+o#6Uty09yqvO z&S+M*I-?;D$&0)87;8TlbM7oii0I*hqV>sw-*cUtvOYKx*-kuVhN=lC`dNMPBc2B^}zyb8#-Liel}N|M0dr&MmX0?C6s$5u6@vBs0T`BzCew~nnHcR%vG{JTVzYP9My zL?VHNI!FhC0CiOExdfitIm{(4`p&^lVc~~)5r+-&7?kX}wcd(wF2-j%?!3UoD~@1L zlbc%^C{}GMul!dH|=GQ^=!4X877h(g6i$KE&v zXO1)CG%LdU+_QLDQf=e3_AZxWri(wQvEAI|tPWx{Mls}OJ297(5b4^jt{cbt-1B8} z0`eo`sSZZfdY5v=XPPq$1jJ@LJ_mx;b$4_SKuazV!hlLU*?T#zlz+lPJyiw@kbH-0 zTFj?3JC|1;%u3f zMdRQ|6H|m=*?{z#$$%p^&F)yW3%>D#mKZ{KXjlIZhx!N8fw!wKZ>Xt|1bw=P7&6+H?e|@5F!7d4lN%9!v|}(1tQz$o2y#*!-&ZTnom4qVDdA@Fl-PSQ}q z(4!L7Kz**OSa3WU~sH?RPEH+m-xBHTvOAl#i)B+`vNt&+}WoO#uy6ZNG5pMc2Yxc5)7M)aV-Q z0a9;sH!4!WfOm2)C^ocq`HUJ5IZOTG1LC0^PdKoDBiCu+q>D`;-2Ak|R~@A4S};_} z6?n0p8=3pF3J^nYMRhhb;Ha-&%i++TJ=(pb_1-_d*@RU!R2Vs6|60%K#RLRQcU<`1KkQ^bN`wvDDelHu zkiB7V?Ss%GDn@PXB`vq|O_TD%E-a4ne3O-jMnZaIH^6$B=gKUF*j7ng(C9_2c@KPG zm6Zv=?(Z^<1V$UHFXKY5S1pW7RzPGpyd(Hlxv`IEV*n8#@MzuGVe|-&6 z8cBu`XdwEJ&Oa$2y$z_|iae?`(yCP!dMFg-$X3$xemRh+G$qQ0Lho1CfP#`_sQQLc z?CAjIbtaDkZd6H+ceca|wzy^Kae!~t?s>xdils#%eGMUN_UtD<@XKqrK>oQLNYy}o zh^tr3$G9Et_R^f1T&DfDG#Ke?X<4TQ#mD8yqlG?zkuGY3yBU!7iD@BSvrAE!B z$x@3AofP)ymV5Xlfd`iE;Y;9bw)jl#$poX&T`$K1RByJL#{}Nk@|ZvtULZZt9~Vf% z`@Y;G0@iUF&!e8rl|=`^7&6R=MKyNSGi%Z(`lABPb6jL#1I^pz))jns|h$WowJ6NM4^n0GOJ!N7X=bQn<~ zmF(~HySeYxLX~JijITPeCf5OEen!UO#(==xE#@Tdw5?~_{v&KeNtcXxra*ucuR-irJ!dkIYAb)%4RSo`mib*;X> z8hgo_kO-HR0YCkj%aC;<^-eZ`{LU^zad%6_%7|QbgrpCf+gf#KITMZ|ANz)O9 z>PYxMB}0mA5~VpsIrKjs8RRm;DDe38OmeCaf)l@Y1S zf$HyfIg+eU4|ynW?lM&|LLPpUbo_cQDbZ+ON4}Wapd?V|zC3~msNl-%|HKt<8tdr5 z4}IA5K-F9i{sFr?QT`=r65wqd95}8^U3NSLq*$4>D*Wm7-bE0&S=aSOqdcd8(~h;k z$0#OFpoUoMG_3}{{GzC-Qf#)7v8~^HqtV4%{1vq&7oH=HD5(fB^}}2O0FPpCC|t(n zs3vCki`ocX67#oRj-;Tlve4|U2re6IGS!AgyU&WtNS5lAh{o!ypr%ZDyhhMV)!Y$P zvw+3!m~|#pD^hr}BbwFZ8M&0%lJ?HrGI5KAAJs-GAZvQ3 z^GUUb>oLtz)n+b6s#_X!WM>f{>*CF{psX1GM(yq<;t;o#dfO!H&P36PwZO18-Cf+NW?IEV1Xxp6Z;~PNIZCVL zN+H$AQp2p)#%XPbvoec2`1lWMX#kCO@tnv0x`YUYtJh{v^!jos&U6Q>>crR(Og@~W zhH%1UB&>CuCg)~kM^td@>$iY*LTR8dBGZ3^8?m5L|4O%*pog43F+CwA!%>FO3^cP~ zA%iNbGMumG0#G~4jdoWf8_Tk}Zx3=9KAYPn2Ae*x=>yB~f$pi6+ch7d)IMVko90+L zCdIQqY^#21uVaR4?W<0u=)@)a)NA8dTih>qZYUS+#Gf*z5%bV_wH@{ozxce)sjrT~ zNas|ljxs(M^P_Hf9Jh`4MK3<5eQ3mVhR0l{s6XXD`;#{GkDV$E{+u|Z>w2pTf{z+d z(KG>ol^P;aOo{!#&dRk5<^HrTzSc?#YviXaU?&z+(&9hY6%BSp@L$ztbxi#(T$&0? zj4TW>RwG4X7#626B5xYzL9AB(vh^YAi{zeg2EFdmT@S0WdN~RJbf3~Q(y#F+otqZ3 za4JGZMjHXf-!~jmV)ti{pHWX#e3d^IU7b8<`2(0L7YmEI@JO;G@@}--#E2uct#0k# z{kpcQ_7iGPKtt`>PHm~LKVo>+)6Q}Uw#uJDfG!%cG6Il$*Dj%cZH>RB2u=OD zxM~Fkuzku&g$qO+@JBbD7(3|=usi<_lLk!}?+7lJUiu&NKpdUeG!|ai?^l`dQeJ&TfA1lF>f39T|zP)trrH9ZHY9aJLwGcX=hfozx{4nb{n0M#zXO{Y` zWA|hC=A_GABr zqtr^C(WY}aC~er{eU6zCP%2HU9&2;nX!KUJe^3Bd!Ro*9p>rl5gOj;NxbHuckImc( zPL^E*rJSVz#$Z3TfV^H?iF37#ECnqJ(h-_S$9lq!# z3e6US+M&BnWSR*Ew3Vr6wi=!Fh;Or~rrVl0Hg_^cQ&46W6GUx}H=k!N#F@_GJd;_7 zcR9h#`eK%w%o|KIn+8$UX(k5#P^s>e6TVA$6zIAfgard2DbUkIV@-X+nqL65V%13#4 zX0sw$!y5P2cFc}SjUHJF2zmVbCctGILH^UZH}_!k{M;8u>3IvkrJgn12XnoR^4cpM zgCv@__C>oa=}WghAtA`KXkzBKeY-IDsb>~NG&uCK1!L_{Gk3tCWbPN#7BQjlsL~?l z{auDEqOZ*H$5>4mJgcTAPV+=(lSPw8O_U^40MBH^kRfN_xKGGw1NnzJnxmdnzSvQ0 z3gj2&uFSY>d!er~Ru|14k^7VbOEprnN<|GvT0!Not8_iujVd{LRPKvBRA$LGS7uF( zFj?}&6d3c)dbCJq`CEf2|7@d}Ra2u8#IYt>3NaQ*jm(HsU&vC4HELSAgOzZqdORY0 z#e=-)jx>BqE+gWO7igh^Y`@9HF;rgaaa@!IDi6tJMyTkMQzN@!`F(OdsT6Qob3pRN zEm`qlEm^UNlCkWOZKMre_|RM>J$_yrb9Z(uvg+b}Ps(LP_#a!k?{YWKf>k$!zo0Lg z-Z)M}W`qZ(e^x0gK5?~KF)KNe^22eT>@Ox~A*b;(TIa`@07~yG&5sZC`Egc0tc+~G z@ab?2jmp>g95+h=ArIeiVxp12Q20YP;1Bzb!9qg2DH`>G+XEGMIc0*f#C%wctFH>zcIWI3wzh_3i2HA041n`|R%*FCso zv?ew$YZ0``Ppj-e_SU)Yx>I?b+Wj7Ixxe47XkNllI20SA_N!}ZBinsTY9Cfp`>4_k46R^6`5UKH6q?L;ff7a3hoT@D zxdOI<3Yg!l7;;4zK$~@9rL}6HB^+Zx(w@ch>Z8}|38$^_cwqRe$5b- zd5uVK0#$SB?+k~h&-1zsoB(xipWtMJfh={BPi$sddxttyDQ{O=3dCgZat=j@gwk;i z^<4jeE|G9Iy+2yy$TH0X9A0~geGAn)~EXfrr6NhbvHk6*HeyUAq=vD z(nFO+(9~!|erC{&LJ!*!C0tf)7Ki_8xhoWSi%m9rtHDS}lX2~7xk=?rq_c1v@2P-A zv&Rja?_{B}w43YkV;miBhx_f7q8@Mb>FnJ3_c=akE&_pzKYrDZZ85sx4m*@agkPE@ ze{3BQWQLKGq0hrhk7;4~ztkSn+QA>wDnbYEq8yhf&4PZAi)EwQonxs_6pug(ES&#L zx1Cw!kjgXrCHHnA@I8Mpapn-hbcD}8x#-#O;n|g2xKl6mxq#rb#t}}ZdpOOkH)$S1 z$9h_=CTKc5X4NsNM=8%&i^;P*CbMW`7CFVp)zIbeT0EX>|E@P8=_>*%&a;$wyksdJ zzh8>SKYw|$zF5o$@$fLhp?NAXcRY?*5(R4qOpvV#e*703G{h7ZwLN9l9pGw{%kZet zNG9B&N`YSX41At~`EHP*s#TT><`9*Ukf^7g*=de?7iEYwNm2q2Q5wl%b!(DiREdz; zp0NhA_cXQv`|6T5q*05qxfAJv4iEiF#=^{!viZb1b{8nEpPkniFOq8iEVuFn*&@&R zD}U(EBxW52Nd=0&ZBd1u4us&{e4H4m3yNRzA*(pz&{Nwx-11pjVaxUmXSj@n)WlA}2rW_;!z* zX5*u<-j-oOQ3}snhM#r}8iSQISC=)f0WZ-;+i?y_*15v#0 zH?xdpd_nU9C4gkztk2+ikImf-AY9H}o=^m_CS?U2_j@khKnK zxtM^;n>8%LODw+caZS}`-S&u}iZ+Q@m zG{z$puT@3B4tqYyBV=i_PFzplSFi<%nWwVha*BZgSJ&{&Y^#58o(3`E5)c}1}xs`(d@*e!fECHAYyHT4%1B1TalfuaBximJ< zPp=Nb?z^4@s_BHNyHM%NN%)ZBdL)O{t=&2|+#tudmoXk6=b9#cob2!f7hz@JTwwAK zQh#uDX1>7Z)BZ0OTQ+=&Hf1j*(Cp!nKSbHP(fx!|0oTyVnnm_CTjnWeJ6&Js%{gA(Lh^qnrS%mwHZUoa&DEw7)xTv&CTf!$mF~5RFT%H`kIb;yr5Fk$i#L`yoW~Z)(p4BASdj zib34;C8d$}^iuTx!Jo$)kuCC!E9Z7-8>8k*(DNkq%|8G8<)^bN7Tt}_p;-PVZQ;+k zjlnQi$9ZzjBK1sg7U{pJe4T%ycUO~RYZyC>mVk&Nq$CO)}V*)8H)eG5efTJ!6jAs8pM{WIuKMxeIr_Rdom2w&@UU4l#XQ zSD>oIfb3H$(lLq*L#Z||%1t-O+xNKO#3~D2fzSN(p-rrqTy^{Td!q}z<^mzGFm1vm z{>-W{T~+6qW>%Aa6RvYj_$9i{Cxhs70U1j%v+i_Wh&w76jUYZ=mkRALGV4a#BTEM(HNOf`72#*>|#?>`O zBqyg83R_!&~O2^$@&)cOB*&6-vc1h9z6u{oBe z!Tq?H{fyzt`f8;P#DPFRh z$XHbh5um2$rK*$-g!wx_L`gP4MzLDF!AwIva4FIy0_Ox% z2%Gq|o#uH^it<9!If8*Zst_J-H@SG%+v$2xAL@3fx^*%7ac@NUf>UFE9b)7V!8!M+ zgk8i54#-UTB_Dj2@~k6~DKCb&HZikM2zh|>>r}Mk?%F;RTiC7;6K6_s|GcZ3j0&)M zfLmZ`_maVbpCpK-Vqf1gXMY_YfcQX{W>g8quX-hv)l=ov&T^V;BPgj+^KeTiDe{$; zsSAzyQQpVpuI6xzgyU1v@wM(c)lrPbF(>xdAp_Mfx)=-lnnFrqiu-3Bn3=D&h*N$d z6qP;atNKf#`?`uvc7+QaQN!R#2PygTM5juJb*S3$)RpG1Y#tC0G{*b_F7!;}6$JQ+ zP&PfG`FC9NxkcR5MVyHM;>iVU$5KE%=U$vxJc|Ihc7sXVunF^exiDXSrC+M)Y-}&Vw{)4>+Ex~H<(#zpaoX8E%PF0 zeFFivRXX-)^kx^6hOr(~T~PS?-e_)@8IBA>^SVxWuAv6kLOKy+-_8YHi|TyN8_moW zs{CTE^2?p={1CuJj>FXm%wWm}hD|D3yY5S_Vl^NHB9#B^1OMD+h8w@wA`oWNGpSAa zDh65v{?>hkx*@QEgPjkhb?DizHq`X7x#3K)JoI_#ao8eXyA;AA7MDeJG_`uLJI2zk znLs+0BHsT$Zi%H{l*nVTTFeZm69nwXJ`#o^Ab60gzwo%N%cEF=c;DVu4T)%JsuMT- zVkX1=y&Ugq)HBAY^POAoB7ELe%7S~ad-h7+RbuMNFO^%Q3Z-12DbIL^kSa9R7Qv$f zKgv@b?dtWQxOmxH-|iB&#U8rM{qT?|CLV?e0>qoJYF1et=9)+665- z`4uuOVs=|=zatAHJvdOZ4UHI|AJ!e>2+TY#YYY)_$}LW;DRE$4elY=)uIF6R^fcs0 zd9a&*Zigpc}x*Cz6={0lMt@rB34rtNXH!PiO~GK8dgxJe7858nS*(B;Lr@e zIKtH>2n4FhOdamwV9b0OfV&R4L-bxwuz8gvQFs$5l4d+e#T;l0_}Nl`RGqEVNOl zeagGL#+)B2Gu2!V^fza*;Yjp6=h95Tq**7X0xl^gdhnzCTX&*jz62b80^)0niYI_( z3aFv$-r1!u^MVu$5k?G&?C zRI)zKsW0t1GoD+(9jVyZ*H;3PQh}6jz3p~OUl2nwg$rQD(%sZyK+<&{VaJ_e(^EEC z019}0amIt5{OmfYr<{8xhYtMW8SsPsPZXB2Mj<~zt>I?OdodSiaXEE<<&?j-)^UTs zw*AhYN18r*5u0p?td4P6?NefIoJ;3*tTvZ+i!3dg8AfncF-Vn{_gquVgGM6|+0|Ez zni^+sO5&9ta<-Q<%3I5kLg41`!8qWB!G^e5pWaR{KglsJ#xa{>jxYzh0C-Xky>(J+ zM4_`f1lJ&?N}ZK`orKiOPtGs3R32R#K_A$vD;%(zT^sc5SHYnX9c;z2XMY3^Cza%j z)NmpweWw;oG{nGyJR3+fG2xx346&p?G4!K4d8Ku zb&ZrCuaRgp?6kcclf$PL13PUw*OLWRsy(I72`J79h`@v#rAk|epH`~T*|`k&u1x2U z6@`?_7{wv-)G6TAPW@EAv*zH3c%Y3;LhKmF9?3?PellPA$sE~g&uYZEs%hTw>}z(Z z#XH&4AWic`rD9Jbx8XgvFKLSisS=-#6G6xv20ZSW#upzx8(HR>rJ(v!4g>>eRqom3 zWZ9w9%+{Uivn=*y+hA7$`Bo*BWb`6=HA<5IS&4knZJH_>K&8^M$}n#t4m1|T`9CWa zqw7UWzLV`~oZ5URX$4T{NBM6h{s)yTVN=B(Dl31)161$(j=R%BG%G zFkfBro}C@(Dixu-bxcwf?`b6^zgn{0U)%!KSWY&;uy4-U&@h|Mq-|O@wZ5Edv&?^B zhezS2BZvh;H_L{*%+g+MF)^EtDYLPS_3Y#3y|oD)WX+JaIk`v4CwDJZif7X8tupXa zBZOSXn;}D$MGDrlx6WhfV+tek-XruZlCR1DB{dqcK?Vu9&}*g`6gerGlH4RWn_wmW zW2IEFxA$E7Qw0oJL%#!%csqwP-^0$!+*`Zk39PW748F0jC1q518HakNxjmiDWu|ED zRvW)G_s|VAq!et^NWGGa(&WxtA_}6kHOg>%0CgCW1-`)LcxV)bTGIpxLIi|}d^Z<0 z){e`wo)WHtVhXOE%vVaLCght1mn_I54k#qqD1&@fPE+Mbcq&i!G~N8Dm<*uuiteBz z>g2a?`i-`vY$iB>_ErQ_#==h(pi#4iNj8udg(iS870{rOHW5g2sLB|NkaYy82#zEh zWGc!Lvq@5zsR63XBz0ekNsoFiR%xpAP$-g3!b%T*l)4Z|QaQu@v`R(zC#k41i9P03 z14d~<8<#;)s|)3~auX>0V4tq*)ld|Ik^E%7@+i-9HvpqRT)(rH>bY6|?>xQZt~kcR z#HB4Bl~V2SrPTS_x$Ibj-8HMW(SBy@g~_4yTPGD#>MJu%kE+Db=us6^k`GN&#rb_; z$VP*y)4rYom6)|Uk61J8C}UK^`He0@wV@(+#LmR(^o~na)8;`|K7w42tjVYuU{S`a zbX||ENd>e%d{t{8J8q9N<{eSTJbDcv8Eh8&Yftq5P`}#Qz((QG*P(rwJ+KLtQaQBK_5DI{CAT4& zU1NcvK(VktcZayl23jXPLlX-%9J&$kRR05zot7wAV4OlE7UoPx;W59LR4xswLBbSn0I+=$AMYJ40-K$KWln?Zz`STzwV73rg?5E1n-J>%e~P7Q=r zIV?kC5YVJ0i-zo_h8vzZVz7`>z_E{A?Q#Czig4X9RC6Pb!z;uJF$qa2p{Zkg$Sl?- zdtLAs6ZfzeXR-!erluh?`SSP_ZfOMMY>&9;1a{7gdGIQx!)yNMODh@X;7%am-@=x2 zzxE5Bs^4RT3;Bw}(q2M>tF8pVgkxd0i%*GG0tcf$KQSAYK=X5tkqyuI!#90m;Se1A zVUEA^n4o^0H`#Ibfj>?Bf9bbHfJR<~6q0TSx7SD-USfEfPvPhwQ7MB@;+M*lO@64x zBUa>HRU&81O8bE&a;V`-9V|vjNU78};cdQ>lvENgqYUZEG!Eth8iW`McTrDI8=5ms zj^Iy2VT6*NPgz>hA%xs4D~BK!E7kB@^F>X zQs35IYTnO5DyCoayuYDLwIH%pV*9RsxiI(FQy8ss*=r}inY_|T1lMq}7t5Bz^37Yi zUiMc83KK*wWls3W|qe zwp{w*=F&KJ4Yb#GcQ^aWW+*1dXz38<66mddGi#-jY}PRONo$o7fvUp`VNbAnd%V=OL>2hW806c+?uk*w`Qj2S{ zdwcB9{0fhJ391r}b3>5=fS6@p&$Zb`)_F>)IjakuSd8MpLqlDRO75As0V_Jp+!TlmlOj=aTEk+TX~X+1sK>} z!UREB*TW1CrAe?PFcTH=h7ai2km>Dk^;0gGi0QEO zmC>FyOU;H595Ndm_q17=?IAv37>cfPE#IFU5FpZnZWS{E@apG=cz2T{~fkB6W3} z(tN4TR+<4h^VQkflpaDGkMGn4<{RSa8G8o-R+{BfD8yOL_BRd%xB2wWvLA070<0wA zq~MRIT86-CwNJ(Uh6)hpfKn7JHSwfim-EF=w^ExAU?$;c3pU>>8gI3MWy7*u%E`X{ znni6@s+`Vqbkii;$U5_+L7;T41SL`2Ud!<59+U(_FwJ<$w4TclZS>Km%M&3wvI%qA zUpSJZN+UGAfd!=)&R{GCD0?@gx1zhIZE=I`eV=c0Oz|z@FRWDxa~%&$*@Br|4y3?X zIqI`Nn|S(C#~cwKN~hz4OLsO+@~LCY{1F*AfG68`FV^)|bPK)wjUrCTes8EN+9auk2n-R>TCIPG046SF9;( z1x7f^En#k$g!#bA??C_sf>2^un6vLf^|re)H$sMSBVi)@1z}ctV>C9)0Eu;oEPWyk zkVV5s>@G6))zkSXPe5o3hvt!nWxEpn=$X^P3*Z|34GaRT-{7$jfXfGLlpjI;?% zIL=Oglu>@^Sgyp7EUgNH0k#2eAUV^Yu7*6}6!r9EcR z_sds0g&{{s0G09ymG5vCRHwci>zvxe zCGFH!=ha6%Ij=Udu}~7yi~7UA;#T% zO&WyQA9w9~J004Ugbh&s-p#c~H|d0_N}Z?+9c_*i$}c#CGk+-rLu#V5cLUd&RI99? z{=W)BgEu8!$G&nb9x8XvaIs+w!Nz{PQ7>ipwf*fu$)SwlZ{npCe!)Ig)VX1)HYjhv^j1s< z&LQ!QJ`NU?)rNv|Ox>{F?m&d}v>~g@pvTYA3v*2N&wIfMlFh>qos_1~>>qZT5V)M} zZ#3-UH`N9$2pSDB@9;+mXMR`@Ep>+of{)skv}AlkLuvUht9VcnY_V@{JLtbB-n-OA zW8;@)h#)3Y2pLQw*5rG_Z2*78jZ{So$g-9AzB#&+oyt5=P(w z6R@zYw_>$#Kf?@s<#rl@R-zqtl6GRW@<-gHp(cAoC<((GerJ-F*q7iTR2V{@llv&1HSUh_Pr{9Q zQhAtv-x7Be*;Eacn4?75J%Y1d7=Zu-Ec4{Z9trVniTz@`su zYd+AO(`KnmoHbFUWD?DFkIw1JK*wrBnW~H1sg2HQf<8Pi8B*(wsvGu+kK;Dtl`CTz zhHYBtsDG;M5I50JwT!6Y1NxKq#`sC_|{Ad@qqj>#Yd42wM_WP&{6FhRE&DoLqS$RE|ivJZ5!8QfQH*+6nQ7oj4Y!aE(tRQT)fK zQjIpt#RlTAs0qk&99e{tfWYC|sK@D4YM&&}KAYN6a{O?Apgw8UPxxx{TyGXbQNznk z{2`tZ7Z#R&>fZuD?ATX=bNQ-HZ*{>F)gV;j)AGC#Y44Hl8CPyJUxPg_lx_}V8|kVt z=!~8x`EpxQ@)(p2zlX1dW84c#*^rV!QGqvbr{=m zx4%nTG=yHgA5kzfPCc`jW$|xVWZf7AuJg-Dl1Cv4nkoa_LyAj%x*iE&fWq;H3LO9H z=3Q?^cM;oC63%ii~_zpI;fLo&1G1qgv}QO1$Yu{~PZTd|s_j1hnw z4WrbC{g3tGVXF+H|DV100GH+{&bG&VB4fZ{5J7-4LPTMZNroYbY?Cv>M4KpyoJ}?u zWAX4Zu9n+1S``rc6iH6#%$sZnUN^|M2UJe%kcd98yE7 zv{EAie8(9pq(AOre$Lg;O1dda+AQzC_v&3woo(xsD3kKIq?6Jo{=MV`ak#M%VJM1G z#rvSUXV>*s%=hYfqJc-MP{jT@zBMt-c({>JyRRbzZ5(FW58*~Yf8^&2P04tqum1R} ziwZy+wBEz7>NeLM{@Vj#@(c>Y*uW1PryRKOT{C2Y0zsmd)Gk7DW$5;tM7y?|d}G0A z^Rsd|78H~croOOkzjM|wDgcyl38*i-vo2x#IZ95g$HVKCG&m6`7!@0-AW|8hWB;da ze6h<>@w;A;eX+4j|A%*7Z^wh?EhjnnE^j$0a#nGFu>|8lhtbqa;<&^(w!8X8O7&x} z2q7^^fS0`;-uzq76x^U)>h#?W_50b?Qo7`3TlYS85?4k zo|6r1E<~yV8X^ zRBq)inEeUV8aEuYN#z7q%4!{ZjraPE#{fXoE2TUxU%V54sw)5h`@SXzmx?6q2Gu`1s*Pm}l$1iX_YS+UXPe0yn7>MmzH`o$8;%W(8l_wb zfGccDG1kb!RC(o1`<<|l6|cJBx^DSK1Fr<&nGRsq{y64uy+m(gRcV?zqGu$W$+)#3b-r%>fI9MGRR>3^Q<6xsK7zv%I zr&KKHnBL^KtzGaxe9kb--9Tt1vUM$ZdwKTQSd_P`t^<1qV+*=pqXRvw0MP`9LrV|JHw1x%NB%g+7kSclhr~XGIV9 zSb+sMaP(`12Z#xexJ!TDkxDd&L7fzx)h$c* zu21>{Eg(|Yi^~8Ri3Yv5BE)B0f&EYkl~)+M0gnW|%(JKxrtIOTo(2QpjDBamTmcU) z_)xyq$7A>(0FNua-@)(b&&gTH1Qg`NvClDWac{n7*Y$R)+i}o<09Xu8fGOkS7MZ;r z3a`RhbxG-aV1$P1KQDLRqCgEpFfv8Gk!zW?b1@3xmz-}*Z~cp35Mm9MGr8w`EqW!9=n)o` z%dGQhr)?wiOgS4W3A;W0>7KR6X3QkZ5m68DP)(XiMebr!e)@YOD@s&)z4HNdkwv*A zOssD7`Utx~gH3Vg=NQO7>yfk(uc17?OcekWv!8tck?we=VK4t5RSe zOnRoU`JR>7Bn+jYDOEVMK>tn7-1R1Bo>?+e4eUe#8#Ggb^rar#eBZ)_eeVvxV%Jk9 zPfoH?s*#9~_WERFLKYkZ*hHiJ(hn-%&gTsxK}N=9Fi?_ZSM@S1Hd&(}1X#B9R)nc! ztR>zLm5JuK!KCp(aGT>5*nB_`$856eP$}xMy?rg)7fYwHeQ$4M8iRwIT!CgZvK`~O zqUd}Y#49!mD~@mR8BS)q#}5{;NMmt@)%{QzI-h#sh4C9nrm`0s@#90zv{1Iz1)c~* zxE^pVk_a!@e*u3mbS>=&qcgl>?}aIoerEyk_8j{1zveLMI8O%q+5W1xcJe!a8oG=Y zIaEHtsoK{$m3F+h(;=6x8W0*O#~k84A=|r=f)=aLYhMxrG!A~gbOlLkK9rZQRcAkR z$>;D`qHyhF(uHd$%kXA50KzEc>V}KtI=SnU&R=yxqbDHbSf>dkvO0K$*Nxu9UAC=n z&1bxkS*xb6ey5({Y|G`C4!4>w7t@b;q5O`=UNgyix_5vpk|_hx%<eULm0)vG>>zaQ<0X1!LgQLJZ}hy#(Va+#D4 zaH2uHcohzgyYPtt$~0RQ5Y1?5$0-jpLP20Xo+->^ix@=sCx)pG#j9GFbf_~hHU*5-~~ z_G8K(!jddjIg6Isj_~&jC$XT;-k2NIWs?GcktWsK_In*JmspL%5i&=mj)7XhHvaBk z4bCXn`~g64OL=C$YTWqkns|*;jKG!y>20^$k>BLPOuPY(?*NmV_OX3s$(=02U2VFn z7?fKnIVQKN{Hm`eY+DB)-uMXEYRp~4<89jlUrfYqb*6fN?rYmlx-`}u+{4 z<6{`WCih%U;=CezIV zi*`vbc1HRd+g?6j+18su^eK2)iO*SPyK8|$J#~d*h?g?Hs}pbRd0_yoDpjP! z0Cps7xi_at&}yj0ECH177w~Mct#jN}24i3+d4JmYH5CIi3@LYt5x^LOVYz7Auc>e! zA2A{q0!{$q^1=@EKs&#heNHXk!#q`nP|CR6pn!qT^DwyMso1bn3&ESj5$3WCE{Z^v za;Uz`sroMGuGZtc#)>!?)NWM{$8}8QD}11<9!|BYbVeVHio+=y`B2{6!w{saG>0*l zih4q0+p`7pTBaA`QT*t;xYLk$K*EYut9IghxOB%5{K6(fC|C0{Mjd}@oZ|{8?2CEI zkB-FH)>}Bu9^Rsn}Td0$H%u9b2^X0Tmkm%6~4oBXcQTHi`-W zvGF~o>5BdgNp(6>pS_;HVFH2THt@+R<-I&2io^p$KP87VNl_W3q3#HmmaSxssoYZ* zE8Wi}Rapx@j6ot+>VeXM#dfYc)YT_Xj$)%#_V=n_(4;PD9j*CL9$Cx0RqoYj3*(G8 zAMoG7A#uw|T4;KcJSkH*b_Ij$==*3-ZKUAa{km|+^z5hadaDx}4{SRo#|kZETheen zM3X%E;>lv~=>ANKePNAQ!dP}v2KHd^E;-RwY1cp3h)#m~o19oEe2$CFg359XD5aI8 z-lt7Qe3T>3f_h3$G@!DGvG;)`GCq|POG}9*k;m@K?FYAVak}6CTLgd8k9dd&wjVm) zssxD}^jDIP@-7F09K;ZPkc=i8vt7-nwbgc#0{KV)YD zxF|01v3f2H&V&`h5OlJmY8E7(Vr0jxBTT43hVXs5uD2rm@%gG5wcnRhIL%EE{)u%Q z0kT!3dppvq;EWqTv8ACYUWS0YR;4j+_-9i!>Y1))jVJjuwW`anvWvU!;I9H;@21zIAdH+T_<0{ejKuFr_iDL18}Yt(f=mUXY;#ze3-B8-p$5?(bXTETYJv)4^Fi)(*wjgtp%Se=;1bsU z!3A)j6rt?j@q%4%MObZ?*4i=%(RJ#PPGy!1G;#+Dt#|de>~l=og01$wyVR8zkdh~j z(w<7qlM-;@52VJM-v0?KL7`m2x%Y945ZLIg6||FI*+BZui!IDtYgq_0aS#5MdpIUD zgNeB0fD0|OB{_gJv7W48=ex%{Nq-uNmzs6U5T}r`O3v&f9ptc)2}M}8TSDB+Jp&9_ zh4z2&(P?nfq%YrI*_3plA3R!LA4n#j7%RQi2^CkVU-kf9Z6&QkECx{8^ANoiffh+y zc8%#jz|xzflls+7uYIMAZonxdx8)x#Af5>1SI0npqvtQait!nJ(bZw z<&*q1EF6uop4Dg{EAozU=I7wAHRs`)PC zK3ng9mRH@#+H_a6c9fIu-k}v6KjMk0S~Cl%k~eNLg+XiA>9xI841RfP z@RBRe zn_%tqQ^RPIU8b=6ix#^c;C2!5TQU#B%9*ENCm1JVe@<)nKL1%4gIRUR;Q6z;UCko~ zEIqcCUKqU3)DA~?ILB0&*0xik9F1;Zp37NmCIpzuLe(x{5@K4zPlT#cm(}0(ac6R^ zRV$p2#>+UfRoeNb)2F6%f1>3LEt(+ajZ-y!XX=o8)hP+oOzU3YKCE^;e!OC9hegx( z-L`5?G@4`=5pq!{q$)b_*=wfy?1$U#vlq7XJ8ZjbtG{6IPb~(C z;*66$zr*$ydt@|F^r>tNRI3WgB+htM)NF8fIgR36x^&pa`e% zp4!R~Um_S{p@LFd{5`Ix^OVnW?kBBvp}E!_wF zjRG5c>JtLUKOlL&|LkC`Cqp2IO+PbOJ2fLrv5pImaxbifk|;*7o?n@Dgi;p)t)8}7 zYjp)DK>-4Vcyu_A^Z6xP?tQ@v8nszuhZUu4unHcfDpy^@th)B^JldP)oiW^BI@D2e zG=B#2lS@AjpaLIC!E2hW(-4TTHwoU8YT=3c$?QGG=_kzM{KkGDK&;7*CL&@#q2Y-& z*9bBFh9bma5*~eyk4Pw1`e}=#bDGpuHd?=j2++6#w72?wAf zBa9P5J1e)!QG}9^Pg-Wed>d%D@H#6}6tKle*K!=fa9rG@CWjTC*Hj3Bdb4fQbO=Oz z;@CX5eUJP1y8A&mN#Yb7RUw>2%_IC*LB*>MG53m`Bqm+|+#>0kB}or= zNu!p%GA9Mg{;x|JT|mS-R9!nK4bmRkV%=+UlHln%wbmV$lY(^*sg?5Dl9Xq+SaqG8 zB&>R{OR8o&iwm}!a9y{A>p?9R9$S+1tQJYvD@l4_i=@|;Bt6q5jrNr5=cEuz4`{*j z`kW-9;2E`eZjh4#p8MBI`L~jkr?*&j!<;0nx?hW=HG@7Gsmcv6%xR>J@m2zB3%9C75^)e%K0~~$xoK&u0?>SZK+e=da z-lbN@*)4L?h_idNN_$61+7n$GD+ow0ZDFd(^zxQDiOgpIwiVwybJD=_1eezA=Ue5Z z;^)7aD)so1)W=WZ^42+taC!GB692s<@o`fvzfDdmeBRBaR!8`|a?&dwdQX5q-R4YvwOISAajw6`DqaCzNo$({VOQ%wBV0dDAu5 z6LZ+`%3G&$c&(gNIDD8(t&YK`^(6kA(pgq$O7N$_O*{K?jRJiCXEopy5{}-9X=a>_K(Mh`K%(=_f@O* z^jGpX`2ve1P^B3f?xORZFlP(XOBVF+=T87kdboKN@?&$FxEA?z=~)9}2z)>BcO7Lf zSg2&e!u=R4(uPst=ed(60PB0o0VN#NNvsoJOU?lLb{AchXYmMlP^IlP{zDMX#Tu4c zsCfMxM%-rM-CLcs0T$YUmtr5lIzGbmd~cM*L?u3ymTP=23-JaGb|7FI z&Dg?6iKL^w;XtaL>+Y3P$w_O`Q)U4_J0}{z_b7?B^TC>|=r5Kk0LpqskdUOEVDqh~ z^1yaNL5vPgdW+C9E1p)?_d}(D1jMU13{E0m=#wX{bucY?Qm4f9){%SqGm*jv+?a$yrSIoBvq(4#a z?Hw-JE8~hLvA6nxkySSZ6FEr(+j{o15;;jl^`23zF15RKt#Q)upJPuiiUlRlQOshY z8nd!MQnE1+KHu>4G}~hgOb5)SEhD{HRJot~DLcOb9hFZU8yC=|H_jHNA@OUjw#XnA z+4db%jRP(Ad#f960k1|T-!*O#k)dMN7Z@UG$x6_nnAyW$?3uNg%6#aX+36@1?(Vp% zCL+*+WH$Yu1sY<@gT;1i`SYu_9$&#gw4#Mg+V^(Ye3$l65r(q=0c4e_)Kd;xa`0|` zvKS!X;3F(A@<3^(FbjoPIIx7%RQEurQb|Q|caf6Rhxp0r1s>uwjtLlf_*l20fyG2` zMMr~y`}F??gOjv)d#)uXMQD@z)F`R0*mtf|!g8h3$uEtO1ekv>Ric8jfu{Z0v;j9X zD4MF1vs?n-&9M(ia3~O@s?M_)t#+z3Y&;$%PrW7OYABrX!pp8v1^_{W^8B|uOw|YUq0P<5Ea@JspN7bOUPOjH_ zAR4p}%Aw|@^d?(=axIg-mUA2anoYox!ny?cF{Rty* zQH(a6_DCG9Xcg)zYNeveYW)N?tKPdlv;_5|^gt1teofSzOwp(yL6H6bNzmLh@vwFQ zh0s{Jz7PWzVtwsjGSFE>Y;5W(TD}j$|ND+&wreubs230i5sI*H9_;OKK15}TegKz* zeQ}pkm70LTCx-H=QcAs)OHZmcihHC%wdkY_R%7|I{5HaDlwu^G82{@2A-TU3hR#p= z{|O}RQR>XvqY8u8h}OyVS`S2Hu0$tK9_=QLjuf;89OXUTb;YzpWu}faV0*u>uCOnE z2VdLw?$b5QX44@O5c?H(-e|@1den|q)QUnHv|rMXN;#-jozWF?SyJ8e{NyFgQW1Ds zDmz{bfMxJSnc5U$sdK4g+2Ce6^wARU)-oU{O3=xqi>o9U=-xD?^K_Mj^)a00BqXz- zIkbGe^T*!(ldYjztBwScdQM0|h(1qkZ$6LzbaFKN;daHWT+r0$aF3k

mOR@{b4!Di}SyBZBwr-HEc6z?{_`qiIk!C zhaB}lo^ZyC6K3PcY?C*9Usjl4PajNKGVN`_6IZ^^kKq?4^iE@aCrL{!;zGJ}hnAM5 zb-{G0qM{M>a;VJox`WCJ-2)vTDIhqagE6C-P9}zyCA(q{GL0p;kJV z7FECXTbxE3I-W71ObY9P88Fj3{O;#{bXj#zo5Xtk!F*$6n`bbE^N4KS#@!7T0Lqyt zlbU&j2BzVey{mJF#t$A!Hn2Uie&FKMuH1#(T#$M>D8DW_qfCBUC3fLWaaJ;>0oIZz z28=3kv54D$QI7uzb)E-Stpq}%7Hokb{F|iuwm>VTKhHAMq-Aj64zRW#h1^VsF;eLS z%#ldJwN83Q=mYPZU*=>LkO(S@y4hXT1@NhA7vC_|(g)Ac2rprN0JdK2$OI}Xt~up#bH?kBUJ(6AhsvLrZxsxviu!!%KE)(*DWd<4DQVnvgUX&-499jP) zk<_O5VYL~6U6C|ug|#$&eQJ{O&}A*`XQHjdTdbAOto_t2H3JKjuP6c|^uLazx`+7i zIzMTg50dCt86Z;W+|yPdfIS&}m(Nh6&Ia?tJm8Mb7Lvmdtge&`Hju>=oEH`g0Z(?4 zCV~5t7Cu>wj4|@y*aojnsOJRrR`GLlaz6m*&-X^T7$X5dbVZ^uQSel5k`{9v5Y-AH zZmF?YU`{Ubc!Z{CZoeQ5}yPpK1}GWoH12{Xe)vX-VN*&AR^+ zKm#!{UqM$~`|dxF+5(-0KdqDkv6uS1LF!gG9}u*4L3wrvKzE+05}D1&6H7YPO(2>8 z1cCr%MSd~$VABL)P$gYqHHa=@fhP}5dD>;^`t z2Q~O^)0Xi%g3CY&w^dJ+2Mb`0-VPVof;-!EfBoWH!Mr+uZpB4X#CXr`IuNV{>nFPp zJpsbkya1Qoypn5{;QA$Xu>hrnK}V;3B5o(8zY#-O3@qX(=QGM{5Cb1gwz8fYH~7TR zX|>cCnXdR(j_E81-9b2{(jAriw?HKYs(hqq{dnLOUgnfH1&7U93$U$O0Pa3pYrAQe z_0?IZwL+7j=F8A%Z<{_!48+jJoAQ=oq)u0O0&fKb10!*%$^MXGm#Wqz3YmjCHCxIP z`7A#1MJcY2H>oaCKM#ow{!=>7a)SBu(pi4QOvfWVMlvwVpblp~m_|R7ASodE1n%^8 zqQFJyP<-1Gh?B`SPj9`H#4<%XRF5D^tVf3pGCWdc`Qc1V`)P2!K`W+t-0^Jg z_+zm6_d93njpGGfj+G@d!!iMeTdyD#EicCOTnmDyBxry;9HyTuFy9F!gmhK~`&QdM zh3UOD+x|?G`U$Fel>e0>m>=-?45s3*XBHXvMwJdMsQDpO{+`9TQE&2xNVdOsy9dSJ zwJHd{j>T_g&~nl-J@A=T*4<&H0AEuj6E!>9yx5DfXd*LiNr(VE6!+IR0u~5(NDjHz z77JxOxcdhuTxzdA7vzm-{d*k}RN*DKNq`s_BXudu2RJ~9{4PsPfRKrkP@5m_;UwCE%s2P)d5rrDlo<&e7YKi=}Om{Iq?xag~BheP7rDX#uS~mjn!F$!p zVnlF)nN=8B1jr%O+X(;|EE+XL0ll1^QfBej+w!v#y*QjYR928Y;Q!ecyP3ADuy%?2 zq|gt8(t8)VDd7nbqqM-4%JV;m!Vu4Q+W`0BFM_>}YpyOmTr7>b5^39pC7yjrO`hra z*TI}J9e|m#w)9KpCS=`6S(f8pq#x!w|D!DKB;vp40h@`S9<-H5E3O8x7xVZs>cgix z&YD7QWKiqD8e9nOMB?_GHbY8(gIn@mSaMW_m&axf?-x-wctqT}yLY zT(5qAhLKX~j9Tep4$uHw1B4mq7A2Bz!hiwso^lQ&D?kiqoH33dZ}rIbQM6caCMfLgyw=$Q&)>2Z2A(r!28p0+i)=^STVX%! z=*{jhu@2=h0=kYRXw;yx>{wWur0lx!PPuX%YSuTeIyi*kzJtj1G18I);n>kBW!sKF z!pN-gEgsjIXZ}W9$9*n$SswIPvS5DtpJ0i5OkY7gk6XX`@O1Dt7=cG{vuCJoos?`ChSt6$*5H44Z7kLrhu1d{b!|I z_|`*n77C!lq_$*f=vjuFH%+c7JqqlG>LlZBVYup`@-mbc;#Z})Q#7x()679lt*a2T z<)D5!7VfL&=w`$t{L{ zmZsO~`uexpjt92>XClnu6YfrH3d?moh7STz*^hv=fOv05i4pvLTNDu0q5fas-o$ah zR`m(hC1XnuNVWs92>~*_*<|(O)i!5;wo;7e2syyt0z2A<+$p_B*ScVks3cruOb_tT zq^*w@{4wHranEf2mlJ8HZ(Nojvt zy$7Tju+|lw5LQxXCCD;`3;w^`8bA|Y{VN0dz~ag^!q7KI=YTR)af)xS7BH*s&_^2A z1m@W_>5?Cb&7wm%uLBF<)3wj+{fQGt`F=BOWVm_vQ-7nNBnXTDz9DfhX;d#KjBLZ? z{}Q9s6Tp0{PS9Z3o_99?7m!yYO#nDBf^YxXT>v>Ong7oC3f5n8-q{HVC-6m>9+U>F z5>cOI1MX@q1?rLD?D*;l>}JpipbqZ;_AS%@niBv%SZAmJ+~d!-WxY^NIn5gZI!qLR zRr?9KEC+%sLV6q*GDax0GJ);{B0wN5e&k=Ijn}yWT#bTW;xOX4s%*>j|6!;{+MR~5 z9)5%Zje3y-tGqfpPH6h8z}XTEJ*%<22kft7_#;Wmk=ytgSl@vB!uV%g;eZ*0j>&~; zHP0OLmSDB6>S`c>g|`(U?Xe9;bR+l%oOEl9pYyJTFw>H~f+aB_3a|TheqF28-+hpV^r@>1Nypkci|oZ5?3Q38*3s8xPcaXaD8WTTP5AvWnF<$f*_;-Z?08 zeZWw*mABSK7?q+-zX8ODjN;m&F$P`ORsffw)`8rf+q|7pj{w3=o$neMpLchbLxrc$<@?S=oPWa`EiPh9Pi%?>XpNd? z4H+(a+A4`o051t2)|ZEuFRL&og@=>%1AVswVCsp2jM^v09F{f%UcVX#iF>wJUm>dU z3HPpVP^s2HilXzZm`Kztfa-{-APLvE1w^A*(QA0rqOp0Y)Uk?cp|N_H@gzP$8=ws) z0X6H%xXQ)_ti!B0HCvjH#g!RH76Ui%UTf33Alzx3Q=ex#K&Ump&$ni`iuq}Y6s`GT>rN8QVY4K=0nB9HK&Z)3J>7W$fgmNU~{s7Mqy zmC8&9t8|_!nU><^r(YJ2q{zx83Aq${12rBh*4Q|Jd4ux5979(HO%%IQzbO28?!vx6 zx%VcZ86aqXcX@M&%|}%TPU+ON5K)4E(>Nlog1z4vH>Wm;jI9E6X~yv)@uA!G5mQaQ zE(X}qY$Tmn@7}P_CLFRp2t)#YPU+qC8$9#fhCZc%58+MT_B_-ar zLF4!~d?=?<`b-u=@v(Y1uoCLrm943!m^h5j=aBur^OmFX0itok0XeQDBdmEu!zIHD zV(;z4!4su2`On#$5zlemcODW)&frft`f*#=FtnMBS3Fk8KoE3Z5;K084-9l4Zh2%$ z&l>6^DeU1U$OqPDVY&Qsqi8U%D`>xw#L1M;{)HYG81l%D=XF4M+!n{h?#7MNMon;x zTSRtDZU=KzrqD@0lc}~Ig;#7im`{jRp&L57g!<5<1WPtt{G^BaZo27VJRu8kXR~w!r zMa2J6+u%qPNJYby#PlbXLB1y3&sAdPwe{e^mdf%z!gpxMt!<3-NAvg&IlU{}E28u5r#H~ctnnC|4%?Yd`~crVsL}~Hj^fNI$41yP0dVBS(LvDf zQ8+pdVW@QwAj_p${G0S5XQ`ixnn%bb_8oTq7oSPdvZx_e4!9BNKVHq9_u>CU^|Hsw z3ts>v31ISF8)5~T{U4K7fFO}00Et6gnV4Lj)FE&H868bJECzsujOhz_yh&)UlS>`& zgqYTcBY09h+7bN2iHzxY!)>0EWg?YvY`ay?2eg-Z%yg*@{{h3^FeS;eoNzLJ5X2AD zCD|(v%YOVl2F9paa`x@|VID`@7uPP+K^Hlw<<06^#UEjM{sbK~J}Aj0+KE-Y-d7ia z+%@y{Lp_H^g1JM^HMl8ha@(s`4q4S*W*Gel{zAE<1uerN%Y6FR!<4J`eQ|795zRqf z$sacNw*@blHLr(Y1@q*pA(HKR`UkC^>>#270jChVd{I3v>>X#Q4kGYHYaEv zcJ3+tEuVjn3jtXr%kY%c|6h*J3pi!Ea|TUr`%_n7je)kv`A{Y`Sl&%s+VlngqUzv* zP_<&4tFs?i^2ilEUf?h~_uFEwood@*M)&lD3cEhO+W`dYSb)07Nbst;Nu zKU$Kx-?9rUX(Ky=59f;}QD059ziQx+ZAF)J$ckz_V=cyQ)M&J8z1PRe#(ea8MVYRe z>+VqmDFdd8ac>CrGfYXqX3|_%|4_CK?-rC+=%@pb%4mZ_X{6gdF<}^R;DnHnSp4qG zNqO>n379i5hwS}xI>5L$?;Y-ik)4oUVB+Wv5sw3HpXJ(P6aUl?MRm&lb5IFth;R9^ zA&fMO%T})*k=+?1356O2O~{A${1b-xe&zuw(n@#c5mJ3gSr?iN-FZ%rhvo3As*FjA zmhOeycxnSN=0=8>RAndkbBZfp047FF)l5l6U4vya&BLO@IQxZHDw?B90AGEy*~AbG z=xgbnW@sOZVAkU1wh-Jez^=~mv8d9xzWO)DiSp-$dgPAA zauBwELyYL#K2|l4$Vcqwt(qLxlkvk%x@vI$3=-G&C*rF{eUp}Zg3tvdPFE#ylHAQd z>X*f#9|voieS_lfo4l|xW;GRTUD2(kYx_L&oG2kTZd{T1J#ZGQ=aEjoHoR{U^P;(n#j__Ql`yif5Y6Ll{|a%Rg+=P} zb@hmzbz1)|sh+zVPZX}J7x&}PLjm%@mZVpf5%%~$Wc>wLQ*Zn?j;kOb2q@B>(x5QW z(bCwjIl&dxday`B5K z?{~lMI0a~PZRQ2P)){;nKoz|6MM6!w;G|mcWuVDflE#dy7%wg=^HN>9`tU~zizLt2 zOo`Oi!6)w~&==w@vtT?m9f!X?O(e+OFqr5@?D=omLUJKyp8)lVP$g?fPPU=v3 z>j5*K1@*Urhw4aim3TkG=DT(?Ey*iCd$Ql{+~Ch4y9%gsVdaFBHHuz83)8E@7-t4e z_h+l^7Q2}o%wgQQu5Vh@0;W+)y%XfwkRoh~lN%hzlygPM2qNY;*0U`BaObmxlN8BHl04)^A{v-Mwi1x>OI=NfFgiAd@sS{=| z^3_?2(e(vX7%>q!hg7C8{0hTGs@dlN(Uo~;LvwJJMF?ci$xgsvLN0)JIBRf zZ-BcBhgT#~4)g?3dVh?&=YxG9U(FjwuA7ne=f$ z)ufP-r}+>Qi&}fbWt#0!q3eY6q%k}7^S1rrfpZ;d35N)U;Zk;QFs& zp(env4*+o|U=Rk--#m)Tsze~6YWg>nCKtxFsv#$NS_qsSfJwHZEZ1WM?*=&ya_?WR zhyx3rM5!ILJeeyLzRK)U5Ni2ih1CC|&XWE9^Qu-E+h8$i2C&+?Os(BKWpw~@vJ6$I9m{E!Qw9;)~ggyH?CZmNhPGqe4TsfjwD6O#r{<)fF3;bLR%& zJI{&=-)*d!Kb-}oUIto@lT>?=A(!e<%qv2)7w`9|?p}>#Q%N@C`i|@It)qqK-)gUf zmOnVm(9iP0!4E7vp|K%v&#iW@Xl1i_Jm_$9b5oTA0&37brT~z|XHO__K~Q8c zs?;Y|%TnR+RO|P0#CxSl!73wHkk(;r)0S;EaGOTO0kp1-*Y<9|IzkN-a@_C8_D-@Z0{U$SR9a;Sl*y?mpf>SzlK$k6}>~hn8WD5A0vZ+QmxTAS`d3 zy!FZarO9+tXcEZZC&}|8<7e|(WwP(p+x&$4Gsl^D{@VltH`{$;hk%3p+Kpv#P5Jvj zeu(qCmaUNrcQF}6MGL@Qt#5BZvfnT5e`4z?VuY27E6vSA9F>#sT6bMcdy zSrG{hmjOaib@CSGg|+|EQ|9zP@~d@E2*}o>qlYlm+SZT()fed%@F_hS)E2S z2?A(iO+#jJ#N;`HokAz9?buOv<9 zg#oFqtB{~lCDUoTF3eb6mMSA1X&Y$%<*P)`r2~7YpCbR(^MZ-^>9;oBP_*zQwz(v z5Go%X%*nJY&J-9uVL%Pnx99*d?G;LzJ=E-J@*t9sAU!6SrLwDgjnuOX&lHqN{ucDG zpp>^J8t(qv3JWi@*3@V0Mr$>^270~9Dt`t1bsI*qYO5GsDB`2V@j8b&`{U<#BBhF# z8We$HOrI09^5oC#e;?3*H}II7^Q=y-Ji;7z~3P^;dodu7Wx zDV;**ZfOmE)8h6HU{M5QQk7kjFw<9>7PENHmDbW`ff0R%P_m82SBMaTH3`P6Ff z(4XIW(xp>_{=nYjyX$XeG0yRabIGOiL0O=+;qRX%#sbZ)G#hXtkb%rEVaBbqW$;gk zL7O!tr=l2gOGeJ-vi^r~_PB%sk4u**g+eXzrjge!-Mrm%?fc6R=K$IO7pr)_S>DdO zA5#& z6Tl;U0X?=CHMahm4F^6(u#;*dX-)laY`>~NI}wI{|9Hm~B3Q`a@7(rR!m1j>*csZV z^GZ!|fb=OP@96(tAZM3WUFgE!f-4zVNs0Y1NE|;CwV&BAus&&j-8H_ln4gEjxI39W zZ|Tj?ZMLM~HZ%lu}VC#;lC_G206{C~((P_^%olP+d(5Y-Y4 z|M$9@=SKW_rRBT#n*9JenPCCqU>27lf_l{cD4OO{h}g=B76VT}w{z$Jl%&|Nfvg!7 z&KZ8%UHH)(f{%c~SJbw{_G^lb!$WWc)~{EHRdVP!?{s+m`u)niJF6Z{l6_yi#JeH% zavduRjrVU_EFY>)b06$-zyURw4yeJXziJRxTL1lj=;O+Q_$TUO_j6`d%x)GVV*rX+XK~jZPeXq6X?qr9*A|! zOb2U^W}nODTaXO()LO^%i$3D~Deiyt?bY(c>uloNi$>{Tsk32EB)ch}B;rv>o2=zJV| z=NnGq9C5_`^$FVtTKARKL9@=@@BI(fbkCKnScdy1Vph3eb$er0Fq#{*Kv=&B3xC#thOv)y3J>e0lUG#4%iLIf_DlL`K&2Kp3B`o5RgxPYljlZViVA; zEixP6^!f%)AxKOxWQuNeOt=*M>d)1NDl8mWyZITCeN>ncVe_x zw3C*VJ@#k*&+{uU022``G*o)L!tV8D`|(iJAIFI2L3FB5*S&^U3HH9lOTl0>A4llhlvMF5}(sAn{Nqra(HAC99W98uIO{RJ+uxWdL7drXnIY$A9>(7+~gE!HS%zS zel+$ttFH7kndpBc39=(WB44tB6a<${wsO?a zm?r4Vm#&%ZoO8Af6u3fQ(@=5J*IJ01d0N|B&o=l3p=FE(jl4+T{58Dm828X3&M8nO z)|>t)QwvWzXJ*=S%+L&@1D1p6d%3C}&fa{4}`v6GT&qChqF1?E$??S#`yaS`E0sNe%Rj=V|lRBEoQpTwmf zS*DFlqIXL25*p(Z2AoyhH9qZ)a5K#H4}9(0wcTTLN?#dpOj(I4A2ENGV%u)soTZ9K ziV^W&xkc6wE1hIzjBqFW?U(I*_{WMy0KTpctOcE^(mBiC?9`bQG@2BYiWl@}kbraX zU@I_GrKjO`JIf$C9@DM3T1EEj>4pI!4;F*~B}U=}yd5eCJTcQxSPqkvTTg;`D~9Tu zk>!wd=N5?0d9&R4@t?00i3yg+|Et{#p6z=uB?Ia!Ec()!VGP8tu3YTO=k?j#6r|}h z(PNub199AjFrptrZd)(;J!*A|)f&f?Hc6TlkL)+EjDeag=d8s^PvBa3xvKnsUIxDM zo~F*}&P6my5~yYU_`PHrUi!BioJTi7b3kwT1Nj4ZpQdxr$EHRylgmgCwj8b4fOlru z327*ekGAo791-MA_Nq{o=m>NbiOq}5naD>E1;b&sh*?-8?1LQhw2=r|8YQCqo34yw z#0;H;2h44vkQ2JJORE$eIbtSiBLObmY!-QrQfu=H z-3MnAA({8b7$rJVAc)9ZL-Xk!Z%D1qh~LBE!d2_9+w^o=&s$9T$*PZ|CLW%9mRiL= z!GKsMN(=ljKLM9IWQHS=JNH z;oI4pZjcT2Ns*%XVql9==iw$c>Eb4T_r;7-Qz^d|5Wwc5M{up}`zrqxZJx!|9Ov=` z1Lp7lDsB)TJ7`{CxW_W_Jt6I`cS86dok5kuv`I4BXTAN(xBIrgluOfI=95*EY|@>) zuq2wCK6tri^T-jqqwD*y7DA^#XY9z01?-!|)CkDGuHGkH?;hlLnL=zR8`02-GNlUqEa8 z4G*@>+#PnB!mbRctF1S{z>o4LkD79_9ESutP zXWDayEu_P1+MkY5O?Z>Nu%7`95Jopo8ge+)9b-L?Hs%dvYYU9d&U64Hbj?pn!FD)c}jqZ6n0ZPG-M>stYZ9g z&}-w6@2?mY&`if0>mFHD)H45hbmZdn4juuBk^$kf+53Qp!{R@(_anKs)YMd*T@x!} zM6DSi@K~uY2^RX{3k<|9o{AbRZTQ2<`>D6 zQ@dpj-<*r=ANg4DX8tqG;gH&TzbCncG6us$i$Q_Mx_zZi3nqc<(^g_e18{eSZ>pm^ zm(p*(Da*u`L4l>*ix}x^x1A=bTeEV;cUkPTf6C3)e@YV2PZ85u z3!80PK3R6glX7NmUN*}nuzWnrUJDZP7L1M1nherUd6?-pczW|y*o!_0lWNMOUD{-; z(n}rXnIVoz@>@UBMrC;FDS@~4Rz zpnDd7cb3jOx9PzWe*RS@_K{3YVy+ot77Rl)&9IEyUZs;SPB$CJnhGT4f?PYA71zRA zT-7J5a?P^|q*_iEn8TcPmq9FR8i?nk|69#Be*mxc^=<-`azk;rp!ud0VluxHaNFQo zB)^-E%?2NOS?&bCF*SI^QgO95w#mCO0K9R&!~aTM>{*M@TbqNILvEjn1W)gjCE^TH$F;5V;?5H~$@5#onScD&U{4eK64(2?iahW~ zSY(fnW5Xx1L&{IDgWL)J9MQ4YD6-tCNfKbmc6OlA*rWect7_r!y96uJ8{%88;wj%@ z%;4kw<5SW0g%AfC#Yb%ye#CwZSw8lSJ70fVpS<<# zcR_%fqcyFBLw#3MoKKw9>U62i^~QN=IxaauJ%4+3QM`&WW&0(dd#&0)4fW4UENb0j9kwW0)X5~h|-G8dH7B!0$l4uFfJvOT* z2P#BC|I(}h-=n~}01LAA`y4Yj9s**rW#*NeJ(Ct3vdsbe!pNA+Xu9*I0l!eg4F5^L zR;~Sk+@&ea>SG9CW+ghBX(!7c(o0|rC8n0-d~7DzKLA8wvSo;5s%Y-^aXK>7AJsQ+ z8$;gOl_=^xz3+Z%Y128O9CSv9|FM_0jn=kD+Pl5PmS!%h6q0>QtDnS6+-&8`lHsI) zR(>*GV&8o}r9!fP5AnQ&#BSQPBJ6!F-o)?YK38%V{y4&w$^J`F&a-&J0T)kC31)wvEcFI%YHE@wEF3lGp8nFgONORT(ERn@UNTUkk37+jh9*|EZgPn_Rq829~TCwsE4U`wjsYhlg;6+9n zjC@vlKchRYWWz>fIH$rP@V3icjNLD{p>1F=vrN{=#oXWrAK`SelH+FLpvJ8%ACKL5 z9^Egdb+AG){)&&u-Ew>jJOagq#0 znIa$3(6@JgD@}q{v*mLsCrQBH_loue`yAnQ6jrhv9k+ww@s3QfA68XB+^2gR6q|^F ztxQK_@l(Q$C7I&nm9c@9F|PxkBeI-87ID8z+3+q_gxK#*Yx(R5c>iZkSOi=FT58of z@?*-lYAciHW9BFDMO8F*&HS;|=wl&-LLsN#p*~AWOMunkO~H<1edLti*~Zm4NT7xa zKQN0pg=cG^^umzhE1UoJaD{k^LA3rBCZ6BJYEZmWNt@OCSmXcOO(T)Hzrb9F z?N&WrpNI)`7-FW4hI_q2f<7t}1SHGa&TPm$b7*@e2TqOKIGkpZN{X#E66&~;jcP!i zsj;4uc%6LO-5JajYf>)bJB?bh;Tkst7Ryz&%uYT$nI0}74@nrK4oX>S9eMoh6kao^ zxgy*{M>`vQVTL>!cG-n8$i;*o+HQ7W2fRwHya^7}f55q7(}*>PaS^Nzg-PZeWUHw0JU?j|NnR{#eVRRjt$2uLl!C)H_E{X^Ir05S!^A z?tGEOofS%@%lTf6OcC48xa!%{q&28CxakvaYI6{=&IWKHq3ay3yOsgpUPVV!sH3f0 zvZQAq=~nR>6t3VbbHlzoCGz)UI)CWDK)hU>YO^+Py;2A^T4F_~+&@+fL8mt+^X**F zW>P+HNauTR%+{fH?@!t4`q*HvY|m58Ytg?vm-ogxz1$1UoV656VY&H~`xf3u|&$P4Fl_Yxt!Fx_H{Rr$OR$>V2ik!LV6RYJ}K3Q@vgsxQGL?)I% zSL$yfhhN?`-7Ci~u&XaV38l(8_a)^yr}EyF0I{B`Z!TRgy;(A@uo6sTgrj;JPp1MO zKYcE^Y%0C3!)yG4g;MS2PnJ$k zL}tH(?5)MZ{+KL6L&Js64@d_tb;VDq7?RF8ymu>sM-gr=8N18wo{l5i2-ZT#bI#3x zDq7;FK0pa{Y$*V-6b2-&!>6w$Im3*l6r<=$w zC2Xf7o>yojx}yZRQo(lm16K*?;PU3R&dr4NiFS$p9mtG7fmCJOW=(B~@57zGc7-}a=jJ(d3v^ky1MBJHwXu+8gF>l-yZMH9vY;ytJ~jT0uK4!*&q>gud@l%XBqNtf0u(x5!g@p| z{`j~vf~izlaA=2F<}x9vbQ#ax z0yt5~2dF#4I+@mcqJk4^z>al`DUiL(iagEFDJ&Nn3vJ4LEpIn6QEW-4L|(4M0WGYv zt`2KC-AL))fHu~r#Yru|wqNwf$C2%-&z>TZY;PlAkqD!PuXzG&z!|+hvRtC`5pBvP#CLR_Jn;QXP$<7f`3427XNA&86?w0XqT|JPhYQ3mKH6KvgPIA1|YGC%VKK8e2 zb@cnGyFRgs?NwRN*zed%=V8tJ)?s1B7OQc5C@al5V92v}8E%m(w+vPscut9B>PFH< zGV%|Q@)VC31cz{@UZ+9*?jVZ6#n{=0_qGm{-}EjfSX$@##*23?Un{KGPl?~dK+Wtr z1uc74NJ$~m4mNrEslhelo+q0!B`@xxq%;<>UmD?vF}U(uFrF8)GIW;(qw&o~ky9x!SUqcG)mR2u^o=~`fL>2w<_Eu zxrWF9oh4HN=V|yx?e0@*%*eqKZgA_4&7d%mm*J4~9?jZA$^(MuyJ~E;DS`dWzb(HE z-2PG(0e2vpSox`s(2I66dXD~{r}+VT6TP=~d3uxbK=FBv0-Fr&gXv;vOzUlEm20bfVwlF4o^=Ym)R5674!QbKmpZ#1F0FI+zC$BiA>IjgL&(lgO2nxLzalgs|&!-^JdO z-wSFs`1dTnTD5*cqDF;5NTvb;A5x>IMY_EWg*`tDXCD&@rK!>^LKExN@*F{$iae(i zqN-;mv^^tVq*Yj~c=w7QB&OakgIjs4dRAl@lnoG^zIZt6Y1}{5W_E-e5cMR-$e8l; zI3$VjE=soY_Su zFzoAxQ@$17%$T^GI1yD`@FV_hb0c8TPXNmd!bZr{!)wP?^eM11i(%g%$W;6?2k-IK zZN2M!V$pTFTmbH@mpGh=dHmuN@TObn@+7_W_L+RJ`F_EDDpiBVw%6Df(Hp@A*?zZ1H3ga7A_d3DL> zt>^xh<91f24vycq!YD^ba}}4}5{zT|a~Mw#xz%N45J4WK6B==;oEVQXq0sa{eBACo znW?R9a)%o?B`6})2j><&ayPL=d~=k80aZbRFXRKZ-o&DuPWa}9!IBT02X1agmwbT! zTFKZ`VPv(gyVv?|GHnCXoTef`8Z^m?&C$#Dv&+*wLeF4uEnF}f*K+mXhWpjF>U9ZB z=ly2}AKqR&iV=>d)}x;$F^c3%KldLD zrXt2!|7B*D2W#&s4?Le=CCEv04A`8%GV}bd{tS^L)K=60A0%RR8g}f}Cc#=!VrhRY ziN@YNx{I9zOb?iq-{D2es53jZ(A19g6x)5K@#=MYz07?jf@gU&Yg+S5#v+!53I(I; zJp(te_Tfux!*sG%yaTR0Yd+yi337l+P&#Tf*FpZQWDTszt6Q^H{}HcNF#5P9Lp{+h z`5>kWnKuS}ZU?-i&XSCM|528iXMm=DV9L)r%t9~8 z+CDjCqqvvQ%jmgPwjzOmoy2qLJVk=nQ6>1eJwS&c!&q5H+4ZdjqT&2RUP08nh$=h^ zAJF62D&{DK9Y>qz(%FioV!>}7oJn%VrsZjBm=CBtXHHclaDx7PkdmB^2#d1H>Y*m` zJpFN$OeMkiVO}BsS3`D_x2TpD+ZP-c3M`7k;h}iD22H{#SGU`r-+9}5QQz`4h|E#{ z#K3h(^=WcO1DAlqP{wB*9|}o}!V#m8_$V9&3Q38=-9jPnqHv5ToH^`}4h9W?9rD7U zw_%5OU{EgD;bRz-3U;UqgBrpfR2y4{1R7JyO)jTCVZHs#3N8F|?@24AL&a%EgPSkz z6^9(_;W{vbiEbm+p#Us{0AmZmDD`w;^a(2-+EfmYIMXPr@a};Kmbhi3#{0-}fJM?b!M< z^aQoYzG;E5_8_bf2+IY+x`D8YAnXg+p&SfK4%6R4tR8HuEHpjfY2U%7NB0o-NDacMx5qto}u> zugKQI4;B-Mdd;zf^k*CDTF7Z*m1m@&H%r|cqAU>f!s$c!$`7NTs*b;x6^fr)*QF!A z%$pe;`$CHAw9^~ZcMpy2)ylk_=1M?&PbGocPVI{nbAd^e6I{Ub^4-sPM{Rhmdax=w zGc9>*9BHiEJ2?86wpd1$JN{8M<>U^HxvCkV3Eu62v|wR+@O!0I%NK*Ums@3bdmPs= zpo6;F2tT@}dkxBd+OWfe%y4)ZMGo+eFJ|!LV7K5A5VWo1+Wa1YI_22Jf#}C3Btg7I zf>Ngzhu^!d2wnV9k9auLQ>L#n@7xA> zvDB{$UTSu}BEo67c{zt6=OXc2V~?82V#hwQgWy}qP0BQdx42jGCIh0GEZarJYdB== zDb~S;tgBZJ5%(?fZ~=<3E)_Wv{$HcvGx1SOZ(I`AW%H4+wgRdN^40z9%J&*tZJgp< zz;s2kv&T;22aF9Cxl1x=0o-d%!(Ancbp0N(F^7;{+gI^h%m)c=(n90MdW9WA@2a)1 z_3VgCLyjKa@7^r+cY^o)MTBI$T7*6aPz3LNf_M4_DM8U1EB4ECU4^4MF(CNKROCzm&`!lzboUJW3UAI_`3zP*;CpoB7OBNqW%kHmMcuL}uqGKP3 zT;l?NhaCks)Q)mBJ1{=WOCd8lxpSP%KYsf1(s1<*epbiM45<>bqzrApZCPk3PBob5 z`iinBy6j2gnql~3ubjI0O>C;wB7Z??B#&OtLUS<@DM|6xOw>Q)WV+*M(NtukrXE&D z+Euebvl_X;G*;`CS?B&Gh_9AiK1DkvaOr0zr(f2^lk3eZlOK(ve^OE$>AoFZzXb0s z!Pb|)(`Ou(p-j=Xef99vkwdbEJT{$^<-`U!Np<%S4lOT$ory3(Gwi+sXMRgx58BNW zz+OlIRUzj=ei9kbn+wvyr8`jL1?kg*okN!e>An1&L*@nPK%u;i1}?WnqNoAH*T$0m zg7YA|@FUGz&qZeS+9%(=J(-_hip_eUJ2n3n^XJC%{9e=I!49&NP-cfeK(`s}eIv5V zS9pS1h#$zog7|eSa_GGdVB|}r55g=cT%FF?#8f4}j4h>38V(qFc76*sCZ~8}Ku-?x zj(3NLYzXignu!>fOF#MLy_V`=xGVCrCU*N$y!=#fox|qdqRBU>ABKK6l?RGhpz4zY zD_#17-+0PZSN0yk$tR#YclvLiYvTeI2e>ZFQk#Erk^-F`K;nf`}EW*MQ}BPe`3;mrdP}hIJCTihK*S!USV(b;Nx-e6~3TfH|)k26{zy* zXFuYB-1)4a#|8LqRqeQ@?;^=1b5eos3GbD2Lj;KiR1bP{akj+;Rg%%i5LqqiHFuu1 z!0-#M$P1ICL!AL_d@y0;gmX^)#0PB{`sR$`}6 ziST=pW86>rLNk4IMo-Lw>R z9UcUcvhN>ZT&O12tOy5d>9g9?^yPWm0Hoplm`8>3KaYRbq*l~PuQ*D-BjZoK_Vjk1 zupm8ux1XWc_qbP6Z(dMwh+2MNfd5Kp-pI1Q1BE7G^T^#}KbmdH8)VD^l_;@E(z^14h9KD!~;);FV=9*YjwLd5I>fF+xsfOpw z1HqCTcM+^F`?$<*hGw*_mG|+TP6z`frN8iB< z^BEH@+MFYGj8x}(y|ci@>5YK3lq34#S&-L6V|}03i@H9&?aC*mnRC7U&Q<%3#HJ3@ z166g5)>U-|XBdM#7f>zmKDQ@$5EOl1OE z3FL4ohk|37T^2stZNhTu8a>;3gP(+Z_P?4jxmyoe{uAF7us&YVF0K<`4vqpAZBJ8Q ztbG7I=c2_Q%#kgJE8W{=n*1dg6mlK|-qvW}ETrWfmObvwI@E)6hl?Z6Cy8zi*?m=gMA;B!AP5@BCxX4`3jg08u+8snt!}~Wi^a- zJmJ+=srrf6oVB0^8yl7zFd)_waQ8`gFa&))0k52Zw@kpZ$KbY(=nhBpeGr2FMt6`o zy+`0npS}#TRBcw%lr$~|v}UEvM=s=f8x6}l*Ogz>?H|v!17vGj%#hyE%Jj^*;zl1? zH_m~K%8JF*7i$0pu-v4HY&4=S@7iDeAuG)~;H-WZtEHTwermt&(j1`N{mD=PEtQD! zP)lt&Q`qfKQ~~X2-)ahXQ?Kx7Q`2C_!Ma{!9=42FdhV8r)s~?x9ZTOp@9-vD**x2G zBjFa{{2X9w{%ka*zBWU!O`zNi z0X=^E)OGMus=Zv-UiniEfv+Y94_)ilt{OndoY1_%%a#xs+B9ymosFgQp^`7mbO@#E z-#ac)qBYv*yXlI=kK!felX`f7EMKRujsRj%=ri&_b$ue%)Nbnz$6Ao-Yla_Q-$l>b zpHz+lBO!F|PngsvnGmAsyLNNrh71#~efH?PJ~Mw`3k~bIPE?)Obbs(2u9DgD6on&0 z;rL;P9Iyr&6pji7et^OOpF?sNCX{0?%labr+7aCe!s5fAtSFqozo>#11u^&Ol&)Ro z*`zaD9WMMnUC~LlbNMIYL9zQQq6>bdw5$)=^)0QYVjE(x;a<>O%refyyWVwWd(6_qe|_0zMJV8S z8SYKJ3uDZuFE;1kODP zUm2W@A~#R@!KeupVn0C+PTWN_y$v#l*>!$#%OyCuuofAn7CCya{k5YS?^Ny&4Pk$f zVPu-Y>C;2!sZM@{q%ObVkXgq?pU-YN4TLCw9SpSjd4;6uuAd@jpZjK}V`XDT7GQ#H zG0yW(G$7^o2R^GoNWlRp!oC+V8$vN+7ZPjRXXz7X+v*jbq!O}+O60K0sPd&?k?@XS z-BN#HxW%?cf>;GWQr5K+Zlhgg-*sI4xM$ZI>3y#grvy9AVFnZZZ)>0NA&teyu4kK9N2R`PPmgO!Zb`W6r4@>uj2t|mBda(_n%|^ z#6UePupj$-N~_+dpo&X#TdC(3onD%ga*v!kmEh&QY?HbxI_Kh#_cp%t4h>uw1Nyxr zN%5L)IunRgEGrV?6w8C?eII}oEn0q;1M?==K3z+i_BEK}Rv;oPcUQW9eI-fz#vL}f zi#MVy4m%voD$MwGm!&YKujs)*@cMrH&$wR+&RHZ9$h*s}pL4NvkTu~R6`9Cs7gp|e z#vXH;fsQCR3oanKi$16!8hlx7-EcESST}x2e~%$tzf?o(;7!YJTNNB-Q+$KJNWtcY zL;O;xt?0Yt`rAu??eopx1N++FZN_0OFIX$>NZc)1PwBac)4ovz^xkOdO*z3|bwiNw zRewUKCND-k^up98xd(|2BSldGbST{TJtK6$sLi@093vTq2@2IVLa)TxtpCjrv!lRx zsQDvuBQ(Rwi{VZx6f)8y;e+UE^`YHYvG5DS(@kkrwJ8egs28K;m9H5Zi>R)%SW6Up z*!I$8jv!ZaYK+@`Fbt>5{z1KvG*qn4wdu=sa@l*6gN3t=0R#b9gh3+R>;7ppuI>heHVVNX^sq!9~ ziKsACH>@nm&K{n3#7}26h;50sWVmQ&|Iz65nZmBES4DuD8>3p*<$>_sxi%iHCl-RwUzDZ3%D@xgwOf2u6{N&ZOPk8W^j^vl;Qr_Vh&0`uQ z_mi=$8QmkXWJModa|)X!_mn66=jH^qLV(Nqss(pF0!tSrxD_H;2EG>T#(WxN(-G|D z{j-Z8AB2tMPrd79nF2%Pj zNH&F#G##3=4R)IYOHB=iN>e`*VvKVWlgC%&>Tgot%5Gw{g=ot31+#{8xW1#Ue=kw{Xb>7={;u1%#Ec) zqh_@YzQ-|*e5V{6OZF6S0M2dUJMGzAN^A;H{N0SbdMbYUlRoL32>1fvW*hHaZUB*% z-(1pmk=>0RL*`ArSt2im${=qdSro9+0A|jjf(=o_^OAXkzMLXh>y*hkhma0f&YDm- zWh!L_TF zN_|eo;J7!ZXmZZy0sLFodlw6|q`-UE7HHl*@zYS?N@{ay)Kzvj5NOxz znc=TmB&>;St^GMgh;Nl?Bi?_I++%FsYL^n+B3BENrP?aBL zq96WoGf@x-&3PTKlLY0qHmW^*_zfN!>Vlo;upeH3@YXn%=_u43w zDL6y{8p0|_du-j6fJrwJC?m>pSJx)SGE!m-LD&SnlQ;1CYToswb?^D71=|}BVNi#E znOMUgM{PMM)=CO z7y3ScCMFhZf){HJ7SAO_PG&-u<3ciVT_Xb(rvAoDwJ`I8J4;XVQug)*0&heF*%V(G zVG8bg)%_eUVJKg^W<@6ECvn_H%3k!^RDP6=d6=gsq?w+ z-dLX$$Kd4Se}O@kQm`>_ z1V#%Mfq`vBfFS0&1Z-RYW&w_+V3s1Vap7Os(6|_!mk&112j`W5GfTh>1)~TEIJ0Oy zC|p`D6Qv&Es7QPNk* z!hyL|iQywb4aO&s;mp8v^$y92eZp4`EW`&eeOs*vjd{d}j76_5ugz8g5qlq5dgiQE zCFKERsBg{;sroAioc;Ce$A{c3;_)+BP3z1d%LA_-r3N_R9qi=q?6=L=cRiMaX@`+{ z9-SJV%UV!oLHNOzNwKlMuPMDc_~~1r^ZVVr+ESD7u=+*GgY6A!-cy>cSa5tWa}tno z9fk<3v6zz3b%|Bt5CjU~2V7=X_8Cw~6p{~xd+TU5q&!V#13^Km!_1TF7~{s}?% zK+qJZ00C40?LWr^j!9##TRqql2~Boz2s1oA*v>LM?dTHBoEc3p$-RCSA-Ve9YxB>8 zpVCP7|BtZ$0BWjh<9FdlQADbUf*>6c5CMTuB29XUf`EW@MIaze5)ngFP(Z4ow?L#z zM|!9#AT^2f4gw*OE`(wT3m+N;g9n&ENndj2s*QS*J zj1gv^ZrFq$jsD8{Om+W}hsH|{aL=Vcbfcr;3j0`NBS+ZW%DX2U9FA~%*rSksd|(bi z^0JbihYp9xd_ymWrQhO!3?>~3bOYOG1^^gAB=PVA@lY5`k;Z~qu#}5fAQVOs!-4^C zj1vnM!BW6jux=r2k{#(qPJnvCj#&C@7CwqNTWzsyqgJRm$CMHSH+X<+{*kl5y;L8TqNWL6M4E=sW7X#1!f%(tBoR;)}zR!{u`bd-k+$4*ieQ7Hov}D==+! zKd`3LzU!}=LM+{%=%w|G9W(C}#s?-DE@(G1r=+nyDc1UB6G;pRA=&^I^?RaC46!+e zIQ`+@WR*c|eoxerDDLCSSMzKwaa{@ASn~=JfkVph>9T|qKK%3 zf2@(w>Ffum=R$$C4VRqu@PeJ|6XwqmVF;CfxeUXY&Om0y70>0S;g{RhR>3jD$i0yP+O&)nV9^CJA}_ zXNj|PIGyNQUM`S{+MBHDs+2zWmi~uI=~rqd5=PO*TjL$d`$u9!Q|2 z9J9I?d5oqvUuE%2W@OZzKOOTx!O)FlZ3Ed`8RFj%as0m7NPq{1(z{kw3Vr1}m}L9V zl;*;awo#bJlQ!!8${IB1KG`(CmWdEHYfB*TzibT!g)S+VNWcs^lHu8iX8lzyZcrUVK=8T%!#H><5d$Qhs-dd!+U{s=Mgt%@u^c=>W$MLY{ zz*}8XSAfss63O7v1`A|^#KSXYY%;lHXzbF1s`YJ8<2WURG_}ce-bgQ>+y#_S9tLja z%6t34#(#kMmR_v|KL)bq!Nlnl;-L~2ql85WU@5xk8|iRhS!Ks!0I#1F7);a=5Q$iWQW!cV^?ZTl`zLC2WqX}$A+!0%$Y!m+L9M5i zvRe~3^r!E~mz`2wc_(u}t9SQz(Z5@kw9i}?LkHcA%gj)cD%AG7>fre0^&U?6D2z?z z`xpiu=v0$9H`R@gd3VZeuH}}vsG?1E{C>TwXcyZ|i9>ChG5z^AHXo2cOoR%bzI%#A zD4vEc#k_Phb^hLk^->?^sRH)d+(o>TN!hjSU3uBW!`Xr#20?G(tm$NJE1a+kF2%)g zI0oP?#ObqLb+=`&h>>ComTD}x3=#QqO9fA2mWp0XK;8OKeFo16%{P@4Y~m!T5=2iI zqPN9I{Pf2>i?SMKA$T9(ZsE1zi!PMmr}d+M@wrLUsrRM9r&*Q0PGvdtdI z-AUkeItmB>R^HqKk&ch9F+1Dr-2aqR*8m=6n< z!ip_bGz0{0h;CkVUA=R_7;ph*KdB+9!0w<(Q?|GdB8AP54irk1Exr(I3OMReH`BpY zSCu^zvcl;;n0T<-uo+iu9z9E?TlTW{r2ST?daPiyAWliD8_C?1ix>OAPp?*MmOuJlAketZ<27d-^q=a|msAP9&L8of!|D&3m&up{n~W%8h>e+ie+s zl6eR>KoJqNJb2TA!(j=(E)+P~f|am%dUDJ;gxl%KqUyBIW%)@D)nj{Lz_-fy(Wh;d z3wQfh18s%VZ+D!wRUXo;2wVyHArinHfkv(pgiagbs^vt&{pXuy`hb>RsMGe^_3l9~ z&~FdlhCc6qdkk;j*EQ}AJkME)|Bs{^q8z@!E!h3$VYf4dm^v-a?aA%zm}HepyYSF2 zbH4cxkGz@S3+8MvJ`Wr|bZSPw1NaeFLl+y{VZ){(d|K+v9pMPpp?vKiRx7-RN;M9NrcRj%p5$S1e@$Qp zuG2d;$<8Tq$!|x_-zGR&;mqF4@>bjFa;9 zR8ySV>;yy4-HPdueWq_79u%QF>4m=a?)@2@-OG)!h|p%aTSBB)(wqWIK@VIzs)Y1Q@MXwg0XWJ9ec)ZNf} z1`8sYgH9Z2pG0q&@CT*9~*1yX(Np(X0ls^{(K6i7H7!_dI|^pOW7<_Z=+JFwx{V z@%7)rn2a|Vb0t%|w7 z-y{1I76gGGhx{KV{S;&ejLQe`y=<_3F8IRF)1VDx5M?xgsyk1ZYSuo$@q8-^y02uh z)I23>m+O<1sFsv?F&ATyq8WPoEGu3N#53MdUMFGO1 zf4GvuK>UZ*8oJA|vTbK-TAIInFg;E&y+P#t)a5hRIk)Z0f9F}HmJ-1FIp>pf?L)yd zMiaz*uu~lFFF#xqXw?pnqQUq#jAWS|4&S`}sxqwp&!01A-wTod8ZHr5) z?9aPTG*`AgTvL@Z8n%e{S5rl%?#fR$*fdTEC0T!ei>0A>(POgsy>gLm4BKalJ<0_= z$gK1f02I{};9w&_u571bv)4dT|u&kDLqVR=NEJA$xiJ z4>lURsW&!I_Oiw6K0FqPHv!?jY%oVI`1%*vHjM<7#R@m!LOl$t!=DF|?YUsm#i_#) z21_>G>52CN8EUuO$z95+Unm1Lsw|9S17;&$3FQWcqZt zRco7q1InFRb4WFA`@6C9B9!x;lYIRBp44P7UA3Y0-y)OA&N=I3@qZa>RxAaQ4!;c8 zoK4#4eru!f#cCm=%u01v~~c6~T)<#^i&Yo^a)r3JSR-9{kYP$7b2_>24)hb6vV5avL4L=kJzh6K1z#)_#6M9-SBCHf;9k&Clk44PMIlk^ix1+TUP<&$xTyJVhWB zWs2ncsV7!beLkfj*-}$|n3B_~UeK`fkd@0BzRs*ysA14ht|q#7MnlYw^Mg6K%nL~0 zCixu(14&xX9AVb6w1sh=cL^dLzVAPZ@1L))21JzjC_d$u9*m`fr>wJHO>SCa!8iTQ zOgtjxMX%GJSKOC>*`SljYTq$;g-NIy)YuU0I5-*bfY>IDQ!4PRH+@ZYdjf4;r>%*{ zS$NC~8#U$>F5EpHA4zBoRP+qk2?}v~8E3zzy z^{0@k=8cZ{`PK153R6RykcAIr-Q_dqMZzllscBAt;qmdT?M7!zgI8}oXXY?#K&C21 z?qGI+4z~f5N}dx9mYiSxZQ9zP7t0yxFI{74gpT>G#(*1-CsPRxuNF=yf!j5uM#px| z`ZODz7Cp!KiK8ha=fI$^vOtKm;6ZOb&tjoaor4pl&OO#CXGQWdE)#&}x!JoJ&izlD zRyu5LW#4UW)gnq*ynaKa3=#WtN(z)J#d6PF3@E;5CCGH%n4dv{lg+sAXQjC12U(cp z8cRQ0`1g1Qh&+1^d+Ae)Dn}vbgNs6?;{meMs=BHCCHf760f=AwJI4h7<-lRLAyoYk z>iQrm<~83Qj}>mV2IU1Tsy~6(QrloFp!@%yxH}M`r|jPcg83fr2qZd*EhoC!gt-g# zHwGio;HI9k249fFkWOr?%j@00rY0!NPY(e5e*J_8NIBol;9q>9cut>>L%2t+koCRt zpiMrCt3Z*#G4ISjW{?74D}RW>Vv-Ks$EJB<=VeNAwa{`kJEqgzZ{kM_K5(V)PibLD?5ouRNJtasJ!17EWb&*K7*oBfu4! zw@t6R8kSDjXe=H3_Z+F-+V2wHcPg6xm@v(f3wxujNOb})<;pEzwiQQN8>3QsH$NOn zA7f>6^9ueWNkV&{C-T`|bNLkOc^MZYipvcpzlbCU&D$g`9HzYR)b&;sLO~v)3Ys@q z0FGnO%6s~8mHOmoGEd;qae}U$SUneEAPbe5LG_10TsALXMafAJsCp9*s%jgXse_|d ztpC(VLhS!Pq@uPYS*vUVNf7(fF!T(fYI_^8PF_^)sIWX^324>*NCu2kmI8tG^uyUv z<7^+4(&q2JUszpZiQ88+i0ps!L&OXEPqTUWSGq^c==s+R_TlhzN5?mTm|%r%shIfX zkoWa%X^MKUh6H+)h6lPg6E1UNy-bfXB4EM(Z-Zz)|G1v0pYiC-G+-fr`eUtq=5)cT zb-{UqNRuE^3kVuh-$~=tmO(7t(s}dNRGi^y>j;+D8bvc$qsXUS?CW`3f`oPnJdPqx zXA+x{#OVy8G9dDM`%i3uA`bUzq}p#*WVF1%0$q+b#Xcnz@~Z1u;_8j_vv+In{y+Qw z7W=~ejW=5tI%Qo%^IbBAp0I=+|4nX~c46Fe-JPz&v%h*e>qnJTAI10{hVdG=0pi1d za>Ttna9aTwngf252X-=xL}h>K9bTH7yBb<4ta|S!dA@k!eO?0^+uZIuLT4b85>+vD zVkmTZQY3q|&~Er+pY0hkUIF14s*JehxFJI7Xum*H;rSGFHqRypW9@<-Pc=qv@r@Z(COp4-;X&C>Uu>-;o&C1jxlW$v=&fZK_n zmIl0|tUtkK1;ryS&!cI#yDI|yjjRI8rk&J1;Tpy^5V~o@+;MS8Cu=sw81 z>)bjxtU2RB?me^{SnKAxId`-8Rrx_Bj8O7fzkQAFUiPV!t=QT9^W;F~&-jtpA3*Mc z-OA+upQv5CX?58-s4YW-$$is_|9=fy=QJNj$7%J zDwi$7AHG%vd&HUk!P#!zzh#nbl@T^lo92Qsv9x*QTR5- zsIU8Lbv0LdAv$xSp1jv8;kmgxhg%#QydKP0%EehyIiRE5`FnaA$dY>Yi%ES?s@vJD zN(*w0Z{x!nOVj%1PuJFATX)$XS2XD$tsiz#;3fdj{PlldyBjOMP!;C+vkIA$f1>hU zY5*`@)poVr@l|9@+x@;CA&OkdQ~--*+giYe57JrU_ppP+XBoTlnT3~H02FwCUtiH2 zpu_%?xL7M|Ifd9N?CO%}4lpi;zOEq7x3-9AB@f}E_y)@B&enE2*m0L=uUfaS+%5iW zC>Z(kPUub#-4)|!9617Jd0}F>A{Ne6uhE~ERpx5^<>t29?8dVEP)AG8q$%3J;Uwlv$X~%QmCe^G)AZ zWL-ox>%k$9?JLS8Lf>HFzUw8X@j>>dxUZ8^UJzxN?p@zmjlaPCAqy|W`42jgARR(( z!~PlWv&TW#YTAl_;K00u>hf!z$V(7eVrFU z8B&FoX#29Z_5A+{Vpr|C*t?NAk4{Ixt(r$=XKERl7#NC1jRNu}2VQWE#()0Gd36G6 zBJQ~VT=Is}l^?x^=WXVb!r#8RDaWl=_;3lOvf%qx1%Tx4+6Rw|e^ z`zZH{(Ok0V8YCO9CxUbNp<{;TDkzowvsM~LT!unlRS>)CJE~L5TP?^#YbzyYIT5}b zj=q*Xo3MjJ4Rd?^ZWHl-+fHo#rRsJM5saIy-g?E9Ewb0ueR-;MWL>CxNDQaOuq{ZB zY2la3MJh3DpQQ(DA)!F^fOCk8p~~9G;3IVK$afwfCVUmPCN`s%;s2Iw6w(ZeVtlb7 z&<(HZzUML8t@2h`Uy&tG(TJUJ=E~sXbla0=r}n?^zc>Oqi|bW;u0|QJh4!A8YmsJc z3GN-e`a+6LeRf#6rTx*8%o5d7?&aUQ?Zcv_sOc_=iZQ895fuk>vmWpdHp-y{en>~>0bOWWK}=VYu0Ws+6&5S z<8XCmE4&C(l+y&8BK?iK$>%WFLzT^tZ}I_U%k#t+%(;qPbTAfrL^%H#PLM{{v_Fal zKK`{ok<_e$@_*udP|0u6-^+RLSQ8msMTXHTx+RLfZ8n41e~cfRE?aAUjZm|bNp?rd z`_ZV#W+sz5W5zi;@UQF(RzINExBbCDkA{t^r>k7Xv-{t;wYcQ4EYNadqBt~8s=7)XDw_r1{tlo!@ek_zf>tItB!osRKUZ3#_aj2pbP zC^}ch2sX<%GJ1Ot^Sn6|st3T0k?<8wT4IJ=jh0$??dBajRj<;jy@2qoB;zf{a+SHK z!wPOIcqR&k^`m>o?J7~@5v2zOOOwBAY;q9S8otvOzAuAM)yl|NoD)B&bF72BM#-dT>GhT zd75&03$~3R`*e&^aZe@Amu_fVK>{M4H^VsqRTg0mvshc>_!#0*m7jF|t1DIb*-mhh z%B<>j<5$N#I<2#aKrSq>d5>v*jFDc~eCCkeEy7sotAe>hzx3lsF0{YU?5pNrNn<`v z`g`8HB%XkXzjF7o5~Nu1Jwn%wbsy{~`NmW>E@U>9dyx;*)x3IQejV0MslP~}3TvZ~+c~Nok)*jj*Ij4D|1cqPPHtRd6A^hu^zaB2sa)Ja*KZSz}9Djo>I8O%iF4@ z3>8B14GBLt-N=a@R0@(pdD+p;?qM=`Jjva*tAWqQrVm3N83L02-Nv90)IING1LUT0 zckMX@l%4bO$YDOe2sF-QXNMl|7t$;N3}&22w{La#e^5Sk!+t>;D=TZHuVY;Bv|FOe zSFoPqW-p8ud7WYVCOxKre-kIz*0Vz158+PHriGE>ehjW1kgyq@BGJOv^I~R^Nkduk zTez8$3ES4!1vDcE`^-T{WT(bhfYL01=hG7GbPcQ7UmgnnIG6hwBJ%eckBIB|%2xcm zg(MS$;s110^Po~; zh`f1=>w}ezYqXEkwtV1u9DKpac|WEQ1%D!kUlfm-JZZJmgzUf{16@)V-qq`Y_q}%E zukSTz$#6zVN3qZ$3Ib)@)ipm4#m2og4iehw!7E(fdc|wM8Jv~~sNd@Jac8{e2={(B ziJ#k~QU`4GKBD|T236|>$&K{L{TU5R=E-k^zpftJK7_-ls)tj?-#F}+y8rVaMh}S85Ns3y|CabU zb|j5Lz1o6xG9J!nJNy#+QLcYa*Dw43g$)i(ek3dZXx-(pOV4xNMS$jSDoQ?Ey^YWD zX6Rk6sVsWfR|=SAVxo8deJA2)yl5Y1>P*5L%RL_MHN_3iijqn2?Y4r0zoR#+MW4f^ z_P9DHjTuIP9$ZQb2-7a`2b$A?#vCeGS2|8t!eo%UoJ{4OwI(^Z>HaBl{-CY}9pIrH zUVOsL+*UXG!KX7M!E))>>IeJ6CEvSS7;;HP@bs60gUq?z`3#P;wx)7QAXSx;9&D>c z&3C7Md-EuV426;lZAj`=%0%Xk;9a~_D4F95Df&A_rIQl(0;laQuYp_E#_@@P)vka^ z1q76(Vq3nV8V4@x3duhNr4YqfS|lG1xiY@|=$-5nflY%AvJ;%8G!b_TbHQB<*(7by zbWE9*-@5p$ht@UM$2^AdrInqSuH3htGFIn&}DasAaYgzR_Q04rVMOmLYxp_9^3~-!Q*tGijNb`Rp z!EM*a<0k(Pokr5<1;ho=hQ{+0P%Y&DjyJeU^qeoBGfK)dTr`a3Yt6i^vM;^35H#Ct z-7>pltfK9+#8D4a93oirkns!hpTJ(ZV1*p;i6-0=K#?+t)6vA{ z2;y`Iu^FHvB8kme#6ub^LIR7Cz#`!{0YSN>zG8vF5eQ#?wb>$wPK- ziZmXktxoIbnC6CWff)=x8)k~{Ojy>{e4mIACQUV)vwt_A2&g`l>MUpX%-li4|C0dN zPLc+QbOJD^IbgLMFtiZdmInq9N41}*2O!eU7FX)KtAsIXz05oIO97c%gHkRyBUc>Q z71sk2G02f@TYGnZqrd}~Yhj_WUp$e3#!onG*o4WxP^)SyOTfF%J?#29qX?DZ(_8`H7&qaHGjciA{%sDT z7yt#}0P4sEXW;^P&_L=1hjlgdxJmaw$zT?5@iw6>ImuUpJT<^lgHmw;uU8VA$?UjqOWu->6i zgQz~KCV7+h6c6%ZB?=4F@Kef&$77ByB2Za$-vS1oz3q6Tqvc@OnoPVDS#N0lB`oCc zWa7-dtJ(9Q*lE-#DF75d)?CDKeWY>V4sJpaR1SnmE)NzVFYoPNU|YQKK7N7ihWUEU z4Zyj0e$=7+Pc-C%kkB^+3lS8nQx?4`NJ+y$R84H0(hcADhyGoN6yek3^rdw2=D*Bl z4~UfgFX=fCB3%R$odC1yf)fIuR#zMZsF)EBcA6IfP;3zC&z(XAv-VZoC-4au@xGsC zz7Lmr>Rhb6JVv1_MWA7IxBpsyy(7oFTPJe9O!+Mw-NmXb#U}qIzzFkSWu}1lX(a*H zY|K`>^XyKxZjPG3mvPr}t~z<&CC$$^TrnzLS+|D;WZ!!)PQG_4@8;8hMXSfJ)v zrB~In%FfGq?D;fYaLGv$RgI*H}GH1 z%_%kQ_7k-~bPE6ZyW)IYa7`|_-BX;`aEga;n!BkJ(qGFtr-`tL;Rdb5%?@ zrn~ZDgP>MLZg>hJS%OF#AW|rRrh`aVL8N35DF#H+0g=j1%YQ*_jLTF6fIgM=|w zJZ5xc?Js)7({ygf7eD8?8cC{gxonhbqrW0U^ro7t812A23aNyfLiyPZh z1p!Mv5$6CZ9jy%IODB8ywNB9CLIJ)nXUY8>RERhUu;8Szb6nqg@U>A0o9RgMl+q;T z?ojx-Q4cQZEGfl8?A-+tPv6kKL#@O6vMP%G<1o<;I~m_`b8lK=nA*U8Y+yxHX}fyz zVI5*9c?}iC7yifXSabRA-IUQ&{Zl6hh=1bV44|fdqQ(YL8AGSA*I{+Ulne0Zf;Y3l zW1mjxd5W~h@XAr!;a)53G439pOmcF9SH_`iky_XiiQ>odT!EJ@WR!0>m}axQ9Kc5` zfK;vgST1-o4?I=~1`J_-5&OMrSMYxJlCpTeSVD9nyxj%Y*N&1cyympmEQCd9r^~DTP29H20jhl{8o3!FH63n;AgtDWSV>o(;u48)z*o4M$!=v(S`O^CF!sd=2r{_z zJid^l&1Yh)H*goI+bbQWXi^g!sR29%4$@c3a({CIRdIJ1web(7!*Zaaeqq47Dw+eb zkD{}Gb4F%mJ21`^K~q;FVXoVC#t=^~HYxd!ab_eqL1M-Lv0%24X)c~(c;sET!sL>$84Gg-78DW5H0+XMAkDfg4BH;a}A z->o_uB>Gv!Ok1?J*i8DDGs0kXYtVp4l9`phw3vHIsd2=USI(7M3{H@mZ8KJM36ZWW zl_%ga2DIIv==&&F@f=&V(;GwvY`I=V29_nD=FMZvexf;nJ}9s`XlLfkJ8_Q>32xr5 zf-T#(%FEem47Y&K!R(8$7gPp^iA61plI7Sr`t739(6yUtHXfC~&cOyhM5C&&r)mY; z{2l*9)OCV;vDes~t5{(VDaf)64B1a|->`S;+ep5z_+X-M!cXn@3T!!1%@L-ylW>26 z)Ld+H;GS{qqGbz%%dvRTeo&MXvg+to8J^`MT`yR1DspCWX;5?Dkq~gb*nk^UQ&6)n zcfk?%#_E-#$k)%Y8?Qgxq%Y4h!j@OU&f&JKlOPxRn!nfCS0g*EAKPZ51<1F1m2YU8+%Ok4*314WdNuMgCl`v& zIET)d%jJfwQ}^@}URm8xq=1Z*>IoI>zm9{?QYSV^Tbrx2OFCeP>0o(Tt>m#uc@p!#C=C*q;_jDq5^lmiyZ_!L$ZGI_qyAdTJ zlV^W6O43K5f_D3?PICFY@WKRMq zRfjTNp>KQn{Gh{l>u84dvKXU34Mp6&D~(^&X~5Whz~T)FPGeDcZKjKTCd5U4DpBzx z6i5EUuy*NohGbaQ(#2aY*J7CnU&_ds7-1Lum+KfvG*aN}-{|r(1$2l4U4>WSzxUS9nr^@D?$$K*+99ORFRl_LDBI}{BvvwATaEsSAdI5;!FWw}vPUG5q zZB^@aE8fdSCfc&J@*Yi`k@Hm6Z>y_e{g$F>--Gm_vpn*R^rz78a28y;{9W9+JJ@3z zGrD_m!Y=f3xZqJaf->zdanZF#es=>$T8P%4k%=bp);6D)m4V%oVtTCO_v!+4aZ$gq zCfmSlfL!grjR{v19~PE4iX4nE4^a-tsCseUU+h-=Abr*K=3zxYv8?17;ZoA|KZ8qg zvc)&=GCKe}&rPhp?GWsoK!dwl-|i)VnW7GYtNV^SCdPgRTpUv*a`*eG(%}RK&_za- zA8!?+f6cuB9deHO7^o!&Vva?6@*!-k5B+{DD6^vcLx4@DsTi=)1!y`ta;#Es_NJy( zufS12{Z1>Axro_ttB6JHxEVa($zjgko-+a2#*}2~=XDKL`De-!3_EzBA(chTNTjODs_x2?x70m{5Ky34!FCLCL}yOJY9{PRp#zNlu~v< zR+G4&1 zHwwgd!Mz=2{T)3gQ~1Pw1d*G6@<2=OBXeyhudU1zed83Z2{f3?UnymC=y@=G=1S)HkuL zZGrmm9WC+)p5S1u5tyJt*v_2IpzQ5i4;nS7X#;~?eg{+dEq(m= zr&Pq}j1(A^LO+%Z83^L_;igoFFn;m>5lFE)d90AMAG1fM9mdv(d|DyGnvPKHRP=yc zRY{*;*85}Vbqgwmb`pfg3iNlA z8lb48ZA^-wNkqoi!PRlS+{_9VLeo^7_K$13DihA(pvA zO0mhrd+^5H(h09#pF4~BDI6%GcOop9v?DT3F0nWJDV_M)%zQL5e>0eIStik;=7Wmb z-l4+2){!3GAD5@iX^kCW$2W|nw$P>%_M5U({aYk zYo20!RYMgw=>IJGpzAlnX&~6$Sgyl`hqpquY6H6CQ7m2c-5-i%4>}KfqIC<8cTzEG z#76tej+)Lct~RT59@z?QMD;gFIWDUSsQP!xYay0JlaFsrB4>>oBMSrqujToE){*`m zYQwQLn72fk9(neS2Gl;n0w#_Gc(!-x9WdWMqMB}F)L!50(MVP`X<4?! zs9nf)`WuffuIL<8XeaNRE>5TRf<+uW`zexy+Ixl*@eYp4-Y!vTU`S3@FZoU7y24Fg zXZ2;#aGmKbTlF5GUzNUckzRciR^yh_X7SluVvF%945l(V))4VgCqF^zt&no)L6G9u zzFXT(f@lZb9K*J)6BUs!^_8K}UByPuQ}OwwR$(}-Vs?QF;0$+I3_PET4@-JH9 zMQ_^8TsZ%{(Nl}^r$KN&w67N;%)ail2((<|mHS2rfIka`__+;2+J214*uZ4{k_r=c zcq|XfbE5`xIjg7%6_q)w3*ALIl#8o~%!NlfS_eC9^X(n=Hj9rJ7hC}m3qHzTBc?r# zf6jbx>=&sMh94R1L`W$rHLg$}^W)(Hy5oN4PgSJz#cCqiBh0>BvrA`=iuD!PJhKhr z*p}xPkj`_c&hOj(Y|6p{+hL+iTk@!M$1UEmA23^-)s0c=4h@*FRak0$5$M^%gjahv z+B7E28|C@6cASK_Le73Siwe(Srz-lMC1nQKH%pA|)BYafLO~kXEiRC5aFFIb4QmeP zS3P;(c;2Nit7pKRLE1MWt!Xghp{4W0X+^X`xwx9tfed=JUYblXhJ@ zCSuV-(-Eu@C9faBGoK6ReV<^JXGUo6Wg&H&%qkH{+{Js}1#`voQO(w2-xbHW-~c5UB&js)uYn!Odlm4--w?n;l}>J6X5=Q+IC#sft#^j zIZX3~8ou5VL1&CYnV&c^<;yZ>KfFXZ;?Y0QASyd^A4Eoj6sa^6{lxNZxa+c;m0+NR zo_*6(L0iN!OO{9Lf@MGd-P9dWUIoQQb)Wr*_|s34VcNBKNEA+;(VzB^=3(0Ipf4PG zw+})j8n3l{5*Mn1MV&(+v~ER&?R>jucUh$(@8kS6ruU?_$SjM&>taFN3-`y*W}SRb z5}5iA{2_l1Hz;!NK&l_%xGcmS*UFtX{dV^-YEhMAkj2@s9m4k?f_@djwu%tnIsE&o zw=s7dA~&@JXAm^Wl*`+^;Aov3E70G3kTWi6cQ;Lzpm)AKn_om|qp(rNksi7J_@L}| z;H6o?Lx(FPu|)3No0Y#^_u^S|HLsdj8f)_CM#*o44U8#fSU7F#jgqNKe?XNIoxdLv zZAy_V?o2+>np>o`Ng#)+! zl2)#b)^iFKIP&M`2z_rVz!dddH5BX&+}@981}j~_){i*YkD5g+cSNio`KZn=M(O1j z3IxLW$0o(+A00PmJ=lVJULJ0^g4x!xv;?lD{fg_jYEr&J z*m}zPy)3WZ-QOh;hR+Xgm3=BX(92VcQEe|(WDYyY@Al-~=S+XX?VezMgGa&Ss&&SG zA&?}1%ClcfP<#E}gcZS^)z!Hhd-dmFH7oKNqQK=5_^9gf@I_hel5N>Q8jK`zUw6uE zI>Q@X2X^1Y$yz}xQ#`*%hxpnK`kt?Hbx$!l`l7Idil}FFZa{X?AQY^J6D1mt9lgR( zK6kQ;_wO(8CMC?UDd+fe6EE*SH{`6^+rP5UVa1Uzj_2$5YmGQORGKW$n`_UiI6L*` z>2kh;%EIi6Pu70E<3Y1vN9r?o>SkrjUD9ErJN4kT9plM)_k&n`LW4)3AD0yR`Iy4QK6Pwf9meodVIK1x<4+IX%a zuECwBlhis<*Lr$XEakSEBaIn|?%VJIa_=5Bt-82MPml|eXK@my<{otxg0K9|nVdCG zd6p*oCOl1mMTV5_5mhHH#nX%Oo2}R$tnRlghuL}awxiUh{8Ry(d%}t6vP#HtNSX24$kYcins)K{tf;JG&q|GCXM^4 z_M(8rleZhixqE~l_J5$p^;15Sk)HJVnE2@LfZ7F^`P3J{YnMy+1XG;RVe_8tyc2)@ zxyyqgdggYMO~nC~RUfjvvULM3*UxcAIPTw^3KD}Y69bj~UinL)R~yk6zz0|1Dreer zZ2uhJ+@a5DuKLVw{T|Vc$z&Fcnsts+O?_7%$-MO&Ol$O_T&@4z+SWOu9`~|3vwug8 zi*KFY0prIF1NN3KcAhOe)iJ1l%FE`9m;XL+Y$qGdON_BUP(jH$r?anrXP0{*X!)T) z3&gH@Fu`;OAXb7E%9KM6-g?Yc=~y;wz&>WJ?sk19^0RCsgByASQuU0II%a>8k3IUn ze|)EcYTEy<RnKD!e?N4ddL#XIZ$8rVnK7hr@r8r95>H6i)_!z3_FT*|e^ zZ(nzhOKr2$$QkonLr{G*zpU z{BsZ^4@+wTvM*35{})MD9oAI;_EiL=RiwKfqyF=x3epWq4Uir=N&!I_FCg z6y@tU(=Pp`w5!1anRDM6HBeO4LNOG3&q*f?Q)zbh6E{Yb%xbCNWK8l2&3!7$U=@Y6 zl#-nfjXeqKl3W-@ZJtgXKE&C_|K+g$Ldbt}>6(#_0qn4c;2H1FFOqREPS^?FoREBX zRiu+hSuFNswNFk(>QAxwpP~I5P_^*~Kk_yjxD)1@#8JQADuoLN6A~?VJ^a)~LDJt+ zO)ukC0xE%TpG~HoH(rroZTp9gWkwx-83px`vocJ!WKkYd$gEWKv4Q)C&FY$euZL`- z-x)C{I3li_WBpd)1EJ|}VocYHd{P&M*eaVle#_7vj}0_llg?gW3K^Q6@-;7K4Ok6Y z?l-P+>FJ-AzpNBkW} zxU7I#<_bl-hA(~~Ka?WD){P$9jn?L@)wlStV*BJ)x8M?;#!j4kkeKgXE-%Xy1AE^j zN2?^cn30NVTBWdqB9uEn1tDKuDexwL@k!^mLCZj^GXx79ek7Y4ER^UWLNE^O8Gs|_ z;>QyjBS0!#jlS_>8{3q7gl_WFIxv@lhNghV>%PxBikO$6bBRcjimEVhc~tNXur~6! zkVl{%>!6EQ2WRDd$ZEIKeT(!b)5B)Hw5_gg+S)A#Xz znxOQ|{)s%w7pHCBU!Qv?z8IFfXYt-g6g2I9KgkdyM#!lm)xNmZ-~bfh);?iRTKw;V zh@3;Y?u1owYxInDi0Co#5$60)Y~Z-hJ;G$9d+x)V#P8c$$o_)|<|pOuyIqTROPpBK ztf@$8vQ*8$N4v&rnlmg?+mBJvD)pSXSa%+n%`wpkb1u7bMjqOi_rq$Lo;yNelK>)$-zk$)6PTwBI>g{2l$EwtHC~>eF@GdPuHmh=_674=*#T=5JuApg>}RYgUPuM z`(>BXCLA7VyyRRozse@`=2o-^4IM#rh-;*f#AN+sqbRdqvzT>7%nh!?nU2TIAHFlY zU*0icUXo*>MI;8(%Tk!@b>=O9!ux8lGk>tRbQsjjz~2a6LP44GCEZ4D4hAVZgzLpS zwWncc`{C@&e$i-qRu{9hTlu*P8ZtXeTQF_C$l9^Gqe8(7O_rLi%6x9RICu$)rvoQ! z6DQYfKU|~`-O3j_kM+9b#*2w!m(#z;DX45*FMH>c*_$`;bF6N8!-*km+MBjQ6EEun zDTL+uvr@09#jEF6(1_nCf71n3RW`MmifoN?MK<3}3>KT7cfvBD6QNM?*)N(f8@a-r zZrs2p``V+gVD0e8!o$ulBZSc(M6!DZpW-Hz+0mDZZH*t@!jY9+$J4uIQ2V9$xPq@8 zjf&fH^8tK^*Y^CwEc7J=d^ZcAr2N^=DD?cTA-^El0K}R5>Dwzuv z&zMvXyygdH-rB6Hs#NQDNvjn7^`E2*2|5dD|gF= zY_1+ZKn~>jI-c4pidrod((!WfP$*nwBX}rVMj2C*=?;9JZfXtmg-kWw5m`6mZ#|Jq z6B{PMHt8Jp3!JXMO;IB|CUfN+zOGQNAVodHQ z!UEBkH+f^Ww3*p3hgrGUXNjInBD)kd4>T4fC#E}(zlNqOAm^vguY2?83oIHjgRso| z7VqRv>JPPY+i#SnauHN@1Fz>(J_Ge#9MC3^P4Kh*2p4FpEfa^wN|d~P)!SIXN~akw z8PM%~#qL)JQ$FP;>+hF{#Gd%F-sN?ZRkjFn`7*e}3QpI|`=yVYds=46Zj)vC$du6{ zlilK4NU;oG`;0m}F8uo5$h62d&)p}SONf`bjDKm$Z3X=d>*6elCAQBP8ORr(Gw<6W zocPpC>=FH|Io1Xe}2289m&!LO;`50@D8IAThGS~1IOcW>F8E-tO_re%7FR8 zojEfZl2xR{lXs#$&bC&F%uiv|nS1!UGJHUlGsjph!^SZcX(qlf(}tF2U z@lKx++%-_uv1Xlyw>`nefSCM{ zq5c$$f?oNAW{#BC*>mK{tT1M@U31qW^Y|X$q`)Czre;d>kVRs`>HtbNMidTg8)r>Y z!S=ZE9LW_ZCkA&ySUy_+S=_@Xvd%WS1u#I3S57NN{8zC`r-o?Q@0WyV6c4@WBrOj(-GFA|!gKam%j>Wu4C#>srH$H;tNOlX4O&#}mKD~@MAR<@; z$RF5!eeh=lSd^re2+QaXMhS-S1N<08DG#2xsbHrBCSF^cxn%m9%NdYgeo6Z)^E%_ z`|8*2Ol{|zA*La4E1%3-8a|n*55YLIP8BLE!p|nBW{K@ArV|3z=y`79hfoQ!&s#bn zKx*mJ^W8VmFjO_A3gQ&>+%D;6;Ga)gworO2yK*RcIj`!97m|! zlC9{H7A->LEPYj6cjYR$7yjE>NW?Pb|Nf~Lrf|Rn9yg0WD}(l~2V%a6x$d%>O7ujv zr`za&?LD>H*&cW(j`wM69`3iYEy;cjzMX&9z~7d?S0|l{C83LP+SO?Oa^ZBSGrSk{ zBxLEMmcMDQeAN4U*Ti@~4E1HcC*B@3?aGYs`eHSePpU?GZC{geH;5E}v?x~NJo)6T z;`s{j3~_5b{MYts1a7y@vLgHCtyuvGPw?8gcaQ3Y9mwc520@+PE*b({mZIv*O_% zeJHPLt%|#|KN%BtF6Hs&%v6t5X+vb$rP+^9AVJ!!#lf zFOO)~7dEu2?W2dPqKIs7jy=ALXtIXh+lIV_>F+(GF3wN~1<=I(88T4D)tF@T2Sbd5 z6e`v00jClg37g2Pr60ssl%@c^FlZu=c-d8UG(T*tQQ! zXdW5n+7ydmWYQId3oizr*2acR!lvq+JjISpBT}rQxA~hAqUSGuWwD#JxS{=Ru|naS z8@m_0Uy@dTE}Ti>7>6$5KbD0qwZd9I^mj<@mXurUY zvzw~eDBjU-eAM&FYq+P*o=ESYPkF)Ax6@<4re-B(vpX}4t29}DLG9v2|Coj%%B_jj zkGR6reNyp}V()w1K!;3QDtnJ=X!H z8hS7N&N%pMiu^;8l3mR>G8-x>trn5V%A_ZiTDX0T@mI(vx3KO9^WcVe*LaWujasjPou;`a-qSK z5-XRjist!&Zf7dZ^hK0r-i?h0N6fs3xqLZcrUzr0`h++s6!J+{gK(YUfH)j*MP~cp z^vqJh^cH?VJPC`Vm~PZiSc`y(t;LHLr9?3Z)bpDI zB=3rBRjZ`Hh|vZ=%JWJig8-c_3307WB1e+KVD0>&lOXqF0zWR7eDApiJ8DC)jW+vg zFNKfutHHV4>~%K1feL9Xdg`lTb(`26p>VM)lcv2U=Hk`!y9)Qu8gDYs4uw+q-uJsT zoZ+0x^XRR7g6*n&kb&}{1wiIE{n?w~)5uGLa9$Cwp{`J< z1))<`b*%j-spz``?V*+5Fdv8|pCK!*$3~u^nR+?*2UoU-yKB`JE`O$m65#(a*ygJn z80&fK+5ZxNelp2W^`Uo?Yug`gMx;44OOFL7xPKd(xc251@!-P4{o-(9}R-(jb;ykQ=Lq-h}MxR6;x{Sqkn1f?m6FC!&>c+?g zlX;qLYQotsW{#MCJu^)RrhHIgTBg|_7D+L|Xy@!4Q8?LL~) z8YTWD2ZDPlQ+tFN;}Fu?Z>`IRsqsOh9%48{^2o=`zD6We8It+5?XQtue8q?N*z@n^ z(7+4H!Gt#&s;}PKlTA`#lKepDl`7osH3-A`XX?o{)2MS1Y+WY$>Az#?KfuRqVlBfC zroyVZ4kgU>8ht`naIgSN?4dc<=V;G7S7ml&lMK<+lcCx@_wE!-{A)EW1gbol6V2wR z%@jBxRTvO3YjU#Kdwi2x`W1{;c@75YdAe-|1AD| z5?hYHYl%%T(<|-<0UKk`8hUlH{l5#csPi{%R?FQ+-g+QBFcKv_%!?_x8=E!GsI6l? zbL^Z4sCOD(F#y+z{u)3~xCK905-VFgPR8JSYr)V4iEIbUuiTb`jlVh^N7OL*%rhGJ zMYrcuh~@Z1AwCs@R;fl8HJ>XC9pHB`XgVu++l!6Hh@3Gn#2sXzZ6mAc)Y}}7;Cvgd<**<%y8>(w%|g^_}8t zi9a>8O#|*)X3%$18DR3w;VcTJAh-TDLix_B+TF(jfhSeb1{YEn8E-kfo>Kjw6SeRV5udsV@Mw2 zt#c|V7lII;Z#X$%9+(s1^g@8(SxthN!y6@9$sqFs66aMSj#m@jx;1@he;3Yih#3yV z`uau~x%UO4_r1q{m@y{xe$Uu5j9(OfkCU<||RPAc6<4pd<_ zBDXGZI)WCxaGbSTmcBD|7*sxN{_ek(>&b;@jT~zOU-)s$GuV&H8ER^J1geFn$kCFr z+c=*5bK_xCNzkwlH2~1|SbRXtNLyT;mhzfZzSCzTxsu=!o)KBuiXf67-bk7!<~Fqo z1~mWmy%|ty=g_CS?R>I-Hd9`-0wSe8V^PCyU6O;^{Wl1e-oO8Sf^86zPBShe&?*-+ zaE}wI_2W`Yz3>^iGZxZWXL-?#_NQy)*0El0zXZw@1n&N82*PyPAe81mqvRjTpX;$o zLv)USHf-UHXzT1UZapr>MNNM9?msDG`y~y$;t<$jjx82!CMGh)O_yO^lw_wV?EQ{c zFk8f+Q3OEcSK9k(UX(qVj5&>KV5xHDq(~wb%T}|5pFl^>IQrrn7#6~ODE8XfYGlyq zzvx>MpG$9F?iUq33v)}d+bG{2zIV%UOqENmUz2ItBq9$F<3SL)Mec+dP{fLS}q5&3GayYPL0Hw~6X#?FWXt@$)!n39u2>v-r}y_Fc} zcC4Sye5}^$@uxFMFLz!;f@n5Nnr_h*%|+@Q{`87yG*F8v7leoHjZ~edK2Wkbd10;` za0j3A37TFsm_A9na^ZpKG8C{LLA`AYK$(`zm_H=*{8e)KR?nndT7H)-qv^&T=Zdg0 z%?XT?gIRkcLAHjlcXCuCK0SXG2Z}?rvwRJyCW$}s#-A4nuO;X(fo*ibVLtmcUOffZi%%eCVY)0K z3T|PdYmtp6+|Efs>Hjag57vl9f^IhJJ069)mUSl2=@qj}?!>d+u>G-0yDp7Kt2Mjj&${XI* z{Ow4k52}L|FvrGx#8Z^v?aQD+<00D!Y+gHz3IXd<>p3ngL+%++ z_&>9Nw|zVAt~(OS76YNeZBf|OTwpu4!UEfMuon$>C}BEikS<@xhDVpdWeF}`74l@B zjZMfP-pe><;|lzrs=k;qL|O1LGmf#?Xykyl;MTL<+a#L2{J1s#wX-V$!J#~btiQpz znoK{C-=9@I>UxYD{fnmv)kjQ1^p>(b$%nmv!e}z_?GgBTAHMeMJ}{QOSG@JUe3{o8 zBP3+pD*s@zRJfUN%wH05hv(yf<2zeOfS7KKuU-S(Z*w*Rx#D|gEJs2wcVnn2h2U$ z+9zvqiH_a4m2`E9zZjt*i{KucXdEzAzo!nu%V8m?QIfB}% z=*E`VetwR_LJ7H3Tev#$P@nQVbR1D>t>uVIR)Yf1qVp&OnW0sAK{q|w>I(pGOhtS6 zdl=_eIYcYWI!6vn9_F#Qb~ZeuU>u~pPjwKTx$O;o7ytKY>?iW@DW3#T>^N&3CUw+3 z1~p~w%+KTf3ACpdp>h>F7rQsJ$P`iw+dFZVlQrs=6>zCzcX9j>C+gu7mIpP`_3!lpSvErQ9Z|f($D_L$$Ww-I|pNa+@11n23v0?pr z&e5CkZFI`?&9z%Su2E9_8Uqc?_AYeRQJ##ymKM(*UT3~tDi8n3QX0X@diq0DZFrS7 z3lX+QYDg)salSR4Xkzx`fO|Zupi(Z=a|@CCyWEOeZ!pW*SvkT<1cw{yjt2G6afvw^k^ zY4|Ukr7YY;L#2_tz|@ftjed2Fs>qbo0<`+0%FL#>OJ|6hc0$$WEo<-jtdTDFjb{Q@ z8uT9DHw0DdL5$STIV$`QGb^6SNEXZ|21gp22%JguSWkL<<$DF_2BTR~8)UIbG`RGw zo>p3R#0-!4Y(u8`K;ma_DcGB7h+I?auYn=Lj`=JF;kW&1vC^gF_@Um_Spy7vvhq!N4nG=74P|MOHT8RF1xPp+w!SBG_6w$ zx(LIpPc!_DO5Pc!w@0k7)I4+oB`~}3A%mrF551O5fmB|Ze3!h+p1E=+ydFd9l3R(d zS=Yy`)0+KCk$4m*(L{q&to9X9L)RAqc0J9GFnhOARue+>qhWhWa7umT7+F0a-xNQJHdT1BUR`WDg zR(1EsBAr#zf;=G8g+%*4j)-2IczmMZ`1{haR0t)STIncdeA@gE*$ctUN^#9qwK$kX z4ZnGNe@f_B;(etR^X~|7T?5`z%QNaJy4QRh<(?Z;HZA2N8m>He;YK@b>+~Pm^y0on zbY1omo3DDw1P46v$$#P1OyE&xHq064hH;Y*Auuou@t&9tnK$~q)St}rhNzQ?m%0jt zvG{y`A{sut#@bp;GLm-flkTw8ZM={qbbdGQT*WeMJ$;x*2)DIF%YS_r8r=^HKfE1Z z4o0M9dN@jfXb@pb%4#n46<(p0(aN1o$%e;7hXedZi7=oI@mnZNwMOUCf_4@j2K{I0 zD|C{JWLK}j5uL|~_F9**!6o+ZVV-8cc;~c5h9w6TSON@s8^zfv5xNeD&s8LsD(6TT zBciLsEWZ9mII__)UOkQw5r4z@Z>iUjIDib~J^Y8)S1Ku~mRL3A?r+M`2n5e+>j`8I zbH$3eNj=#XoVo)kfwoZ*8o4$Up3OeHqfoZ7rf%jNWSPR90BIM)N%gcK6s<>Qm`0RZ z)jEn>99Hn<%ezX6g_(e!pjFG0p1@_+Bn?sZaZwsy!fD&QwNScI*AP7Th$$f7xaE$y zX%cbNSq)8DqoFP;7GGw0W95407E8y0ZQhm+mY(zQ_siy8D<>H|{)&=&)_^(C<6i|F zrmRE#64?pPbHmJwMLE97&i-$~|9?K`ll%noStfVWMqf%xUv_|ieWe+^ro>*iOtZ$> zjk1iCO=w#k`&S1ht z@xiABP!gVQ`W!ZUjvaQ0pDHT4JpO!Xqp{t%tuV(p)Vclr=?Dj-gKxrS5A46(Fq3Nk zFAobCp|c)exKw;X+mjUJo`mYP766tf-AmRwmF!-AjSZiq?mndK-_q=2^h8njWA4^4 z^NGgVUZQ}p)Lo&jxrNOux~Sx5EMy`AE58-8ZFh5cbNRAs14HH(lyKa+^Qd{Ytcb}_ ziIZSbS>iF@Jt1e9mzB+lO4>2y&acyr=D$x;0ALt1KpeU$YpzPSk}sMnrr94-MY{On zv%=}cy^?alkCYMpbhPsGr{R+GDB0<3XrsaN?4DG} zD|&O(JgV3M5c`IgzsWflHN13P^=wM=y??B~I;HA9GlH|bTZ6jrSq?Kgqw49SN$T)O z>;8_9*4j;PHtojg$waUpRXp*FGY9@>6*rTlJ`7!;67>bvxzqz3Pqh-0e>HHthh2dI zzNk2W*U=H7IlR&8P6*Zcw1ho^r1J?O04~yp<=8Yt<~D#F%5EITNQ8<*Sm?g-0X>&* z@lLTX`2%E-mgkS!mI`|ij}ffiC>~ymrp$M0dEH`~vicJ#*{&W5bPsmCtMy!0so10K zfh==fC`Yn1D$O}U-1!M72TG>t!CR;@YoFn+(r|C%E`!ZV<#y>olgBXpcmI>kNLL~q zV(qR}H(-0p%KZh&);TdP^YpQ1W{AaCq#uiQ+T1B!bvm*)h-tg3Y`)II{ zsdt^tt4DwKtImym{Gdo(V2oK(8hZL3-as)^*l&a@ zk?7n({is>;h%-W|z@it*{sP8{UFJ_Kw=1BkFGN)Ut!WdTKF$=1xPDAs|DwnFAjmWu zXS)3KLbo+0?`d3ILGHWR)z}Ao=i=K5(%<5nXD|6pn{>~PJp&0$zY?7SOT!C4u6$$F z+kVvko{!qStCejEsmXq`=#3TQc)oS2xPF?88ahl6Gce0`kqKb-qbk!OYQMuY_$Q6e zADOhPBegEI>mVf_6%zo=>>6`i&$4fX5@_QeJ_sBo))u(q_FGxPBGuC4aKAavnbY}cu{g1i zRz?g8qe=IR8(%n6ceGyBY6pj{NdByiEM=a5ZNaJOm!6%GS@Nbf3qcg|>e<*0UkI_+ z-?b?F7_D+H;8b@gLmXX5D}7i%A!JTZCs>m4|CQZNV^|N!R3cM_;X@=eWOp`PXbw4d z@h+s|8E1WLk)vsjWtH|0Q*t_tx~BY1X;bhTz^R-RUc`)|w3~Da+|)CNxu3t1##HY|#^Ts<$X>wiL;Z+aP+X zVGHaukBG@3+n)te{k6^SXG%;__p#k7wutuhYDZbbfQF--9xF2^7Z&{rCtpc^ti1Sy zf;ZQXFXkGRf~tcE;-O?K;Wo$?yz!w;x?KDuQNopK_|zJ=x+Sc#_mTxVjBzHztQ(r$ zSN0J_gaP8fNLUTzr1-I}w?I)N5`%^zK zIZGps?Gm_mW0Ev9^`C4Cy)Wl3-FMDU%}BVSF@fRtuZy+qMqq)=jgS-}LT`;6vglH7 zBf|ey;w8g)q6E^9gIk@=&f&x0!%Sf|CO0{m0>v7S*J2y4%4=K?9&=s@< z3c)+nIwA`9mBJ-RPc?PZw$6jD`%odn{UGw`Tc&?+tn}pnttcGGd-SswjEFMSRzVc% zwX|K?2`5u-2l?>k#zR2DT$M>8|f*@1TEK8e#_-cuFD;l}IU3ZU9k zv-t4U=m(6p6aW#P_eQjXD%1q747gq0#thNDL$f)1wR{lIV|a3{5$dG!MKYSNtU%=( zPAGd?BV05?P9YnzsK@Ity6=2tL*#%=Fh>&TlLb_}p*jBo5?w){3oX9ojS`)L%G_I} z*$OTS{N&I5(MS-pJCPH;(164$=U}ayKgAGdcB(w$2lD)8+4wxrL#4f#tBPtT%Ka_#k5F1RHi}_cp3jB-4M@ z)^CS_zyL15m45~(=Jm8GCJvLhPg^CmsmCQQ=mQT9o5(igzq%^C8K!MYz5~L)!teG!)YHT8$Yln%Zb z>dPg{Yw#!;ebuUiP*$V&JZLuQ>}umq#nEcCX74un(b{O}9IDWG#-G2lY;v=leON;`Edct?u_HDhI(VIU)M5c7`_&sQdXVvB_n0@2z|R zs{qEsHsh~%9ly;jlwFuA9}X(t8JY?9-I70gz{`=EDcNcD!kCx;eg{yo@z;>jPV~~K zipXNI5f_}j(P%aaiO;dCzcb%5lD+E?&KX9=mK9kwme30m>SgeiAOsu;pW}e1vN9tF z7w$;dUkviP?pFN0BQ_SyB+-Oj>mmtQcO5)j;Kc8pP3f(`IL7{)_IydGUy%EJy3Y@q zuG!++a=vCZ!XJNoMWd_C@FA^1ulyavupeZlu21>~re7Mp2E;`nMq^m))dbb6(H zqT9}pjm2qO$oAnoBNHuk<6DS_S8G&dOiGDFi;N4B=JCvS#UXqyHyLZa9(PLVA5RNX z`PNJpsjxlZWo!FAPu5*mK%-`yJIIhx^-yxAk6do+s5*sGPzW4)FIT+L{Iq<^jLD zWO$+%?BVgm26lWwD6nZkFWt|xDs#o-(ARVBY#etmcPoZl2#7N&LYsXvNHe51v??66`-OYny+N3uB%du-!nr)f&Q!iOV-AS-0yC%i{g4vl$aViLWQN- zZGOc4RDPPjlPD8Ic|cKZ9^^7s0w;hD3>Xh^l2z;0nOh%bF>0`ZysT2YTXv`%Eb{|< zgsU2M+?x5rI^ZUm(}?GOfe=(N6g&Wex%m{o2}|> z6&|{%i5}v^4s)`U-nb_b_apumXJ7;@1#Mib-)Nq+&gbBIYkWbUO9}vLj?E873p>dd zDIWrH4poSsU+=mLHrYOw+;;vmviZKPra4QZqxUKl!0_|yvih0*pa|W!Xc2>C_I)kn z?pi_rXT-~7UeA{ZNe6^(3_4!`*eA0!9;1c6|3>VFE#RL_FLfQEbJGvUtc$NJ z^gHSgyX$Mrw)h%LG$l$jrAa)Zgn!oBbrIKyKWUIS`8g~UNxY(%eLmqIo)G!|UX(k} zASRaqVc_5@e-Mp6Y;f;=Uyz>5QWgisMO%Fb0BdHt*Ju&IAlcI-(ci$1ZZ2V_b3kZR zfir=tZQcl$Ymn$)FFr71LI`f00B~$IIPxPju52dnlLtbh;nj?gf0(~D)3lJvY1Nkf z#KAuio{7gPXZ;r2c!RE6a@P$5$5EkW_VHAS>~GB0G@xK`0sjM_MVVoC{MCQ~PA$uA z!~x?hNP3!_Zs}V&&7Va>S-~3uj9z#(#C%@s-^OU^%VO!vLE2o38l8 ztOd(X4P{y*g zP4?nJO1KAxC-yjX2{P#$>yjQO=s)1>UrKhvJU#c?Jn>qXViLX$s8_$3e<&8;3|}nz zW~ZMptJUB#3EckG>(emxP>AQw zCI$XzmdeG;A71nY3P}FwMyN$h7Twac==ZAk@NOB2aJmPKgg7F*(qyt!FFy(gSK*u= z(?^9GJgos8n%5l<<2m&oOYxR+jO7rl_0?yc8md-Q5>?YBgE+^L6~zN};O(tT10JC38tX=q48 z^8XjUwdrsnSGsQ@-g!*cKB`C>7N_UA2)~a|fb|peUWrF<`J_*FI-Xiqg#uF-tfwLP z8gLC<);IMT9`A*@yUgvc1pAX!-%tGT-mp^PKlU!WK&p+^HP;5WOL~lL&%QJH#w3q4 zdzY4AgXC?P>QXIluI8#kOl&>VhJNE-(MNmL2vhaEtJLyhIR|G{n}z2lV*KP>0FS{A zO?!(?-G5Yv#^-eu&3D*{Q>P-Bi&^P=i5cp{68=Cc@T%CAWX-ymQ-YRvQz&ew5nk^ zHbptQa5*&{)t_q(&au0y8F=HOOZd}~%i`TC^xlCp!Y^iA!pLp5>1lei-% zt#+4sCkpTedOiutDQcIM?8<(jC{ z6$}c^ecQkP*HVe@>~m^wUU}~tZ07~t^MCy|pjvp%Bya7V8`Y4z@x(u*mi1ZT8@^Vm zv!K9P^?yDLgu=F78(`NmI+F)9X6M);`>>L!-TO}arTl$`>%u#It)wqn)XXb(BwYC9 zz%o{N1`|*NYuom5RVi$$o_3r7g*5D98fPY)roP| z93xtf!K!B?*rajxEH0Z>a!4FaM;7lF;LoRP(Z-BMSBuYpNe?1h&~$qxw!K3#Gt<<3 zm-XX>X6vRYj58xfD@p4vBBL5SOUY6uGEvb&QXC&zWMMj|Qu$m+qNJuirb53S1&D7k z+hw>2K?kd}(KwjlaRiIrD(plu=x~fw&y2zNHbnf0X~5az`5h8PI~15kp8kKME;UO? zJiUcMM{k)8cK07+<+JT>-KVw?&n9mc)lp;FkR zTJ*fc)Z){q9$3$O^heAYm@l8vaXeX8z2z%eZ|Jq&&|7&~{D$RKNhCmbhtJ$@7+BxX zRs&)5RCsm*yj~Ieh0}<+)40P*bcxvn0{uuWkF6#+6{an-LOzUaRZye%@3o#ExcfVg z|DSG;Crf{xZkS?wcZKX;aI5NO@n?&do?gQmdVa&qcZM)$+$5aT;8S$h4gqnF{O=#; z2ih=Gr4!74edV75R;xH?r(vw?Rbt)EaNGIL<8z-g9hV0TdqBU|XpxiE*Q7YU=Y>8J zgTIypjbD6^PhJ!%)&xT5A8M)N0=XzsTZQ8K!-hynSdfe9p_Xzytri`i`Eb*;Ty#tI zdzG(6Hylnzuq{8IM@pigJ z4ZYv`w!OGl8)(P#ca}kAe`J+@2;APUmZX%AU{0_X*rrL{M^S4Dwemr*ta|7n+yLM4 zUgy6^_Gs8>z z_;buIFXglE@b;E#Dc=<+#dswWHmC|}s-#*8$)Yzg-SBG)aW zTr%pW`zg9izU>hf{~p-)Lmz%eq&Z1m?LW1XVo8wjwaK2hoYz2yFG2P8pmv~SDZ()t z*V_H3cdQA=zz9KEuC3`R5Gl>KPb>U<%vqUa%?Ux&77rco3`fa+5>}@EW3Lb*8zvSe zt~I$dkwC#wXHQ(cYQt zkKkq2#LD&K!#DTkAzZfa){-E*bCP)IDDJz?h&MOV(XZ$TV@Fa2v6NVAd}Z}IonEU1qdjV|}RXYa6{^>Re? zxYjAfTNP|TZ@KtE+*okiK#nJV<9#>CgiDp~WyQvBMIbfvu;B zaRnuD=$t8FaL?tV006DtZl~*?UV{*_OXT?@p&@YIuYGP?qvO1N68E zkJ{-+0AX7Q!o8C;uOmMKJd_3vyg z$xf2uBOJ@{2mfEU18p5KEXfX}Z%G#DFpA@ONw5o!i|2PV%~cT6kW!bT@)QresV}WT z*$DwsTt-_&A=FBdc3QS#ioyW;&iN+dP1^iRrO-=t?49V=H=9I*5lFhgtGig!MDYND z>XVs%R^#DV@1y@k2j<26EAN0P(HGxnsg|7ZC#S=-Lf)9e=jzFD$qj=Oz9X%F!^v(R zR#dQBM=&)#X1>ptNH-jMIf#b?POsCwyED(dZ2Gh!VK+j4jAN-p66MPfOg;`IkCpP+MuuEHPam81wV@p||Ie;#e84qRTl6`&k z0HYY1D<9y*X69BdWI_PulgJpQUMb-^fgSHua`c})xsr+je`TS zr7dD49tjt_t3v4$>99#}x5j%WLv^rVrOLgQn7u}FZ~CPEW3>TD)N27wgo4u1y)@Ka zvC{%yttUttJ`h-xu(}zf3QTH2Ky1O-oiBj=M|4xjN?U@!us5?wOVE(IyL>Xo4YM=8 zr5t23_qKNfUPX#!t?bN)`bf4D{ZWBh6y7I_o z`k*5+oL-QQViM;!F^TXfix7h{O`t#ph)?-DgvyWHi}(82Rtpn31In%d6KZ(1bARAG z71`?SWhQM50R^_!~M0wjI&XGgMc}RaxyDzH`mMN5u=mVXV7NIEM?;K{(71L`XPqBtQ6(9g-LqP$qPQ(OUpM;OFv597mtr@B#GDB`?72_PGrob z6lOL{bo9BuZmn^Vn(f48PU4a*nFxXJ#8MnbaXU}~+j-$yDZh16%op0AcZG*W@VJU< zRyLWQ0|Ub`L!UcJ0OjWOBo}!8rXWdG=#yAr=8m;}Mn!K~lpM3#Bkp_(RQfYWII3{t z7@~9X64;Z;Ma+xIuOwmfrnU}ILQIV>ib?rjGRF2Hp=NM3IsAry^!*p0eLq7H*L8DC zZPP5JnEkV#8DYGT@c_m+V$hnnDJ~tqAq~~=+ybwqwaK~B+tn}4~+hJzjZ9VZO6P1$5}B|2E&G7Zg|H>u!d z=j1{%3!z^j%~d4h;X(#8c+bR6B5=si4|`{t;-T?z`m2q7RaoKWrStm41E*2 zoxgk3%R1PP)y@pD;is-AmyoP_;7$&G6ymcy0)tD8KWbbE1Lkm`Q2=FZdw&0`s0ny7 zB+i91(8+wPO~V73_Q~0c^&kN?lPXe^4%UeIKqI;jbr(ey5m)3`Pq9HbyiIZQt=_|} zLKQP?y#A6;h9vsh2Ovl?FJ^XM;|2B}UQ3F9SP)+bo!KwL#N049SJ&hk`hwcLfafep zIs@c%=20O4wmWvUQbWf3(ypFfemhoqt7MiiZ8UtG0`jPRFjjW=+)n;kkapce+qAX} z5u0o`=pLVvuxLJca3Ws)Wmu)LqKEykPhpYFRS}bsym;>YV{wpu6y7mI@<6S`t%(*` zURg@9z#)7H-OBKos301GVJsPK?e59RKRhR;AEoXO{yn>!ftL2cr77&6P61O%vt*Y8 zCM6w)<88ljcA(ho#Z!STHfu&}zYeWLvuLrC%GK&=EqB&{Pzq_YOhnMSAZFQi6d< zCsb((B>^E2giyZg_lLW(?pl}Ro^xhq@0r>25GuZcrGVJh;ov_SYT?bgP-3sZZ*7P%h6YMKxjVo}&w#&= z3w~Oe@adrAodV`xJpW#-@n5~GblZ70rYr083NnCXM!i@YvgoK8yp&qB&1ycBKZ-6h zOEGNISp9oK2RBTb95)rWQx3F6JDcmksqZ_vLsC3~AZcBlE5>8Hj+^H7lnl`7<^>PB zERU`H)(v!~_ru@_1(320Aa|pD@c=Gs04<*_Xl}&S6R`m5|}j+V2d{E}YJyb_8W;07=)q zXZ?p8=rN%uZzl?rWFXJViVt_pn=eW5(_+-W|?VZ?N;|&qGlV3)XKXQF8Ksd&EUY$p?(L`96Qy1O!t2#Wq4T1hsZ9!PVXi7 z1+C8)!V1BZazwXur;J{F=^fjfRrAb~ABSqI-Yt+}JP0Nx<|DTz7y!ko+Ur=xmx9?< z*+0zN4vb-a$k(6jBcn>g)a(zg;M%9|wy+kY<}EW1!_PirNUd!q^gwGV z=y=Ed)NVXalLR(f@Q7j(8tIxJOPgyoR?ALbGi*>AWMv!%r`&M)+ca|9*uC?x<0())ZfH~GZpO6m zeJtP^AmNs4>4oO7X65M%Wby(}L!i`WB_-%_=0seza;(c%*xy&mV7sd>349bjjc)O zz51ksmi*uS^qZ62eEODSm|D4nB*@Y4_Q%)ud5_pk&J~V-N30hy?T9?4akRF3F%>d! zz3YKPOzr%3nx|F#Z&Ul%59&5`fIce_vbXEobV1B5?1yS;RtvJxRQ5z4GUueyPEV5MtY*-ZZ*VL$##xYLUXEs z3A__&4OocC=O$#Bi6w@Sz{e3#gnxrB8%R&V=K-o;+iJ>;(aXm%c<*p?z%MBeAD3CO z75yzLw_YzY)+W$^@pGf@AFqg=*|nWNONdWBz|J2{F$rPh>_@Vm>h~#xD}Xf6ruX5( z8)ZcmMz@Qo4{j=EJv}kpDRX+Mv1$liM!!=0nGiI=YA%;uv@H+=1a~x>ifY1|O(w`{ z_CPx6HMeO>U-n8!FQfQc=zk+{?&fPgWz^=(TF>cI9{M=HDq}Alu+E8{&q?B@v`^_} zhEw9I18H>BFdj&t6%eBz7C~4axxFwYvsC`;Xw?saRA>3QUO8)Z;PNF&V+ zw{e!8ba8h}iJj*F&G2{USsxsRv_;qR$pg)zJPTv0FL{o1d6`dzRAT!+7RP)z@T+{G zd|iZvu225OQ>G)oM7k}WkJmqRC193EZPeF(oThEx`AM2;X)27O1VhtT89Is{rkZ_L zHjM25thH;`jX6|UN`;IpVaA%*+xMA%1!ojZ+iwnF$99(~M`h^@%EqG*jhI=RD7i#) zjN7s23Y%6Q3q7V{)|+!lH|He9e06F~=`1Eu(EhxHbNv}oN_4;3@8qVXf&jZvR|?R~ zauV(DR)1&7D{U_4VZ&?QZC^-*@`d8kBMG4I$8M7qdihb`z2<^3Bkk_IBDJW=F(~F} zm-WaSd`zpjs^ZBBcY5wHukDD=QpzfVOy#mK9mIS~TexxBf8fxO*fv_0!d;Zx_?T_q z+^k{Io5--PuZGcnuE{+1*hM1+{Mx*%{J+n>9Myl@b$C>lj7N=~{|yX}a#|l0x(PJy z$-UkE^C|EvEA{~VSfXq7$6(QJ<~Y|;%$Ew(7^u!Os7q-)AQ6yk>}}!52(RY$pT4pdA{Rcg(Z;%gQ|2aY6P?*467p?p(t;tOZoonIQXvU+Ort zGwYUA$AX;gd$6fyQXn z*6w%h5wC&Du^gLl3PdC&{R&6)XPCy1-vSg+FT|}T{rm##Xw+2Qw<^2dMC>ND#CA76 z^0YKl>xHm-)V)DFtD4;?+kG(p3^@MzSFg+S{Oylb?R)m@U*H%DYr0CPKfr=}j`~`S2y01 zu6M}2bmq~Q`4Ef2cSVuJQ(pDvdk_Peuk9zSRldI;46v-^)pl!C5sw59IQFg*jaXNXt-Z0H z5?zVOp1Jqs*I^p5*~4RgU_+$WV2)<1N7yAMaN_AyUDKI;)$RxW?m7096Q7Siy2R}a zfS1I#T{bYr82!qIad|@QIgrG(#zNjgPwc)?q6*L(bMDs7w^8>c*D;l?S4qt%R!nPo zJ(5-btE#<(<4hMP{HgvYub5)#bKRY38iP^errp6Nid#-xdlF}FYUqQ#M4F5L3rMq- zDkU?Ws@^|>+uUgifK2-tMamsK(Z^uvMnQYRc+r6E%tUUE-=V&MV0`AQW*#<^#6N!u zgjl7A84DH`K*aXX*SatMOX40~sB;^zH|@50n>ZE?6iXQ5emxW2@^h5Z5XMvOXE0iH zTU8DMz7ah(V9z*or^-Yyuc3&mZ;UU!ibbobyRX191fIbdb_)v)_wYJ=?Gp5mb0KMQ zw=>ZQ+r>4vcsYkz9h~}IeSX&JU+|mjZw-+baUR|B|F4DM6V5-Uk*1&Q0tcd4CfS3a zD$S3qk`)}kb~TiVI1FZ~>3}7iz(xHWv#~%zP~zeD^1-~{iWuh(k*Q%+Q@T^lfzblA zjSI0jmwJ$cMl^Ns<+UTX4P1Jk{T};ADVePvnGD6?T?Y(wQz6ZD2jKf>b|Y&R!ch4u znk=p`pMTRrYvurT?(8II2M!qw@umDR3Cd}IJPBoO;fv3i=x1!7RQrB>FQ`mT+F`Zp zhT&$UZAnz?!4abqKi6025KH~N!OGZ%TM744928{wOF@Woo|eW@FGpc$FKOS@DfQY~ z(a9J5JvbokG=;nR|A;+K;fIO1&`2bDvwM6Rh*Zhe$wzE&AEQ1m7papK+b|@p3EC!g zs(mfve%EU@d)9>$`Wt-Np7`cpL27%ae(b~XnedNR0RXdm1IC)=#2b{~LvJPKvc#{#65Lf_&m(L~{;|R9!^LGMw@)4qT!|T6Km#h4I=L7;09(8>Y zweZ3WrV-wO?16&bv_-Bl%eF$f`XVpDjXP-q4Ur-DL%j2NvxYwYzZKiL*m_mgY1433 zMSmyXFCut&tofa~m*(HzaJ3VaCSrE&!CdnEttfq3nvtEYAx1#BfO^VE^kk|C|ELLR zS&JNly>567eAmLT{;?kmOrM##gX=a==hKR|m5dxIb^s1kJp>7vC^Z4F$!sPbz?6Rx zv=X34mYV+8ILeiV^?LZnsJsC0t-tmH;^1$s?T>}Q0k+`1;GaVY0cPro2>weqhl)WC z0cmlxIea1K_cP=Q2Ya%i zZkhu?d?xLj9x|=J!+^hpE~AhDslsLa(0&Z1z4 zUPC|zY8Ajcw_0H)g)j##NE&jqxiuP~InFX`SH4I7{iVfKQNCvl>WzyY&k=IX>UW8^ zGFyZJ^sQw%1uF0Y*_ssEGl+c3|5046$pMf6Ii0nl3pUY*Mi9n=ap3cz^Fg^55Qnz` zm_RfuM(*6x34G=;80Q}|;s9QEfdDfw9e#N7LXM_aVmKjmz!!+H!i<5cIKY{p0{tKk z_({FqTvgqURoc$(2cR7^0#G8~f{9AiY%TqM(C^{tnl-WVf7z}0%;&3o%O?L(w=(-| zey9ZWEmc7Eo*~GJ*7{tO>YmkbjLy!t9M&^^d*FTOh0#MWE%6{HR8QZfIKVe94{98C zf0EugSh5B7OYgu8IVMNW9pk)hQGame5glXon4`fW`{WBuLv`)%iB%1x#-TIvP8s1& zXnoI~9FtUHx#O!G1-~iv>A@;GxYMiid${j};eTiWx<)xKksAZx>|23ZB#;^g@*HC} zkC0U@64lXh8Y$9^c@F2ruyhpMnYtg<-CiCe5%TT=sDK?4 zm}&AtjY+NQ0gb@tRzqWKd?cQmgU&UC{a25LZQ|6W*SsHUJ^&gq4Tm1fT>aa*`nIf? zQGrclQ+TI4J?W-R>bJgI28H<*!f#WK^Zp194*X4tI!617G5L!2-&$_FVRQT47#w`S zXMAV#1Z%!2bZGMpauVX4=jS|`sI{zys-cJ*(ebJW6hnb90BH|4@{N>UM^`&>jEXup?FR8k)gz}kUUJMtn^+mP%%P}O!OPi z2=+X^%D_`lMD+IqW&$`1Alpp%^ZP!_Jv2vZk~!&eL%Gcc-P3xW4-8-vRjq^ zg&px(PXFmznyc~?$H9eC>aA$`yX65g^&`)V%8zJ^eR+0{+P4kZUW%9IP0$wM{a+P) zev4EZ`GH@I)><#phbRr%jlTJBQ}vh{V&v3V4LNDrX|5k2Cvn+OQp% z!V!_G@#+276!j7~#`XF>+`-D)%3Q{RTJYsNw+IIN!Vy4cM8d_8iL8=XNcRGq?l*$h zixjC4*-QuF8NPf`2^EM>M_OiJ4plJWUqVb&nwQ+iNHR$3Bi`9#PGAlPS!j#p1N{-| zCwv+Z{5%_C>bxeg3<3De?;$|+L*Y)2R~qg;{_1gTwJra_DpIa+cl=vS`wShjW^e5|tu2wLn!C4Sr!9RCtt)hd{$RMtF@XS7Rp8gy?)R(^tI`zZsmCF`;6 zOa6g)+FGFD1(?*)mI&)13s{5S&;gQN5PJPHs!i4c%j$Cm5tDttQVxysmWq33CBDy% z5<>2`x=euXiMB_m-o+Pe)Ux+6eTEycDc>pM#Iag)FC~EWB?4mO!*tM>(CY|I_BY=}1w-g+Ls3bpqOGgiQBISlqy-C~Wr zRmKcz^%*gnH}hbn-uil;^X>eLbXf*zC~tTsFZo=Ry!h`>+{)HHMm3oi()_G4JduKT zo-{}?(Xi-9D`fB{hX0ccZ*fSBd6IOS^-9n6CnE-H?)igS4>W-rqS1kr`6?tffLyk{ zYNNl@e0~V6oE!%);CDg{P0Y;90*tnGTYa1D$VZmhevBjwE|Oj!`!D;d>WqS5bUOpw zk_!&*>lhvAFp+9Lkdiah*|APg<+>1A4CAB+lbp%V zT}$-HuBuaUg|YM{0d)zV1R_m~dLPjHNv^Kuu^-_w#D2nw3B)Z41owKeOh}?wti)BOrS9f2`!1UwMEzWW>7^)eTa}cqOpgv z_s{dh1e&mbLREM6B`J$9x>Y)@l^?!rQq)_LtXEhRhiV(vx1^GjyU9VOooqJk|77(b z$DWcFZj34Mh>|o8I$9NRjK%Fu7nk6oIHou&(XkP(c+m8-!!hcUszRF;i)#(<4UFQ8 zs%OvLvb62S%H(00VlPJPKBQ(a6jY?1U5(jLsr&r=01|89T~UGWKUs9&{_rUqYJ3xS zs7%XhsGWq2OJ}+sv?*Uxop-8zPafJvD^>m;*`u;NN}he#;s$xu6qt8H$_rQQd@|a9>52w?K3uRxHN9ic6U|hLr=_FnCRZBE}4>fQbPMg7kKPPSsm8l*Vd#1gwBgA5GNgVPvg8k;+kmX* zz4M&&r0#sie+w74OiDa7wlu~J7-O(^0%|6)LdhHwA@Q7t*IXFHgdy$f6|*->*q**f%AJomSUFy;9RE-y=rdvZedmF2$3byj-e*GRuOe^lB%PjE9dTTd zWiVLI6ikHjhI&vl4?v>xxh^NZ?W-Ybh5i$bG>N`erY`a=AODzXVb`*u)oWB4vDg8{ z!sI6#ogsavKs97|pS%9Gxvgu&uya-@LPso1gCZM$!sJm*7~s*^M1Bx@#yCLodfzPp zVx2nuB4uILDZ^nWqb)XDWLRLOmbv1)lwUcKzuMDiuNZ8G51J&=PLhK2LjHA(Z@wka zLo)lq?alSsd-vkMAP-Zpmt8`3bl|3CjxF94#grxzblK*=I$b`gSLi-T)i-O^$-KFa zvg61}3U4O;plO&<*v<|G&UT0wUv?&|%jA+M#jgS`WjZj0(1Mu_=aPp~YqUexf>4R4 z|0J2j3_|2Yt-no9N4Q9ppJu*xKin4qN_!H%AWYx2>Ue!?K&IXe(8S~plCG?3(W-@- zG9@DQOOa=90&f-4#2@%B8ocyrVfGdKodbQQAB&@_>5K}3N25u47vr^OY+9ybEanGI z8ue@zHBq@82N{S>o3E$urwS%zvQ!`YL_7=iWRJs%{f1re6gGM<3jBWP%OTDuc}EWoRn^j8_Ep0$q;Y0*_Aji7C@!Js$;i~3#Mq3Pvsp(3+tGlJwtnp zqt^Id(#`IbkoqIL1{2I4W@T3(LwY>+8^G<15`58v5TI3Cwg;r*5Ja~xfZd&0 z*NMViiN#4L1lt_?E<)`PT~!%u<>^cUC>%nZsVW=Pn>X?i+nD7Cetlf2F&+r&CoDUQ z-y#o8LZY2zh)=iP^J3@8T?xTryse_V1Eu(-N$09dDB+zHKe@7W9gF}Y+$a_&oDi&7 zh=(t?1xjw=dT%5H3nU{gk*`42;nh{Bv-s%n6i3_$gg^YXP(`42lbMbn(!F=zr9zcF zPO4Db$MI@2b(W0I-%g54&uO0eLX8Sygo_-GS@2?&Rm1L7Wp97YYv%Kh!Z~L7fiA;c zVv9){DYeVap8s|v4xPKj7B3{XJ=B(M4&l*Sji-Ucg zS1Z`;xPi}qDHCW)U#qmMr+xH-x~^Xq=5or#qOR0Mv-k2Iq@)0{5-NA*mK4^X+wNDL z>{j*T9+jt#&*(Q|V;E5)sq^~nZ2s0;ytU>tcZL#<4Y{1X|9Ex9I4|V#;(w3(4jKZyZCYw;s*5q#cD#*(DwUju=wEv@$)0;< z+}-u|=(g}^Zl!|r>zs~7Rf-#uCEh5=ZRh?~{B@$=9{s|abN=GFEW{_#IyZZZvUqn^_p z&H0jf%HPqjb*YHBRs-*fs{YPp$Kn`A6J!j|xdsJMnvPdyWOA>N!*V^c|}R$W5rWz2~bgN4p( z`kmpi25(rtMfHesquC}%Myt8@Y`R9Us`~6}Wrg>DcB?~<8GLLhf*RDoU>i-{Atv!x zn~$e+RByodxeYT|u;=>38&-sYg;6*!;5OxSZ83A&Lzl1GC*!9p$Vq!Kj(>M2F1T+P8J2Y^p&rM+(?8hfZAkzX}4sJwS*EHIxl}m#5CPv1uq$b z1Nft_kB^eBEs++y$u6h1Z@8ETIQd!MejvAGOn8?xO$%!)`omX+rg*8;=;^O&V~Yz) zv{yfhP1+_S)OU$lYv0Q8V~BvmOvxZzrv`i@=+##{#o3<24Yv?F%mwzyKiSQ_@s}KZ z0aM=Ds!f(6N1yU{FT$q$&*fRycx@kQ4FYu;u~LPi=}IX{@ndA3y3sXjPx$lV`I`%m z<+1B7s-nMQNt1Vq1(RQ@jCP|`)~Sd zxVPtPIbI{2VO{b_(w=N!NR0J=-LHwbq6~*HbVFXLjY>#xM@~EfJP*wdY^hP=NeH|5 z=2(^zSqQqUFpbS+GGOy*iTgd{H?JKgBxPhVvn9=8gJ9)Jn0v2r|A>s8e&!!Ey%)Y! z)a;wHO!vnSKj0Qcto)f8)d#DkSkJ+J-nrrCvZS5iKq+?(l6VoPhoI*Wf7V78Z#w7} zJgJ@Sa+6Qjc!Y^>N@wAyLO{W{;rWkw5h8Gn8wdnP6&PEXhvl4i#ypgnUmR&+2BO zV$(GbyX=NBYm6ypVl_Mx%U|N|W(zHMEVaj;YBMS99`%ck&+1Wb;?gYSb`Lb`tEcb5 zyts(f(|vA&xM5#w9Ymag&JX<=ix*{jTTT?0C{z-EZWPFH2*zrsYJy#bv36yZme%T_ z42j>m`_h*049=Zan;`S2`-1y4nK+83`!eM!F07YsXYY;O{FQIVL?bZ}LOtt|9Y>^$ z&Uh)hSLlvTjK$M{K&F940ZA1`45i`F2>I2#i0Hhzo<39pTJDj*q5tWOJy$hT;~&Dv zbH2qLlm8xBwi(D^4E%S(6IH`Z$wE~eaFL+X3aQN6p#l^AiAVKwOigDFj?Dpirb!MI z|ESF4n;5OW27zvN^f)8PXqDCotrOdBDFu4yY2CLr>JC^i6wQH`t#_Ww=2+fZ5v$*q z{SWDi9@V#NIRCwJ!px$sfiW?`d}A2axP4Ns%yxq0ibZo9*kc@|Bi^<>-S?xKEU-Sg zZHJ9$NIVZL_huItm>Lb}oa~wtu zs={AX5slO^Xp`2v1uev|uj7gzW5pH(xA`}WX55ZjQ|Nz&di7;+k=*7PPm1We1k?#i zTSrRL#M2!`z3ke~%8BX|H^V<))PW;xI~PCUJ^QCrDZb}TZ&YQK8Mu{7tI4a3u*Z2n zETD13`dmB8I0)@u)mMlYj5R36)HL>g^r&vB@t*;Sh4Ww^OS#6OJbd7&*q)341Ae&y zE2Wso_S1(i7~jEw6&uX_#CxQ6efk@^0TSn+tf73jT7d%0mF!y`={&#@Haj~2i-lvr zjvRh`$$@@F{Ak|~=JHZoK`zl^2EO6m5}I@e{0YMJ;?zn>|4N<*rjLjzzv?(pYYMDP z&Yi_{H%W&J8R?uCcFG3b6Vgp-%7P3$Ke43^jF<6$BsrqBd4O*tU^ZBy*YSar6zBso zP3oC>Ov(P%reyoxxtA^4$D_U>JN z?0Ib+h88{Gha+B@xO(%7WYm(HK0(-Bt}n#I@d6@bk}k6eK-&W^Z-s*nH>1eW{Cfp* zl4hhgcUJgdRk)1LRmgbPm@hVR2us^M8*D(2)@IS?#4%M0G)tqi!FvXBq=pGJ!4Qox zm}l`#lZbb7`&Ob^nNnZ5w~A7wR!?kP4pMzw>>a~y65<)@3;LDtD^W7q|LHC}4|#bg^sur@JxI7cFjVbu ztYoXGJy>G68frHurdP(By1A4urkqbHQ_XMBiQC9hhi%Q(q?f2#M~CG)dUoF=ofjQ;Pe2ZfZE0o< z^X2jDjFA}6o-!Q0)xs3{HTMt$Dd^M=Yf8hf`TFH?&Ybd5>;UYUZ(uuMC1c1$(FgQrRL z7Njg=t@Txyk5}pw&En4JHQo!|ACRroO}F@8mG@m@-XG)?PWK&oMa#X}el=JybUseZ zh2~EM={h!7?2n&|LM^na9|YM?&C96uK}LdWh*jR$?x}5Ew`9zsgGv4|rM6 zd5<@`#oB`bMJ>1aFiiw~kdIp9zyaanMG(Kei5%NXW8nD64hxaq|>CIeL|kW5n-{A zqtI!SC`l2)t-+MU9)!g`)7b{06P@%kP0Dy*oiwxHZJ3pks!!}+FB~G^|0aEDsX^XV z(74DVLVk&~!M5uErKP-i6>u}uisc{dd5?5cK>>?X@gej?-FBCTT>qG$bV8622`bLD zd5TTHN(t9YVe(`7<>V9J-QLt)PVf&6^Y%tz`cgw&p8EzQxsPxgmq zXdlF{)@9(|HOn^#%cKXcMYoRiu+#h!nI(qaqC?6;ss&rD@uN}S^$_QUh&Z(v*;|dW z0r`!`_FDVmT0M(B?)(`23MR*uxCJ74)%dkR!wFMkh59L4y0*FdeulDrLdQg%ySkMP zo6;i(Ud?ev^X&U_MU^bT^>|yrK#%i*V%8ua_Gf@>fNOZAcq4? zl)kHEE>myefPr4BknC`XsO-w6C!?{y(}&I*F)H3qX9Asguqq$wU_0toWtYhVbe|wp%H(`TwY?Yp5@b2UHRQ;4nU`c-JQMi_=4DWH{}(}xk{D(%$Ya5v(m_A4ayUTj21%_C{ptp4 zW)hNlZpZ~J^S<)kD|g!%pDi+ptEGgpb785H$E-`iWyzBUep{|_x z%~vStwQHRLO)IY=DV)B$G3XFItjE-VUsP+7-OiT1N=3$x`2GO)gL6vq>Jxnxo`m$! zIgO|3Vl44jM;{G9td_DN4_rA~Qvj9@IYRYg18a>Bx4svLJ6EBs4tXMWWX#N!2Jm&iX-IReISZg@cCqHuacUG56W`Qn+knkMh}1=H3G zy(|=u^fP(Z*^-g&{!PWQ<@BR_;f1d2RXYJNoiP}nAM`W!{(%iRIS&9VA1%H&W<2|C zt0P`4U=xsl6evT!*z34*TRg%AWB0=58>N=0<%B5bS_yutDvM5|ua473oFn{`L@d=q zmn1lRXK0w|n~aAtCy-khohceKiCXoD?5JL3nRoA`|5W|u?`^S7wJwI4Y0L3jd}M)T zc|<>j+TBqRw4Em%T|38hc2J_k-q4c*)Hq^cZLv;Ft@P!}d|85Ehun?|pXS0Nb~?Z*hR zA5DwG^0<%w^eNW2eR_wY-{QKRj~5T6Y&I-rnvSAwcLiwp(iR8aq;L9t?3_P4Vh$TC z<6YfYTDe@;IUC=|6IkKZ&U1WQdQF=+u9!siC&WT?tg2AsgJjTUIMPN#I&fbuqvT#s z&ciPPmt)F}>jXgVhb8g6=`CGG#1t3Omo>OPypZc3|A?ia)t_dHKrO8sBAe8 zJ1imMG+Y9$2SMlp&Jz7bB~oe7N+7Yh`F3ucU2 zSeuO0-}+*IpwnUMeIu9T-txNnJ+-GUT&he*^_a;KqfBh^%HUX7s{&uzIZh^;3AN`g zQSAWH&Sm=wB^fpGmYQ+MyVf`TV3l};X)xc`v|{{8^H3<*=e&QRkJLG{>o`xxv9lGJ zx)oUutu*W5oue}(QzYXN-9MGL@WJGeR1Vt;Vuj7ic7xSWT8r%HM$e{lA{E6C9vIu6 z+d85J_ztzAir z5Gq|;V?d4_dYf$aRfSh(^+Yc(rs185N`^-A+c49tVOfX%F-x6w4su$9G zr{2!{m(SO_z5xgaA-xa?00Zz__9N;)yE;8yYiKUV>a*PB1Ifpmd8{cEY zN(jKC=|qC&Ntw4cO9*?-w%r;{0^uHqueTy+?;VWUb)`=kgF*E|J4R%t9+};el?HD4 zcxHK5>H%jCM?C%jMQ%?4Be{bEQeF4jhDHkBe-CZ18vtWgxxaV4sS6)fcA!o#)N1@u zxJU;f7vJKJ_B4!MIbV~bOHj`)RnN4#S4r>=a~jdi7NI;@A{z{GHiO|Rvrn;sw-S`Y zV(ToQ+_AQ7DdaL~*C~I`iz3YlQXF~B{~o)Y?I4$TTPVV?6bN6yVGKYJDmE-(N@?-5KKCt##Phq%#4pN^KDboIh=42JUaq3)v1Z zhP=7e^d6&05eCAX2*IEZCd^L#ZZ#oO=|`9e`Bg*>?_^wfN^V}Kw0;rr5;BjT)BO7=Jwq}&r_-Jx857|!x(CocxH99h z_>HCcj-{+RlJ&2fm)xj;d&7Jna0SFShR=R%sn&$Unpw~w7~*C-r4a(Gqs=> zkfj5npqJC8=ed0TZvqY&XI!Y$8D?D5we5oE+&mB!$ln?t9Nxb^ymDx$f!Vd(ggHk1 zZj|NlJwRJ&6B(!O#_CSrlT!+e%s(#N%8bNW4=p~;P)`L#$@X%FKI!K#tN%}2p#O@%J9BYeHdngf~f{UZ3CRHU)%-V z{I%E9p+^W1qM%fQfS_gV^m*Uf;(M2bsATTUHmn*JDu4H>wPdkvuPNG_uPjWr3zmcymk@oOe^^HJ;U|yZS zoCJlMaPHo4*vaH9Z-R>zpDNowJ#d&q<>|TI?N+pp&a%(%9vwxd)TwQIbgRLpi%S z^6cI4cV`9-VyV$JvJxH_uYYs_Gg_`=E~6goj}a8zJh{=hTqT+8BcUZSO40u3PJn1* z^bA0X3_xQPFe1^!5%&GxdppFd+emW~N`Cn5gK_MH#ElR_rQ z?LTMPi~Z_NJh*Vv!*c+ZhSTU|r1-%EDaT)}z?<4JT9_*Hql_hCS`+dgg`F{%ZBAhT zN#|P4ZZG9}3q+ev8N?xtqlJ?5Mo0f;t2h+}GizsrO&m9nmTx@WFv;+F7pQMf#lX_n zbF>=V5dZy5vM;A^K-pRfG<}^SSnN`B=*ri=nRPQ~;$c?7?}wMz#dO|^wwYo*B3>T- z>=>dN2(Y{7DU517@T$FXa_dibO~~4@wnP;2NY^O69Fl|tiQ20Y1)YwXR0Hh%**9KS zlQSHOb^F(_RZ!`yNs`q^Yz}gX_P-Z6%qjFK$%N^@#yOZY zOjOC~W44wwh)3>t*uNrIzKm{eZ1IiX)dWmaOvc_HdTeOvBIs&#I`=?1l8s);7Fn8s z`I8|a=+y7;OKN?N_V^o(%O_>FC>DhdV7zt1;q8YG$&+z3Hd3kCHF#x(C`v|$<(stJB3@bRGn3VqX=q|H&aHVpe_YEyNy5f1W(2?--*sy>@)onvHL9K z@i3r)x-f!d+zf2k!aim);ODApg6-_(7H5QeIai-84~{+P@jc6u;{q>45t_T8a$$1i&1sV38&Z9jtksxY@b4JHF*E1z&kkuc-SCvp4z; zh^MG7aCW|_{elJfD}?HQYGu(nBV6MeK1IA?XUVjR2q&C!cL^fv+Sj`GKd8%L{8?-j z$V~sT-B-2XUKI_jrIvmaj(sQ&d{w9RJ#}dd3rMy0@c_H_#<+n}7Q_eeXyC7nB}O^zul6> z849nvKPGr+h(lF(m#Y_x$ke@uuw57-8w1lsYrU$P>Q!$Du$mF2oSOa*F;Rz|tq!Lr z;1QLHqoe}7_VO1HC7ou$x|0^p%k;Ba08>^U2MWoKRNR&yZ_d<~!jc${@)$^;YSKsE zr;nuuGP;x2wQ@^_MtR9ZU!*Y1`esa}xB)swy!};B5b2xkv3#E9z+i3kTf@O=!L{}^U z;8q6*Wo{!>3%4IWU)0}q;Fq8=Br8ZI2cG}b!ENr5od(FssS6_gcZJ3L4Bao_4pH1%h&bOZGMK3JqyiU20o`2Gq90z|a zuszWo>yrGl-qX`1c`aOPiWeV@aSRyI%v2$s0d))#dm}?gg#iV7x6FzKwp1|O5s~Yp zg$r&-?FTQmvjjqdJPdMC9Wiy7sGKE1N9n6Y%s_-@cW_ObHTyls=a!{XM85v>AO|X7 z6Ay*{>m)t>7?c@gvsuSgMUZXttLwTm8_0Z==Y&=Jpqgh`7M+yU8;gs4n?#wS37k z-x*V?jW4V18?>~O!`we+^pyb0+F|hpp$2vu7!OdOndb)B)*`;>YeG&q*A=b4ju`h{ z$;Mt=fZ#a0eX%p?!*WplH|Y5jqV|LDbdX6rP89@2KzH{XI~roPTJHccvW+PkO}T;) z`M-6Ygy4i&JgC!|&Pxtp{p8wm;18cM25o&P#{hyCsj7R!z$mD|&OETQ0f{Gt~4_v6p zK!IZ-VCMx)^vMF)Ma{#t*+=I121sUN@+-EL=M2r@yGJDxfM3t`S20q8n&^<}uL}Lm zDoy>qP8Yc7@=cmm`l0KG@iC{4hEgXjCw79z;h1MAzY?^K#!T?Hg)Ndt;tYxfiB+!h zkyL=uD1Y%GLPkSuXrh481mpKHcWV?LbsVtdUvk!9Ed zIH?fN1DI*GoF-be<*Qbn)HclBpEBm+d;WBc@Ig(^u&ZLh5Qs;>O4l`aRkOd>3F8{@ zc!YctDaP$D!9X0e3Xm789KIlYhWf0iEccGIm`yxaN-`=ax~h9g)>2+ACoPRnSCMf5 z^6!m$`rg?@G@1{23gnDuNW)UC{xa$4KlP3ZC+2~%Uo%j*EOiaRAaEKh18CfSv-qL7 zy;927tR;{M`p7if&u@$w=G!SoNb_TNzJJ8@O}y1D$#53!sMm>}Jy(^FKY@8Lf|{D$ z=0TOQ$q)ix6vW4)alkI6b?aCIyGl|^xOr9nZ;3Lj3K3d1Dr0@g31Whx@yg%I+#Ab@ zLWQvXb*0HtB2r&CP{+MyhBn7$hD#kh4?VT(a(zO{oP|%#S6?6fo6r$Q+?Boeo*{HO zF58(IIpdBvPMq=k`2POl!9Cphd_M2@>-BoBHzAXBN%}Dk|JUu*3@4o)lwQs2`X3TG zImq@17}ju0`*mRY%^pWBpHyPbmW1m9f7q{@gmUbepF zQk@6&X2$plpmfd#bSy1aB16BL-zBU3R6ziR)ArM`33p9_O<0xVkery@qj8FCU&x4Q zT+H#BhJLr=7}N?N91aeuY);^=1>XdsUIfM~OS?-0v_&22kwPwmG{-q^1A{; z6uv*RQ(dLHfS#7SaoWAb06a|@;hY9k3#+zs>V&>y{N5XY1qO98bnx9l#B}D;cQKSvvrzn!#VV;N-s{>KsBe ziHjkHgPZ+!JJhjgQ)pw6%$1QD$m{s%U{!ln5kj8f(NXEzk=~~1izhb3-4Fo#fqCT@ zDp$dCsfYXd2JK>pc8Ux9*ReSpo;JEhx5fFrj~({3nCnqT2hr;|WrALad)Tg$*52{~ z>U&6Pbq$^x2JNxau4a}Ha484{=!bZup-*yeqT2$TvB)Q#(dv^irOF4iWXv!gGMGBa zkW{uZf?Elqi#R%9n{pP;7ntDf%6tlz&UrmA%s;WOp>Wn{qzRP?`Ki_?-yO3YNv6CS z3$c<4xz`DRo1D&pD>NA{;Y)MoJ00CePN~sE z!z7S}fL*=~ajQU>Ctf8v_bdl8474$NIB|y)i!MW_Lx)NHqY~eoukT-S7_Q-|NhUHd zB`-az#}*+(7+Rc?o`e;I#x)$zO>KS3vNhs)#Tk0C8Zah(V##Y>;JcfQ)^Pzi0UG}Y zxB@?djj}zqR@PTYQ8W2y?R&Hs(0}yFpas;#x{X!60@~#%8eW@USO-dMMg45u&d7P6 zps%)~>AvvNWh|eMBx;PU>sOhH*GKrQj8@O~QNxyo8(x|PHwVa`?M=>WKqkqWK+yq0 zEMuLZlTD*{pz{a0zLftlWFUsV7R8J%=6dbbxO(UudilO9)Ho@P@{-wru0 zpO0+KCa_7!BcXA{(XRl;r*#w}W}~|^tW%8dW#ru%u8vLjf8R%nO?OiLc*jEeQZrNK z7_<0uRGhZ`y1vzzv|%Fh#eX`cid=-!ZM74xMP!xoabfjO(M8r5XAikFFqL5^fvOA? z7SA)F4w=RF18uL-viubuTs31MoF?(+ps-2!~Ve!pR;LAjQHsGKz!QV^Rw$e#yr@} z$C&*8GDx9!;ESx|mH%1cIZ=cp9IPN*17YZR)rRNOY{`ouW$R5sxM!yO(4L@~hT|(e zm`U2aX0nNiUUgeUeHHcfH_E94MtZ%V=`2?J08xk#egw&No}gvE*p-z5Xrx@&uh6~QebSSF zp6E~;Cv9vXEp(>FMI?&wQ<6mwvusqj+ULxvCO+@yN#xw3bTWKLxh(ZN=1ioP!sdvu zQDt`tM?YU5=q##acGU9oN-=%%B=$LZq`|FX?|R!b-YTty&>%=Lc5K7zFyEqh|5PD; zBb&Lb&Z>m&yUlCkh=V_dLz$=h1SvWbwG+L2%vi-OvMGUSg8b=|a>zaKg#hFXf54pl zGpXgDp5l~4H+tWhgJNr_HPsK2?U+yb79fEfF&xNjN;RAo+x#X(6E~^HCc(T=s10;% z>D}GFkV1Fl(9ez%eCyt&1j`4L#>V7_C&^xPBK!?Bj}h@DWW>FWJ+U_+tLE}HSu*nw zpyVGKM!fUy8ehz_Wb$Z|^=U8Avs5s&wPV{EdD*pDOEd9%Rz)Ccj!5Di5WGVc`^0&^ zeAZ;}!5t_7ht zVCQzfmv{Lj6O%{De&TzyDEr=noDB9=nh$(WI9t~JHR%jna}er?>qFMJ{GC#4c9d6X zuln*^=HCFoX)(38FIc&%I=&L}MZ$q1@YptG#f^zy1Kj)ohr4TY3dU^KzT)t;v3h_D zq%iZT3-bzo>BY*aGpA2RNW8`>=5W{f2D9$QF87=Tw$+XE6u zkV)|_rTPNmsvtkk;0Y6_V*iKSH+2&rfn{d2uGY9dLJhy`F89GUDjC9i$& zVHO{}dTC+FfVnrl|==>w36V zS3?hV7{0uqvSEArxa^xa1kq+(0$j~rcj%=2F#G)hM@9%|SzDciKM^5!BA+WNnmmA zmu$hdM~(Y#zQ47SADdpp>Gmn|&(toaR`&g;tU#9VWI#{I>d#Hs1U}l{m3~jzojAr?y|Xyf4)5?K>xp)Dt%T7I_f6h0UpB z{wxy$Fj)=1p@;LijjDRgABGPH!B2kToNKH1@A!1hJOYF1aQ#U&wan07H`?K5G@f@D z=GJ2u_e0DLU~*n9FNS=q*86bC<>7WE0upI^oVsu>s6P@TH|-{$9WThaCTj^R+EU9J z%59vF-$y?Ow-PDdD*`7CHYFW`6fJI&`I+Z)vkbu-g!vlVRFc?KVB_$Hx~1iiB!xPD zWIsOwuFx!lJ*846YdkimENbyT#wY)*v!IOn1g3 zovzEeErhN2^CqG5(J{L0BH;&pHV?Tf9lj+KtlBPBV~r3R3l{atDfgKusnC ze~5qzuRK)AABm(PgQEmjZUNs)ee1c`m~$s>GJok{B$!M}Ic7!8I;jEhZY65DhR%@8 z5KI^5Q1F?opcQ z^Kzbf#XVBw$rh^65W9+B^Ww#uj<_(xRW zgAPY4{~Ujg^Ac$ke-&8N3y54Id=;np)-Qh;!pBMHR9xhLqUh0RCD=k*CR7BGM?CGH z_WW%ZgEBDIPRn{m$7v7h?e7B5aao0OXmal@h+q7lXT$?o=v=O$_TOEP&5%sqbhMqO z6jDdzqxz?pCW?rPp#wnx?q*(^bzIbX7oj6^YDhK-xTW}K*os~Kpob~xP3pFe#Ivl<`_;^4+w-SI9z03RR0!xkK z{hnyRr@^Z&1-ymHOpW7_^=4dlZ~)vqT_@dz$ONv`s?LYbppJ}g9gwoP!cD4qSvlM8 zRXeiS19U+cTlNspGd}2Ic6W0y1UijLz2`nP+^>PQ2|xUs>!{_>TeI~BI{vR0ph2r~ z%-5ejc*cNJv4jbcG)A~$)6)ecKd;A7r(EW+x!$)5*&DV~RSN+ccR8UAt{1xTSk{{V zvscU{j77cl8TD-|qiPD@^;$9AW~UV?tMu9B0Ely`x%$z6-C?-3=j?82jOx9pt&P?3 zMe9(9x#qutZ7mu8kd>SOYzk@~5DQA!XE#MAoOf{L&Z*biR%D-eFU zdmM~^`_DBx_rQY|6fuauIua9@?+bpogj}1bDh^m>i?#ZPHup_Ox&%x*ye|MFAnChqFpOr-XdYgLs zqUBohoF$H((+WC|g>uK0=mFBJ1k6lHq|13Ei{cG*Nz*{X=)d59X4A}0Imp2xHg3i62B2N9; zE}wZmM!>yUOZ=@sepN~3>~fyiZs}~YRQhDcH?4@{`%Jc~Ro_KiS*!=+6mJ>n?ud4| zDm^|ObHnS?+ME`Uotn6AQ6%aLZhLe}O-SXW%$A02*1vXI3jOKmuxoC*x4gmIrR`ow zW`*S&A7u3ws;+5UX1uVKiM2@)bn{NK?6VJGf$o(Wl~z2(Vi<}fgz~kQpnzvt5eU4P4cZLqYj*!)XOysFhNM+aovlmYGrsb2oc zbTWh67$m2u(>P14%wPBu&^bD>h2#-LP0!m9AH&#V^D47;C^a~qVte8wuNnY>b&v1B!(||y1;N6Al6EXh>?`ETmkU2Uq|2j zD_d2%qdvsmMkI$!H6+$amzOQk`^$Nm01mJ#pSGF>b>o#npZ(W0sq6~| zEvV0@!xE~w!+ckilnO^{E@nd-G(R2Ro+odZok?511DuFit4dGSRcld}nq!sX9zbeg zE*($Z;r$)ZC#d?4n@hnWy>~NZOQGiQ>4TL3zzxu3r<74<9mgM~oH*3~D!#FAcqY4% z=!xy)gLGN0>tL_QHmp9aol88?p2|zBd%Ecxx1krF5I5GSn`mDz%Uo*JZ+D35cWJR} zY~WL-?sZBAz7)OiLONC7Zs-ZKq0~*;Hf^d+kTw?E#%iLi3TmQNf zP=tJH;w8ULpth3)bLMQA@{ibdQp4jG!2I=Plj|b(9=w2adXN$V6jO=SJ$~#r5T-qV z30;TS<;X>dXC4)cQ!W%vD3G z8tQ2V1-B(f8~=<<>s)R41Z+nEAuAnicAfQC^pk7H1GR&Bf7(n*wNhhOBpN2HD{HyrZq?>_-Uw6M*}e^9-z#+L@` z5Ta90aZ7dg#nWRPu06_Y(muX7BcM-!_#3Y4vQvLgZfU#inPT?C^gRn~WF{JxZbj=85tog{jc7>Ts>z%fjMDnYsqt+KS)2K15XnI- zurYBmj(GLl`p>@dG;mY^(4o}ON!Nu&y3yNx z`#-5+ygiu5H3Rn*u1ns|*0xm8!~v~%tWlu__`fmlNF28U_rY)ex$#7T?+zp@?_T-7 z8?jFN&veDrY6#GM8Rt9ZH8_1Fer~uI9hR?xJW33Asuiq1jH<>`V+!C%@1~tZ!}*DK z$onRUb5#|Egj5u2P@;Z+;;{W!pZH2Nm&UL@=5D*n`u*R%(Sf4_d=k00C(L)0ZtHl6 zJL!eZeDPF$q1+*VBrnRipM2Elk%K(FMu0lasJf9vlrxJmWT(+V(I2D5LJDc?ug z{YcH=*$7&6__3G4vwhgEk=}DzeOUIRgZW>NZo_n7LkOZ}e)xYHO~3`xXS-&Um@v*9 zPpJ)W$^gtz%ysFqS(jOxJ{FdwC~smL?SfQH@=w=-l*2a^4@M3GuI?Ktwi!f}Oxarg z^b7fdoPT;7*p#mif8999;?u?!>>UkyH1j?`_Zr-q*CjSdx4oThSGI3o_6O5!1^NX^wmGqb)VdvO#9_?^1#i>N{u4# zQJ96=Tp5%N2RgmgMIT_Kal=~~k+mK1EVOkbtAE5Jez)c5W|4K{{0*0lSv|;B;PE)+ zBUczsns9*0EWB9v0<&vGJgW{z?-^|w`M7uf06!b=ftU>fAQ@X6 z2U&A2|2eXcBbqoyzLB_dWo(Dk@^U&QzGJw>Pf%5~yuk-O0oc$yh7@%{7MX6dj{C@*_B z3UW)peWD(5)OWq7f%84LGOLYkHb3ZV+WaTI`@OU4(hroPP>Y3c(1{2jgSM5$7BnhQ z@+LzHJiVA}3b*9M1NXW9>umh4r#8t|CD+TQw=e#giu`*ux2e^rc=`x{*79B*d*mo? zFnhYFAwvytr@j!$@nq2_K5 zI$7rRq$zw7s_&CAI9Hv=@WRmEAPXp5M&DIEdeEL zsHcQn8C%3}WAGucNF{z<*>pFYS4{wpFwS zE|Q=#Yv>wMguXsu<^9)8$|nh};w3p!_+QETZGc%gdi_LP*auVx@IS@jb<9QOop zwJRHwp>XrL@jK3Nt9Qr5|JF7DYO-8j`JM~4C_h@-O=JH5+O8w@iM04Tsk@~)jQXsx z)#@0FiPz7&aAfs}uAesdw+AFX1G}a#XXhoTcfT7BnX-qc`#9ZjQ(L*CTOAB||C^xS z|3*fM6Y|gd;Qsq>#hiJxZB8HK{Kq*K-p0m(Pq*rIG$W_;wz`SKc_A&eCXE&WF2c1s z+%7FCO$m_a(|H4en~bUg8QbKMLmjm`i}ez*?zzIP65u}U1NR}n*Qma+;QJBxqCv;p~ zS^UaOuS|QFe=4`S)1>EJ{5_#7EbNT)6J)nauKj6VP ztF!nSFVR*a_THlVLXM<(SCAWUSv$V5C>0^pGtnQSR#itz7Ebs%b%c!rb$4zDe12HR z?V`E!?KL~SN9UsN_eI-LB?-ZF>k`dc``@zZ)#a#qnvGPj#2Jg=x7OjpmSAc8#_3tv zX8}MyGOaKwrL@{WBXPE1>Ndo>uS`i1DhLhdNpEunI(6UX?N(S@(kTDex??|onmv@Q zaq0h?T~9Ml5$k?4CG~x)m;`zGrGW`DP$Z`25Do=?m0lWX0Ne|rqnAM&Gb|X8$W(}_ zwf0?5yFLkMua+YLMRXarJ6rD;d)88E_J&Ty)k4-46$H-D@L=$X!m!)FPjDR;*Evfa ztlw*KP8!s6Fvh-8G%UhrdPTkLhNAAy{sJ?hs*;$=^SjcfBuZa-{WK*s9*`F7<~;d# zx&iOIqXAiCxf1$;rohJXu*V;v)7tX=_=Q_qLhs1Ugxn?nWF>VlC%XHNzq3{~Q}ZKl z$o=GrE_SU#ggWE`$*RskN`Gqo{IOI|ZC~9)zWg&+-`ykMvNl;mtsOxjT6(RS_ zSB(8b-syVTezvc7Kum%>`0#h)Y^*&rsWCJ!p#8{DfPYOsZN2F=;`n+cwl=&{FOE|4 z!I=d{=ZOooe7n3pFdqum`$TzlX~}O5w(39cnvDnT4U=WK<6C9k2dqI}g#kPiZD2*E z>XzX4wTro#9%Bu{3rg+=fMGMhc)oaagHy>xzA^=bY1mdeo4z=N__O9MKZ|R>1ZXMI zLu$BmI3Rdm&eVn<;TW)$hG23`Ql`!xM?t`%+EmZh&xV>RVjC05x|Qg*2f}G-o{qW9 zj3#lkL7;;Ss3oj=SCgIb$BvPcVUhJbOUHNY|TB{Ktcmk{Mh9VkrQU(WgOF!^)g^qxs>a|ZbK60L^Ge5;s!}Sxc=bn z12k4S6IPZSouKl$@rt;RmZ_72wN(p{_VB2r2 zdj)@v{GH}5YkR0o7Zz65sq~3v-8#5qSv#oa zla99P^fCf3+ya|ZnuK!a6P~x3Jk`XIjiyyenwUr+@rLLZZ?;=(gFL6~;(RM?=3cVI zwisZTB+h_cPlicCVy=4`)m!iIOSA?)c#QW$#H^J6mnoH(xF`xDcr^P@HxSAr6 zhq#JMlyh~Q>%MzOH`EI_t_ur%Fo+M441~ zAiWMD{mL~&AozL`EHc>JH!b+Oy~n$Ri@qtW@?w*h)4Xk70^)^Lc_21q7kDbhUn?(K zK8KOzz9Er8ybtxT5nY6>bJBb1Cl?(OB^_q6_qz!l$ZG^tSa50+NG;QGF>ksKv8-#Q z0YeY=j6_X_UZCjmpnbIN#$S%2pH5I`&Plzw(#o5I_#%{G#@7`x$-yc#{?b!T3HG#@ zeQtheiV&;+X8LK_0B572Mh_@)zGcw-m;1DrtLiO3XxgwSL2HUor1katsyYzG9_U`NI4BbR%+pG>bIa`5hfJ|g8etT*+RVh6w-8pH=B zW=Sb-3ItZB-SPkq^gp&v4X;jz>nv0x4!6Ys%$wxyciQ zvKE~-nZtDQ6v~MHeU|y}+C^F|_d>XssBy(;PcLF`J0uoBZ#DMtgK6rdWK@cPhCqf~ z7Ye%3WJ|R-d=gDD&CsD;sY+6MEOz@Vv#CT|K4DsjLY<`a5SLXV-J)^d0#~6=2Db9Z zY81YvJ2Bz_Zc|%fV>bRGfPZ50XJ@TQk(-eo6$}KD)1T(Vs$S5pWHJF%=daD9Kx^_Q zZhaeg1rVBi5tyF?LElU=*{dDTi8^05wUeGn7;$tjWgdHLYR}n(M(0Uu!(BUGxT#)) zYYI`EeuiWu`ZwBeQK6HWfT68+>b%ain*1i@cE}H3M>Y*|^ztyu6Dz|XV=U#p>eQUS zxC{*n4n`udn%LF((d`|TuOBcZx>XGLwfddVe^{wlon`#AV4_%$W!;R@ z)ljv2v;|i_k6XVp216SwDC@DkNnLjm>%JKGSaQN&E>>Phsh2*q`>O@Ze5%dp464N< zvPQPoUF)YTC)SE~+B5e{H~${|5N7#PVFzEmj`iaYm7?2B&o|Z1e<+-Qe80ar`kzFt zR`wus;BLKyKmX=Q!PLjMuJ<0gb@&R-RTS?1V9Qy-5B|_m9@ELki+^>r46iSTgOpVb zluzTEo7{(!Cs}rI)-LZN;+C)?gX;4RCXaV^)i(?-U$mL-oVo5>LN_|T!c+I-aBfXU ze`b)yd8j`Ho%^o)Wb5^8W?re9cO1Y_8)3Prla)Fm*X7d^58m2@EZLUlAv$)z+(sCQ zV9Y%x*hB7-D&_ZUlkIO4*CtPzDhg~B9V|_Q*1=uPfai}${bhI8n9trt6HbW*t!woL zz9oO?@D`6=J^02&tgCwjm&@j|K$ZW?*k~G?9wNiIobjJ+d!daznzoBW%V+wFrPp)e z;bJc;#o&|;f=Y`-O-emlf`^;X2l!Td*h5Z953{HMW@rD_nyIHX(Qfwc0&DOUOh{ZD zk+gvRsS&&Qbz<7(pD65MiyH&=V2^9)HTZO03Vd`t_>0v#2UtGC+cWNj7+AF3c^PK5 zt@bbemw|(3XR6KgcB^)~*^`<|-libLtl8%d{!jpf_5VlI4;_3g<_6R4_t*Mw^rP50 zCu>iA%!CP7hH^WgR54sA@DF_BN_|5FZV7pM$CW~FP4*k?xaF|5QM2S}DXxXMJ9zR0 zaV)3O0)xtjgoMgs+9R=>qY3vZyw+szZopJO&*?DlC0ser>~IQ0C|fRRMB#iht`T^< z2`#`M^*xoiR#O0#yIwv!>GMWqZWzh!pep4W*xH-L<)G>*T#4dvQ02jdn6XN`f2{i* z4Ghjc$S>yAbu;e9{nG}fVoHQ^ zsMGz7!1J&j2>=5DOvc6o%WP{xtUs6E10{>521`Gf^pDm1sFjWTdTfKNak$$&jc>*< zq`riq(*MMBFNiMVqdM|5DXMqSDRTO}FMEcE~ zDq={Fpyi&vUJh?a9vf=aZh86;o>;mo^EfWZ29>2Ku68+LG)* zo^=L_ohNP6KwA^LcgNpD>y4O}3M2gJB1qyH;3?_IO>bop4WoYe}w`#18isk1{tAzSIu8zbUrB+7nppGjUUSp!tnhLM&WVAaV?H_-O1PVb1t zpQ$$AA3Q+J+Q{7h9-=jg8p*mf(9G7q54|KB_K}|{$sEj)Gtp&P&AMQrbDrD;G#KHy zzzDGFRa)V+0n0h8r>hT8G2a6Q~%5Nv=n`7H^q1m-B zzQXPW8c0MBPSLHIKXq}dXQkghdY&P#K~NQ;@F4bE_Wb$ytMp0;BDN}%Z@qdnX}ymQ zMCTTmOUX(XB{#e zH<aMNmly}tkJGzq zjXY(Wo69`HaWIVRx7(Kz9|W7!=3Lt`6|1hE^2Er@{eUItDLZTB$uU`;oNWM zEjb=sBuzj*C+Y{3{xk#b_5SN72J zIivCy{1VS}V7Qxy5U;6BQj_K3)((T;dCYrjecj>RARar)%jlt^e$C0m5OHDuyC6MU z>+vplf!faG%@rYQH0+MQ<5f~=$mIZXw-#8_dOuHE8Dt;~U zuNxD?qMQDiIIHsLe`34MEm1`tnYzgV_`=QESD8?Ih1_%l>oZ{*&uHX6x96&Ag8uC<45p{CERH+G{$6i;D-LR zhj|O6s)~FLtL~A^0cCr`{>F)ycwXV8l?|?!r{czY^%$D-*Q@_Psdk}A07ral=twdJHCZZPI1tO5J+Iwgz^BH1d|_+n!YgCUPzDLltMX& zW2}!(A=Y+iL?xd$#ziD?_G9gkCe1A-&elJXya&vZw!C?=`OacXp}n>Gg>`ChKMS*8 z+04K5YA%TUHnH1s4cB_0*CHFgCeI)5#1-JNa+UJvM>7JsT~Ikf*n3NqMYekV(9uKw zS@%bdC&<&Sz6{E)-vaWh7b=O@XO^lJJsU!P{C(~MlbOove*|xNxU-hD%%8+@8-8>h zUPpgWgVQ~FQ zR1k!tf?o%g=y~#*8r&f;KKPcF3g<9POl$C_%_&tx)zNLEfu zANRU}MPNrG>tYx;%eF{SYIUM{U#{Z)k%f$ySfCxMYm;?$Ty|~bXuAlAM?Z=QcieYq z{8AO610*l?=Sl?(eh)~U=Z7!`oZK8HaWf?8jA%|mwr9tx*3$nx5dmDL6N<7^r!sN; zr}cJv59$vQZAJxx`GKu%K9g$M1u%)7-4J3Oi$sE!c*FHg+HgtiIS2EduQvnFlhqy( zpkc>#Hy!31rd%lH0`<1pF9U6V#FGAA`ZEFqw#TeU{eorPc%og*i4s|Hxs0UKU7BMY zh!HhiIf`*vEZcEb6c1C}6O}#?om8=O^Jr}{{lLd2wQ2M^+#?*6_ICNC zxZu6N>~7G#@Fp%Buc&~zP8qb~2)nOP&@&kdVa#+%P~(GK>W1YMOBum*Dxd=62BZ@D zOAx<s3z2qqsUqgt6lpU4d8pqynVXu&B{?ga`eOcM?1a)8%ElgT= zvPP45{GVSPnY4wcJ=m#~T;bwD>wn{5g|{-okJaG558uQkEk8|~Q3CnPf#_(oEzhF^ z)qFS0yL}_E6Z_2KWme#6s;zfQ5I!rX(%w|>Hcz@dO)b3^Va*pJjm_56Xe=@W`|~45 zsDwjpigYN65GUx{QcDij#VDAoW|>-o4>L) zY~4MW9ct|J&DPq?Ls%`)#BsA+d4O+hXs5?4jChG8_*JIpuDO&_hNVjYYYdxx-Ope@EiG)Pweo38V17&FB!KBy-Am(;;0!ZY zFyupZ1uML%vj2e({q~Q(IvoIJJp%3#B+(+YJq;xc4uHya|6i9VI%Ao}1yE@k;b12?`13+)Ng1GgL?W|?4>1HHqtpdxdjSA_AfV0s1LnUI*zI%yp|^{4>9*|dml z&=W`&&IXV(R6*B=ZvS&|k22@Xx$kzfJ#^HdH~Pqb?hVNJNr*pitlK^*%)dHO-U!^W z2i`ZpECpe%Gm@ydVRSu8Q+=(^!2$<}7NL~|m6e`Y+@|ejHiC)*1T8vTf5Y{zCPLay zphxLjU#mG7x(lWfTv1V3`4oF_xx5BNGnSzFJW)6fQ*2~#IMUIh?$T60zmu^H)mi)6 z74lTR0}LF+RuxdYAFNN-9DI#K>61P0yL9tj*2k?}GG`qTx9uY|PVIHDpzj&InU0!v ziR0I;cGS+yDPmpmdzHVxHS$r|wAh0GfDPp4U{EJfcBaCky&kyMp$L0d@JifOkq~G& z12mwmcS@nvir-Z3iN@C0-YN3)Es0KJZk5eId&bq1VJA_>pk%s3HYgjBI{tA*QtThN z*rfgSG*#=ltH<+?hXXF2_Q8F*2>`HWp5`i`pt;j2>EHnR*-2n=!2TOyiwNwUU^r(^ zljy=xCp%kL_inv&JTR!yy`1`n!(=liDL1ps(qD6N?xMV^FC4`FnrG~unmeV{P_NoC zk88K4nkA*&7U;*^TSgb5)k|3AUpZGS&yjvst4_b5e~}v3^JB6^`T{3x5*m8vCs(N6 zXpM`P2p1A;GxXx5PU50ey8XVZBpwemVx?wR1T>! z|ADIbMn){(_^aev7ZJyhGe>7FuK5F%<2ehiSvjK0AdPTPPpipyOx(`0yo=rcmC3!$ zK9L=G4=*Q36#s89;-0NwNS0b|ejVxh2l_+tD~?MS8I<_c^GQaj8x!8km^xj2b zxvnIkp4y=|Tt2Xl_W8oI3bH0;Zd_ZKIy#bVK!V(gIj$VdV*j$nV9c)zExtK^LG+mOc z00O}*Ox{FDsi^;Hs`WW?S|Z)QowQ8iUr<17*~sKaB~0Ik12GtkwpQ~VZP=mlX(U^b zWKsK8L0Q9}5z1Gt{`v(Hg|0FX{amJib8{->eFCD5XbbRh-X1(^saLD?uIjYkK=%|} zaL_$F0;E^0`DR^Cpx|cQl3Bjq4cN{O5t|z%znQiS>)Y0$OYHL9;?x*7Mi{bptsv4rJn?|8$D9`NS;(P5(jjgx z+3Y;xv&ag|6h!g+1kAlhiJ|R@-wd<(@t)-}(Z1iJlC^$;88{RKh@7iD21r8Y;S+k4 zqM(|}x;qf%5!oa@$T_L+MG%#v4*~=!O<5IZE_D_9`slt5K(JFk*8ahQeKA^Wrlb`( z1?0GkSs9SIPra3D zL1a=H{~}m_A#mMd=%YI;a!dHYSF1clQhRiWq+T7JEpOx|cjK)+09M1~e%_?_232T8 zKIlp<-a(9pEFj0^r)r$f&uNaR?{aW#nsUZ+{oZvd*O%&kiC;^1nHeJ1Ar(Z5-pVi? zHBOQdtIs0bRRhXOZYOAjdnt;gKl7(W9Qx+h4$OmNnZj>921g;=? z7<@|imvHLf{84(v!}#!>g=U2q2lq;qEm{Q-Ykye>E(+2 zalkloN$-@oMs><5@QikqQhB081DzBwP|cNJDOR-|_dIweLccqy+M-enJqkYQPvsIu z+@8Ks=9`YL3Fq_O4rEDCg>)f!yTMbp2x{Zv(&?oE79F%?f)lZB%a~Cc|FnMkfLOrm zzvwZmYZ)Wcng54B#2jJ(QI}!0z;agTb=@=8+IgWxzN+1R5pBtX_DnJt1j%#3uSbGZ zxB0RtmE4csg!Fj5aT4&Tb?&s^b%!`vZlP13biZ*6NC=qN8?KqEP`g*Srw4>y6*~`IedV4* z`1ixvSh*r{nN~P`2==c+Cr&T}IKHO`nZ^9EEkQNyQT&}VC)aTK4ZY`BkFWI}vfu3c z-kKUu8(*M20+dIU!uI^3Z)rN`vbVJw1Q5(gLOpG-=@7>}y9Un`XMJqUX$hJ96_@~b zgsFy(S%5f|1s2x=UwQR-fjq8mG+Er=QLR{c>njh6CvrER#*Q+^l4Pv*16>D zsd}#7(&+O{&)=o<6h?o^ejEKf`|h=D<8R^eU!&#E3D*k7vM~t9#@=c}Jj|xP%+MKs zhwY=Na9DKFXmf6$(>zBbo3ZW*`*D_%ER?PA5gTSJ|G|5}}F zVH`c2Sh>otukdp=ZvLTaSA+e~z_(F(gZ#+6P+eNRs1JmN<*sHNNUl zm5Ce8`7rF^H~}r`Zz$auY*E!DJ?FX6kRSrOFHmy4^6Q^`p!ds`^&Uf=lo3w}GStxd zBm*Mdc)KThxMscetJFQwsE0DXvQAqk)(U&>hU=O)GX}l+pDZaoTDpj) zkF*zKv7sO{t6prET50n1ZktPA-e;Hn$}!-eni$Nk7wY_!usUOpqk7xsG)bpVXpyEs zDoYt1?!Aa`9bhu}djt8&D1EYuXW|Cy(41L7H4Eb4u z`CH^Z`FEXP+4lUVuFNEzHQ9`nX`Yt%y^U2rp-fVWHRlGcq!$A@`BxlZ%Z3G9f*5As zkj}B;%ajvc*7r2zVv;j}vc6CXwbd=cPMho{SCDZ}qm7+!tWA z5u$jvNU!w7H%<1oMuGWu?!>hnV-gY#ugI+4h@m=1&ESvGUE~u-*D%JwX3B5R!VXCLAnbi;@3@G!e$Uix=V* z`>r1Ivr@Je3v=1$-jjLmZ_LF?m_*1QoBt-)AU_9}vyXHH?_-nZqmP0t7STfjp5FJX zV{XQM>V6Xe3B2K}l-2?kxr}^6?fiTT3vwC+DF4culhbbs~7rOC*EnFhB6Y^p?KaDjB%(W{pfw zJ!|A(wl5#2sQ6OWD)M+|V=aAaxHo}?EBMrlvX(d;A=8@Y}zkiIAV(Pa~{_E1gKBQvOaOI~s(tmDg-)lW+ zVoZo`X`K=E$F?%FpTI*CbA1sSTuBj~?%H{rhU)GNiR|BDGvV5(4!WFbF`KHQo_!24 zPFercc^ zbhP|dw59mqUeKROrOY<%%ep-$gXUd?IG0(E*Hs;^HJUy0o#!ARY3xA2$N#bP-SKRH z-~Zi1skTaMzf0{+N!7@^R$8HIQ+p-Us@;@C7p+}N(5SpodrR%TS4e4%1W_|ZVuctH ze(C4;{mUa>uh+e=d+vGNd(QJb&pk90P=w~zRw5wHY4FW{A=fcJ)_`KOfX#XCjIXhK z_}%U{_b(9JLHsdF9{j9J^-G)aDy^a4$GsJC^0t7}#ittv?C3d4$Ecnv`cH>z#w1n( z%7>UukT&X+dA^FeA)+d~-Ukb3#jq4bE#0PnDfr2)gsbUn!v^eqp34_hN?5vZV14nh zHm-bUz#!$$y-SbV$HC&6G1qdxw40olH!0sTnLh{!yKtZS_;q3n!ZC->v~tG*rNN!j z^aM$s|7*hoM+#oIX9r;=Mo?6N7pTjsJd4* zB!N)ZYv%xs@7#K1k2wk_UP>gMECyp*Lw@relz%CXCWVFsYv5M>_F{E1i$`J^x#}9j z6-UA?djA=iDJq!1NfKYe=PS$CenrMXWj`LuaU9INR+B>zZPOD5j(C+1+GDKGr|s8B zH$*r;Cf?BP9rV9EW^%DC_wm`S(6DyJhiwo3j!2%=sd%6>c9dcZE|r8ab}a2On|KM- zUrA0Yy&2p<_HqNWl4l*4tawB?wcptxCKRKf2T0}l=2I6NTeY72tGBlMAB#sP=l0L$ z6|)q_!XxR0II~AB79ZS1 z0C~2x!J{+*tkWzEPmKlZC0DS2exOnDA;GPBtLf8)CcRFZk)P3aOMeowV|BMd(u|3Y z(&N$MzVNbqDC2FxNLJ=cTK(+caWO-u<(hoyOgIAbAhYPbuU>y`C!uYp7xSiZ+2{gw z+eabvzhrZl_3k(UgM3YPddi^n19|C()9n&Qo!m`U5~A%Sz=ieq|{$;AfkC7x@w}aPZIPn~ZoX#3JoyYpv)6hz!g703Q}6iZi&2{lp0^ zlQ!T8AMEV%E5=R&RYQR4oXOQYn#I4Dz+A3OXr~;2$~DRU+Mma2^uojW7#da(@;or{ zRa~kGIL8tHMn=rJ+=@G9$)>`q6M13ziP7(gk|%d`Ic{TAq{RdckryCm>Gyq>%NI$% z);9UJ*Dhha*W0tidA9S@hj=TD%Dz~&uw(PNF&hb8npRFl#|SmtX{7W${KI@yML4em zr$9e_&c%9c(V-ZtG*0P2mTO;^7m_~Yd9<){Ewm-2a)7yE4j%9gWcorC&I7(zdW!4A z*5!^rg`1nsR%gvyb1O9$Jx(raSUC91ZzQZA;rCuhS456&5K4aO{K^2ZMV(IO#$7ma zjh*$2eeDb4Ib9wn?g2DUE_#uy5(M&Ky2EJYI^>UX8DO0Fj4#MT5>%MapF0D%tN%*S zK-a@2e)P-vyzHmPk2UY2rtro^J;sN|PLi}GTWaHE+AcsRG?N9NSzT_15Y?|#`M%*r zuNU@oD3VX1rIf(AYA!j(yjOiXu&Op4F6kQ~nkX10raw3Ph-i|gR*_97KO?+h*t*jX z`01)YX#pbigD(_PJQ>;>HzWXcjQ4k;sSC$QB(e^l!=C#K3G*B zOcsX^kYSPsr^*)9r{;sO#~;=7NqFODIE3amdGqkujDW!UxO!E~z6%K|bVd36# z@uPy%3xrAI!zfP)qwu|n(L`IY-Hb7h56I&*=<%w+$|qew4zg#;SU({70p9pk9G1L+#?x!qRuS+tfklp-+`Z0?4V0;N0e`^m?xUGdpEnxQbb>ul+dFbO<=) zY6w~E6CAc2GOrN^#+QGw@cLb}}qN7MpbqYm5$CqgV zXQYMWkNn7_yK38=+yOQri_m{QL(vAxC*xw@7?EN>3tQS1`}xQJE+db80@qDfetjx) z14_sb*L?r5Bwlk*KB0Ro$*?mhCE8Ep_YI{IHt;@295Cu;+;R(^n=E$@9Kl^Up`gpeXX_l6yKbAp zS^BD6W{NAw#6)Q}taqcJ4QzzY3X9Lw+ZzfF3e)vy!+x9-J z?6XRzr*LM_(>wcjT?+LgFHPJn5KVjaNpDIICH*<&K3Guf;;T-FoEy;y=#5=~pDl-ibLIcH}?S zTy$QneP?{w704b4!4<}8Is2UAs}O2fQNGXzfYM5x4r0J+@hzsKz|WsP^cgwQyuB!f z=`-c*%3JrH0g{U^ zthj>z85HjwGJt1l-k1uxwOriR)Y5!0;Eudy@Evd`j&RbYdE15uVSjOO4L{n}bRO9} zIof7>dGPDsKUW3^ygVFh1T&w+t&>NH+(@~K+&8eZG;fxtaH~LGEFEoMquqVy8T8+FVZ^L=2*FH1C^O!)tiNqIqTm9a ztzD4tDP|k3gAh4qO0zeucbXhYv+<@KlxVnNT9=ql?P2gS*7PA2dd}aclvU_w#u52L z$9brkABglJ`wqgzDRP3m8pN(dYKPbCySejG8RIXUF>E6fE2SMWr;VG`VE>WP5o2mlHW7;ou=g#Tdn zFIR(4t)S(q&~HQbNX-pHEoz<)-WcFtS@=RRa&DA0Z`ufU9Y^OzPCG^r9`5G=CX7{=$?(O9y23bM-_O zeuKF^YYhK5E*)xlTRl;W2PrnTE<>ltY}TPJD2DythMDh>RK4MgNqB+bg`uBgHo6Hm zc=Fy~@oY?yXDeyi-ZaY@<`e+DX?i8i%|i$PhVF@o9cqcL4ynRBI0c6fwYp*e5Q-R{ z9HP+ZKo3x%@0BnDQ4BXgW8z+x3Y}QO2t&dDL(LQ%eDIa6 z1YSLa;J}cgniBVlROqk9k1<2Xtbc!J!NI8J^PYPSZ(s)p=eKPa#P?!rGq%SK!^rkI z{}3Y3nSgMCuZ0^qnKlK>+|e=jT$f7@McPVmL+mEz+ISu0fr-WT z_NRkI5{_0M1@I(=nNKn%tPf=TBCO5{SqCcJTv=;RUJ36TJ-MgViatJUy2*KFsOk^I zJ^Yv5ow+XqkIv3lzkywtgl_E;F?GwpTF(}Q@zu-X*)j`K?m`FuJRK;y25{-K$%aOco? z_V^DKPt3X*2c@Z-hlsmayb)T|L7s^?xZrZUYVi$!9&k^1gJ9wTs3AN6UH)hM0tCcq zC8aoj*IiV->!QuJcQctJ2pZ3XYc+I zjn*vl>7nk}s#U(%mT2N#G1}w-NJBWg7sU8(NHHg9GQlCK+bku_)tk|bO*=}WX z<@7JWDYbi(YD1&GE^o#+nV5ex+3BB19y%qf>Ivd5 zj?HyG`Gk-0rg33gCVMiv(sWfIQa#eKW68Te+iZ&_QxNK_5>vAaUvQm>OBgxhG)et( zO!l*yY^GI~9;ez9hbP9VCMkqeGt|E*oV-V)dd4L)&OiF-GpdB_tdbf(gg0G14JL99 zrvAk1KOxr2EcMG!Y}0(}6#V?60={W%X-=z7&E|-gmNkkyT#rn-#Klx+ot0&36O!pu z7LU2-{9y~WjsG1x%Gs72D$My8xb+Qm(NB3VcHh(LtP)OXnibr9vEW~}LC56eZtU)v<^++Qejde{5`HRFIjCi)fIjEMS4^KX$?EOuW1{hz;U zbkySy=v$2p@)`}(%1admKpX(eLq1r~nY55P1%`{AFCdTnuGva;81hHGYE>RZ(>~rZ zeW0vp)gk1em$3GyM*RY^^GqJWlFwM|A+F@%^{*GeM;uFGj`1qa6ik}W){uP=VQmKHyFxt4C@Ol6~2`7jk5qGokP*WYPPBLWsf{WGTMt z>DVcIea_aigIr!7*o>n~gF20bffAYF)0_rJHC}~o;>y$jf;`UDpaw;x`5j z&*nuRy`lv)$8p;}d2yZ!wGuUY_n6pAs7RizFYX}JE_=yd%)1V|tNImuUFOYFJfFxr zJ9+S5lZh4lLq{t&`+J!cQQSM*4%vnKS3*jAMAEUw{Yjci zR=gb#m)ADc3r4?K%IhT)>T>Rl@E?r(7=)`ckU?YtsCzhfbS5#{|K96>!1*tM&tF!U zsWyBG*bx(I_3pflIqDOnK2!mBPx3iCI%}ZLi==D+vN_Jm_>R8#LZ6Ef2`G%9(`$)9;FV3_jn=+FL zb0jm`WgtE4U&)J!pTcqbV0(NF;Ni9h(a#zb#OfI8({ZY!ap|Owwr%UQ*$5nUOth8pjIuizt8I_R&P&cpbFbYOpBxF!_1oQP=M0Trx}d z)4*z!My|h^W?sSD2 zn0BF&=p%Z`%J-AoOReYMHWzc>q?1AvSivV&r1A&v?>9hAjpFmd7KR{m&DMkeXj_!O zkO?|X$7HRV$ppw|i%#BKP^MB1NDw*P?>zPl+_;eC|+YwLp z+t>1A(QrFeo>z|^D6=;|={B*y;Md=ngqE2O(I&@UXPu2r^8HZ#rk-W1E5GV)va|B* zg+c{$mGWirufdPGOkZd#P42~|=XBj|&27Dfju!~wvh3U6E9_;f4E>pzo;?HP2nbhgmlUI~ON(!c`yb?^KTS~sVNFoM~J2c7Av&=jw zW`V0<;SCKhnq1n znYsnI@_J1+gNo$%Xi3lq&ZXB7|8tboh`x*MRf;#`(xQsz?a0M%V4P5l%~hD*2!9{M zZQMuvklVOpPq_VQ^i_!@fIdo`C1hDQ*SAORZQY_>B`Y0s6c&_ODGVyC; z9qxaACjopV(ePJ@HBHN^SX6VM?tQHg%tp5mlGtQS=rZZ~lPVaJdYWXMCSg951^WG}odk5bB908*RNeT~R~` z94B%cEg-b(uY@|cnUQ-HLa#Ws;K)5`(>+77TN!QS9=mjyyu-inLQ&P#m9oW)Py74r z()H<<8HVbBf2uwELS?@m%9(%@!G=9w z;A*AgoO{G~Hl-*E?H{qJIjt7&Nb_iG`nvYhal>PRZ8jbjgK_&&Bo}_KngT0B=e+hU!~&RW3HK4WJpbw)6XxoeCW-FELoZW>vHul`A`NHdL6M!omca_k$i5MQ zcB3%UFC~ZbUXW$uEX4}aaFB+0jAO~!CW&H ziPE0wP$K>0%3x)mT8D6q4_v{bP;^Uue0W)kEyZp+K~LP^@4GaFKS|thcF}B0Tkyi> zXqu*NsXPfgkCJX!BLD1}s#8a{FD(u_kB!r))y+3@u+OAt-BaDz|E+3>2zkZyZ;2dD#>V_0;T3AsmIVyWIi_Y~*c1lBo~*;JZji+A4E`0zdRtVF;Lk^TsD` zJ+45y#Kdnz`u?*M#edw6<7DH;bpBeQS%ySTUF~VY((Tj#xBS4a9!>Wv8-?!ea;sm= zLo?0+=)#7jUSHRz&EBir=v));H({*72wA78ix_53&Y9 ztj+t_ZSRE6Vf_n|?kaG*_h6N@TSk1Ip&jo+FJv=)hb9-z_Vhii5OoG@&$0?V6Yf@b zLbx41L}!E%?1G?7^-)6?3KEr2hUP)2&4azqzQa4Lpa^xQQ>YQKZA45gQZus?K{X{* zJ4uZ1>mV0hDtY>pAm+W_OvNUmWx5}HxAgaYeXA*y*5@n=u?jhSQ-dmfjen~k=z_KJ z!YXlNh{=JgOPgWx-NbVw4s#BmZ=0PwBicn*5+t)K*=S&vEnAGin+fWFGvmNUu3D+> z3GX^UT+_;z&;5d4favbT%cFQDATW=Lm?Gi**v|LX$wQT^RyN&A^Doy|<3~+&RxqE2 zerJ@7JD;;Ci%Ad@Lg4~bq)7Jv${|CAQKW{llQ6Glz(%)^uGBPaZzGhHewOt#_>2gF zVe?+gs`htN`FvliC#hKygt!=zy!fElu(R))Yu|HReezx~t?z|+0xLX^Ioe~X- zanQ=IY|tc*Q1`(PQDK(J4QdQ++kWR*IIo(3-+Q;D zj53a|fzqZB?No0qbc8B1?4iQBe6GifWoGKVxWwQ` z1xu%MYKhgi0)VUVH~q)rEM~?ZzaT6j*#d>NOK!Z7y}kRbZVKwBP}2QvcT|D#3Eu=_ zKl|kdhq&i(wLM-?~5f16rcPvK~mv&5i;Ify_Qj)V4>};sYgkDC^xI0)p6H zeXpHHWhoO0PkzP(=M?E?sAe%t&Zv;9F>}*A^m4|qJJRI5X~oR&K=Yd+A{tMcBE!>| zKl&gWy<{nG+FII7tL|h!WMhyF#FGK?jlk^`Z<=Qs!wAI?WdX)0r$?>RGC=kYq@y(tb`vX6RkvjwNcjaj)E9p^$xbb56T`UCB zo|Lj$=15?xeXs+u^?Zn$S)tGQt}f}lxWX$#8vk}0rSECJRp_lm|MX(!?Ti`z2Txzq zZ#uA?AMT<%>lUSHwIzjY`rhqDeFbb=m9#MtZzVMn$tI^C(I5 z?bksZlxrRb)(MOfpABPP^1=eC9Mq9}bJtC`n(Q)p8r#CxTk-m{t_#f>Yv+xfnohH< zQ@E(O%rb=o-^N5zNX@bJ13gX23L#Yr8_rn4*B-XM0Rk?v#-YbWNrDh;_I+SF-{VXz zCCRSvl+=^`nUim#C#vuj{-=MPI-!%;_u--}_pZA$>3WWPxXWH^$7Any|8)11j9j+8 z1B1lb@8irqp4@JCxKk%Erh2lH&~zfH!`yYsH8wXw!xxAg1@D?;zGP`?8xO3$2#IJK z<}{fvgK5YXt~>3-M;BZ>@u~rCb1I~+mm9S%-4BW2i(pZxQM~9M@yDyE6dP)Lhtp3+ zAzD<6tv?%O4CYJ}4v#o$cKHa@-)oRs)Hiz+B%sa1ycuIzq}4Lda@nTzQT~ncIIrgh zZr)ahXk`*GWO>6ce1rR9INbjCFdeckuy@42Viuq|ua+sb7{K>fCIS64FUh(BeJo~r zVWg~1mcd&i?K&uAHS7Y;(W-fu-QyImJ4RZvMGJP~^tUwOCdqFBuQ@s2G61(iIjzc^ zz~7z#ez-z*S%KxwK=3puEayi|!u&xl)M`YJ#Z;QD( zgs7{#<9x!fg-@)bY z{yND>&dQLvgfLw%CLQoJ(e4@m;-RjF)_>pDH za$A274pm!d!F*-zkda>2L(5EnIP%v5%a&kg+0zA+6?s>IK{K7%9bdH<@zQUZHZs>4 zWRr+F8P|xO0Y(}Gk{Hr_A$-HV{5Di#w+OzpkU*uwrSod@}I#$3#( z_dJp*zO>^?pE6W)A!?>IWNu^Q*d<*nB>pI*nvS>OPZ~0Q6k9U9kZ(3exrFY^=Dpe- z$Wg401n>9XhDmSL_&D!U#qjWFyVgcM>I^1Gv&tXG{?s7`R6+6qaB`EyqzulxSRI=> zMJBi@Q#5$I8zc>upd2*n`3R=ZahU}ZHkf)dZ<{Jiqu!4wJo4&6v=qq?LN~t257zP( z%BP^2p8Ltuv;o{%JkFSTH!X(~a)4a;`v^Y`)7)W(sDS``rLf!h1T!YOL*qx6!j?$J zVHZU-<6euz_{@R(ZJd{Cy*y_r`;uj4#)g{$f#|8yfEVL9rqT4k=VP`8B+pc7^)t=m zNd1jE0kv6;he^jZ$EYK-^_43JTn?mb+})%Tv==*J@ZHDlXTz4Pewr`u!{#y%L(?_d zI_e%U!xi_%q!w1);opm&6YCxvV*9X=v+8fG-L<4Zp~lV}<=s_{c0oCQEtblaJLnDf z;_T!r*~e0H`fIadx)1bOmVAh&l!EMU(t_QUU!RA|=sU;B4Q5Xj&nBTcW(O=jDk>kT z|MA_Wf@{%ifL}P=ef`-6e8|jG8YT_?b;!r852@1lCOuzkv&Lu1Y$lx`OT1WoTw@JB zTfdxIt+EzS(73!NA1a_L=cm5+g07l#=19E_0yx4dMc&@ZFguM{j)F?XlZ*59xvdT4#Zr-Iafoj7h-vTc=_Lz%Y^dsq4= zc)c6$t!q?=u}0&m`><1>W6dd?)NQKP6i$!xH|YwQ^s@%7r*2fkIAZS(NT%dp$I)ug z$1f4X#;3WPx!B8KECnVHE4X| zy93}dE&{~|iQ*J|;D?8A)TF_^`*5o5-#`1zlk(fVx|n1GP2Pf=8fHe?5L&_P1&F6b z;8m$t9LU5N2Ah?@YE^OoM`uTXOENm43T?MF&8!fASt*ryc2K8(?Pj2&2w?ApQVwHo z{iXe@zC>r{wmxx|!z!`kb86PPq!1C%l(OPp3F~0c{iIj1oL{@5htJS|IHteAlV_6A z`XfpukK%^~oM~lPL>6yAI+G5DxsOaV5tfS+pPL(WX6j?)lF}cPMqN@b)ja^PN;R>B zYqAao;78M-FV7*N6}fZJ%ocoPZRFY4uoUnJe??PAH<2A_zLEWMe)(P1f{ENBW9#Xi zB4oTH(CEz)+!kExx0s9MvJj=+_)2&w_eXVSXtvHb7(!b!gG=%WZwq!AaYiSnG~JVC zZ~q)2;HH~Um95mKdDlwPR=o=Zc&ek3jF!v;H1 zl8Gw~?iIVR@2yeQpGcHh(BH-8v}WZo5UiAWLdo8_sD~1W@FR}7D+wq8 ziyo^8c9rABkgGXyyv5=3`iQ=od*4az_oNwnA-~G!I60>fqd(I`6JVXAgA?{8@S=%WL9i-LHr8pMxIkW{V-6{*7w_Gwm}*&eIdz7m8I7v zOO9~`8|-87UieJfUzGU|*L4HtZd>8SD|kzfz*^1*-mzHmzBEsSA!ib}C{t5Y9F|q%oYh5A$2pd>`7~M|md+!-Dml(-~dSN0}exi}5B?SOuTNDttdrXXP2N4F+!sJiTkdv~Ss#?ZYBxVj2VFGDoD>@;lVMAMpDI zpZxRn)%``gI94O_o%LiDM;&${l#$o`2x6rCrH~*_>H_G-)Ao#bnC_9F$VNo9)5=CS zaN)qagD}!y0jqsT2B67G?+dqkW2c!e^r=~v*HNNFmj@mwU z`B}o`x;^(AG2;lf-ehj=?eLkd=V*3AVBEncqnnLd?ed>2PLQ4U1=vj!>Dr?+q~S^2 zApVA>biTaO?S3)I?fjI*G?wL_b(VuOFm}>aWtM*fIj};2ys~Q%&W?wBrxHWqn$rp_nlF@& zQ@D#uEPR6>gY`&m@@wXxo^B@w^J<>pBf{b=!Pp*2Ex&f*?7yZgd8!>KUmb z@8b2SdbWSw$^I;jFc-3}7`%n%-@Ny1xBT~z%_lcY!I}S<^m;1xwed>3oUI<+XGa>;Ei;FR>% zqi%e0^QaekIWSyr3824fH&1PbNdH2sn$01p%TU! zn^4Q~c#ii^CynC&53M4xyou_4*^|Kjc&d}s75bMgb7e0(Gj%!zWcB*rHt$2$5o}xyq>8P z(PSO{xTbqmD}rm=?t&eN)t5z0yolhMYTl_K^{p@xN0Cu;ZQdb-NhW!0Q}pP3>Sw02 zt9X&?f8SJk)!tF~g4EW7FpuLW?rtr|N;u5C-ys;VW%|nRo1ek;uzL!Z#~E#&>AHN**+#Q&uG^1P@<=^|Cw&MyetutW~?aR%`=PC1!#{btus9c zS?)AtZ{5fxb|zn&a@U?0iJ*DUki+ZnyZeP<%10%OklE4Uyf-To$Cyyr=4aONw)G$n{kIwVTWECU|fD^%mI}ALa~ctP(yCc~?5s zKdqE{+F6y!8&Ja=U<6nW1VA#yxj|5vwDyf8_m5-fNleE{VpDzyaBD_6$p@xJQ)@9{qsxj{gs4#+Yp851=zk& zH1wic=E7#O``Fz~qdLx@kX|L+_>Ui&gdrjm{O*Y>gue9e%s&2{tV?Mp23i&^s%d8T z8Ce+pHWQaADh!`5jU6w0-lDr6SW`gX81k-VGq~l{48Dn=%{SuR(Fv%AU(dAE6 zU+4#$F$3Fi<#Fw0nZNt9ZC)D@lZrgwcGv1oo-^(M{LT2=VM6z(7&enxKxoc4KINmP zo2}b^Pec;3FP<3WKdYA(>(~~rA>;5zr;(d-CYl3-ni)e0GgHndY18*0vV%T4D_}tA z9r?AY;IpRR!*K+*!J)R6E;nm_q$VxbQSf(1(~Y#odTGDh+!RCY#GRUQ+qA~hm^`V> zEB_U=4EiJOf+EpX8XfTCcotP=*fjWuPOVa&pQ~gdK$;C0ibulanT)utp-WFN*}7Su~JE;Kyq zsOYlcV$$@S)z!K}D8+n(`_j9rrc0gzK&Sr?`wJ|@AHCi85Wk8wf9g8T>t12>dPzwZ z*jT?ty;Ymrs_C|ooj7P@o3m6RQa|OFe1$6LV{jr6dgg;Y;~S zd{X#$pKsI)osaQMO*MYzlZSNn_!~%8A^8UQm?wd4!PV%u}u>gy`Z_W z^PuFTy~q7Xsf^0;c7V`H3%^KbRk{tGq_T`z??d+`&dv^9ohT(K-Hv|IT@{dl_L5SR z&uLv0OIqk_3}=6lL?O8_@9R$lVoUpg!(ezMel*+|4Wntt_6e@jsCH`l>>_>|=@Exo5*Aod!I6^^rDrU@f>D^?2C7VOM zPjA!wXdSNDh$VKRPPfl^a@YeboO!Ht--LSVvo3)3XG0VkCY^X~rfd7Aco8-&GW)|X z=}IkyoBipcR!rd7sj$4xA}`WSK$q7Q=Cu*{ys>UVV*3m$vf+);t9}h5R4Q9IH9~FHaM;`5{-(PsIx{V>41 z>Z7mK*uT-UcmwKw{X6jDY*k?VDt-C+PzC;{S+R_zua}@~^?B<}8yx*oB!JmK+ldZnp4AoPv2cuFtpR zv_|sdNC!tFMyvW_OYK9G+XbT0MdAj#Bf-EC3!4%rCYC~430FLmqmF!6Sz8#}Et#-% zf=jy;<+G$yC?st(I=uv;o(@rIJYjF&>7|C%3IX$gnFxbcG{FJ1l+rqNlyUOM-CAEtU$ zmvoxLa_+vgWdkX7(c>^ms7u+SMCXWYO-G?PT$|uCv)Arn?i9j>G?@)y6l}s!rP~Q7=A~ujvw#Q4EsgHQvYU$9Ff#qkIq?rJH16{V{Khs zsMy)t%b$rx)|V&N9fv=fm5$C?{|I$DZ#55ccz-ZE5HHih@pCre2x{}J!SizHx8W~n zF5t+|>$%7+QyC>>7PW6xQ0Y(IJ`==eMY~Fmu^tRI&JB5WrsnW&-PN+KyGh(>)Q%E?M8RZ}>Jvi+W>dHKlj2z5v&x$hb?XVV6eIRO)R>T9K>WeEvpd>(^RAJbhx^9|MQev6!;3xsE{@>Xdp z$R`xe*%P@F@aHiz1Z^d?j#lkdft~CQkL81(AG{ztm|ihGo_A&ikbNAJdJLbdS@E=-1U%O$c# zI($5`iXBR`NrNj)nqoBrwDE$>h+7(5V)CLq-I90U_|)H6zhzdh%%nou;?w4;!;3)G zikGU0(@IuF4ZS+Lcp0s;Ru*g_+6BgbtUv{S?)AvDA@X(1#M$L%x9BPrUTtJS#ZdUp zkfB|Ox4~=lUKJWpiPmUC0O?V^lBsbf0IRD`U<2h<(S_0Uim*hPxY>QR>&X4!yO$)l zQ!zA#lexDGPrLuc3he$tyYI@c+v5loPv8x*5e6Melda&xAtPy>PI$Bo8-?LTd1pnJ z{h{e8oIopT%{N`J3Oze6i{OclC5~_-WA1~flep&vxa(N^clZPgg2-MLH#tHf;4W8@ z7Q!+3L>a=PBtJM%kjuReLj~>4)w8ShsdGUGhAfd9 zUI%}m>~h>P0814-_*3--Ru^v|IY*>t4TEZ#ntatrFN#JugyHTJ0 z^j<~MBgygO?ZlN|hZkK8%)!cLY+Y{Gc8x9b3%q>Lp?E&c$Ls7d=d_)UN1rm;iOv#N zh|Cy1lUQxer34f7>@FiTVb~J0K(OZg!MOI#+?;*b>(b%J z`J$D%Z}5D`7KfMhJOO8Z+NQ;w+*sDSwS3cdX3sp#Filon+gPq5e7?h$1&gyyOFbLV za3z4bQg)q991@Ym`p%@$PyM`wQ*O(cA0=`UTK&zpA+vd=LBUyv_xyKyD~UwEpIehx zq7&kRh(FqLsio3M{cKu(q;KfO@U2cSU7h$BV;xCuOzA>wL{`_`de4HY5cEwtf{} zn2;slRe7wwz2!|HZoGpB@$;l!UEpKuumZHF-opKHrD4#fm#~^c48X^XDGuX zq>rOn896sef7sMOJ#z4SH32))BzK8PF|&L=jefEW@|)?zMWA_6;~%9EKVs{Bk*@^m zWgbp+*J8wB_D+`O(_aY+MiA_pqpHGw;lGj015`FSxDu@j{f>o*{`{f34|WHijvgD0 zANOlqduMH1Q275Je*l`8^v%|+!k?LrCTPEQ64@MJMZNqeLx zX0%XGmg0aXgjS*NiwKsolO;h381xlyO1=_A$sIkImMXt2`}8}fl;U#)|4nZ!Qg7&% zv@%sQjd7DgoiuV8tezf6Xt)SmrZDr6g*|;QP>PGXcO{g0zGT5Kia+aMevZ#-Xj|lb zzOCk71W#)krf1ye=*Ce0j~31S!si}$Jx@6B88;?5=B#*?XKxxGey!$3+DsCRoG3{l z+Vqz+Dz8nbO%M4h<*YS*CKe`eMBW%BULJ%A7Qi902 z1Jf1a99&@Mq9eDClZ>fij-LCMY)L@c&BTLY}GK(|5>FC@mn|hJGdX;M#Zeure@1;bM-a z+WFvqQ+GW%1h?^nZgVOQuN*E*mk2H9x1tx5p_yNRUlcyX1ZyGyG)cj>tx$iW{Pp>j1+c~|vFN>Drq#iF84FiMYWyaFfKUJfhNDResO)dgdez`E#`bzW@ zh_2oc`@3w8$G6sFC3a#Nq*;7~s{m{%*uu+77-GT2pxe2q8LY<>O zj^j(=l5`0MgN=sKpu?(5;Wu4|BWX$hJsKP3h*jlBJh@6X$;skQnPxRE>NQrBCgBNk z5TIMe$){>yFCx>&6Zl5K@?Uzn+Ebt+jgy(NkXrwp{XOkWD$S5=ofFC|-@bMuI3)*V zMF;*r;@-oZ&GwBOe>%0O)+%B>W^Gb4wOYF;az~qu^p5cV7ol(>ifNS=(BMZ3e(nsTJ zK<#{{vEI;S_x`jjRCS>Wy+1p@0(8*-J8cI>ei!`P7HPR8D$91{NE^h(GnRCm|7F_A2x}V2$06 z&{eW?#$I8C-CMxjW+l2F!>`Xw*u+6t;oR)ABb6U}|NG%#uKIeSq5!c|wBah_9`@!G zYofQrMznA}k4vB*bHECc&e{%sqVJEfqs*o7JI&7GZ04M3YE|uObR=*e3pjbp)?-LK zIN=3WpaCrjcD{WK`2db(BkC{U$Z^6HJwqw{avV8%mrxW32cLu>!N}8su?93|@e{{L zoD)`Y4yOd~%sSnS$e)9c#2# zm2V(iU3LL9Vsv*1^l@_|Bp5Ap`HE`i3)NC-XYmExi#Xu}>tR$Y82_XJZ6}St@{1UV zk!jd}=Anvg{#*XK+vFA({w zi$B)?VMA>qA}PcFSu8jwcS#=A;DI_tvo(YH-9OuA21=qmhZdtYUUJ+p7b5nY2Xz*d zvuXx(T?Qr-wvJ$56f+Sdi9MlP#s83Mmv#`E>>Gqw(xCnEoSV9K#H~Zd)u=m)mVQL- zp{kG#9juY64vO1!k~YJ001KNaF6|*+{bsxQ$A9SXJ*5V5UDwi+#O!%Xo+7I#D2lZU zyodcQXsQ1vH}}U|-b#TEj!SxXjZkHBl&ljD@FXdDe_>QQ(BW9PQ8w(J2LHZ16hVx?O@whW>_up_UaCN;h1SPAKU*xhVKxe2T3|S-J6o#8)Rt- zj$ApUd*&~aGop~=dz~sVI_cL3S%idwVniP_TUEC`1(=y_Ale8`Z`%(pCVd?*&5<4z ztfqHvEeM)@t~37|#gzS9{lOoPD%1ZkO1+ROC0UKf!t=Ssf1h&{418bb!*={ocT{CW zty}NxI%J&uEpn^`gk%>U_$#?gMony1DMg=pbQyuJlNe_W*XL399N^cjKvosn4sbRr zkl_y7RlTc_q=%xr-0O21C6Da+gD+E9j0M=|BhM2J{y6Mr){=g9Bj_n^uG}{+_}*Wa zsnR%s7nSMhGd}kf=J_zX;M8UZpw2>4op}_jI<12ISt~i~?Wl@e_7N5H0Td1;QuD7g ztN1L7PsPry*pn{lwocer{S4LYUw%7RU(h2X(x(ay2s-izO-tB17uX|{ezZ%Y>&u`C zb$Z8TFM^gm`BkoW*R;InOHik;25K_-Nv&hJm*$E4-HDRdmmT8VG&=5ye7AbW*KObEhSPPH$YyE4u2LZ2%_btVhj!rR=nb zWzueFq3LJsCkl%c@f+bMOjsMfO_cif{v|^}MalNBP8rLk-aq6XF=<_`Ws@J;7#+%- z0o(CLLe>5;UxRkNaOQRVzpeQF@6VG?H<~NjX8Z}Kt;8q0Joe9x^aABr=JQ9Bvz~-v z3;vE5_uuq!7C%t>I))!1eo-rIskLN5kT%cGoax|&ERB!k5`133QnE+c6*4E*JA7Zj zBm?E{HP^yh6f;+5=h{+hEuFj-U;E0PTB(P6u*MjhbsFTi0m1@$nY+o!2E_uN(>B zlLzqoEomBIu37-?3K7tGLEGALIRg5!|1aL2uefX_B&{5V*`=Hr(vLm_X&0Wi=6`nP z2OW@`UNo&e$Xb|o`IL6RRxK*knra~!Nwx^!jo>=Nn{0w)7B}SIa$Y9ABasgdIFJ zihJRK-!M9TATpxus zNIYMd@t*v((pzFHj(U9B@1plzW>fRf3flLzmp+}+t}$?bP`0K@@7BK{1}-jT=R*SG z4Zd^uSHpf=SNjUA>kDdU$3S<1-ZK)<(f$tMr(b0%g(0t*(Btkw4wt4He=*367b+1^{XxJi}_ua>gpdnVW-LL5(Ezv|Tds21qqT`se=7y_oqemDn^}n-` zZ!Xe`+O069gvQ`StmCbW?!JGRRsj;xGKCmWtCckrS6~s@@F?(~TgHio|5PI;L#dvE zo`f15=4ey2#R2BTO5oENwbQ|uO_aT&=@9err&iBD2^sBAH6K^A!_OaU+G=8=2)c?n zn9xLoX6syx3RH7NR5dlPd2#($v4#zhH)y(hXcD$7`*_0ZpUR$l>Wt1*%^}5S z2w@ffO-Q`wOxyVPzt!yog^N8E1(jZF`I zCAeA6&s}pe=1wco+eJf*f70|=0uSWn)m^9|M!hdeg zU$kPoG~~N5+y{gC(O~@7jcRV#_Eo>pDIr(N+h`0az1O^ zVD+9lZ8s{3@-Qty-tF?GxG1AU;|+@fd@UuyH|36krNX+*oE6hw zK!G8^2^&x;<_iluSJg9&KQTH?kYn$MNGe8bsO*SK&zmNoGi;_OSi4X1c@3B>t$Nie z3f_|9K6E3JTdcHKSk$@l@rE&26DFd#;@LSU$?-AI1vZMACKQ1xdHnAf}Gp5;bMQ?rR3Y1K@k~>K0 zerqGT)*7*L6)(I~)U86BrmPvJ zk@J(!Q78!E)e{rPaY{GBIXlrrISaOU2WBuUIHjImzHH_q<$UMfN_s?CzDk!EU79Y% zGAsXD)#4}ct=%=3n^vHCm)^tR_@dm1vQ^y|_C6XBNKH?#aF%zD)iUy@d^<`ip9Qlq@tf1Khz6HYF0&X$9OV50cvW(q{PfX@cr9x?pCl|EZ+= z3a}#@YJ;_jYabM9?~+O^8VI@=fMB`F+qzAw*L+62N}8++(IOWg19Vwfw0EGnjbZEd zOKdK6^H@bofxz&VzHh$yZV4r))W9*OawIP^ag+(M@=Gzk=pf~d=N()spr&J6%3Jw& ze7S!<9eekYR)nVwbIrUB&%NxQoNPJVSx93c8p~&E9DizIrcWc8Jac&~rAl8Vv}(RE z>1I6;>+&{zwq{o!>3j2?&*C1y|k~wOKVqulG#}Vp5 zaGmqm);`_kct+q@icKW-D;P$@*76V7MYE+4dstWr1~I6HP3gERl^Vcz$oa<20z~1_ z?q#q@{9cT3IuThka_mQd62rI=6%M;-pHQ<)6p1)DSM+Tx$ivOmj&?*6q8mX9_1tY` z)|1j@zp6!nLOuf8F1UB?h^h-Ei5JrXy!rLbteL!7muc{1-Xjmsymt9dj ziK+V0#%(0#og&x7wHvc(j{(A}Nj1l9ETbhwWLm-q1eB<*mLc2FbeivhL={0NW(ZY9 zw$Uig@;aEwb~3xChialpfy{+~Zmfn|QZVIgbuca=(f-=Mmj3vavaR>y!I!n&4k~5d zX+|*sz=G$k2YQbMs(@lcL>@!9a8oW@+SJ=dQNm!=$pok297s@EA{R5?m9>RQX-jW9 z$s%Avg4Bu2Im9!iLj(`Om5y+#lFIjtC{5NWk^7}3T}Y1$WpXAbR$gygu|cV}t_$O= z7G_iP?G{nKry&9?crCA8mL}ra$9c4*YR;eUg!dIe0e%$W^%H9rJiv;l?HIq$f=3LF zuk#${eshvVH*%IUx0Y)!#oV41)<_cj*D~Y^AZ*%L0)~ZN3%Z)oyu7Br{d;Vl^!el->UT{LU+~#DMf@c&4>U9TKaJ^|`5G5Ae8!*xK*M?u;qnweEJmp>`11a*oG#p8 z>rOd!eaXgj|2zwuah}9%D{C(beP1wVCFcR3N@KZE9O5_sUqyXhu*nXF!(THivuT_Y zcQ#lEzRwCt^3=}LF%1U<7=BKA!^5q{mG}Ps)?T(7T3SSyVazC86)nQn{tt9Z(q4&H zdKnMR8;3SLf;{LdcfHGdZv2G|NLb1w_-7mGO}z`)ECTn|-Ux*`b(yANXmLheA>rak zwjAg)fzqI}VaiZVdP@$_9yfT8E`3)pfe>(kDOT-oOmtjDEz}m|o+?@(dJv%b%(;0A zOX+vafOb$!P#t$I`@G#h!A4c4^NQ7^=Bk|$1gQ2?=xUL-SuK^Ayn`yza#Xj0Dh;7C*7G+BT6tSF(qq38eL z3SM+5kCe7M=>*`omvxe-^cLk`(7jr@126btv6q?bkin5EigjTn23xGP1@YIFuxT3; z9pJLH=>DC+o*F(VfaJV;!VXXm&JvQ2dgiOL@bNA*eT)h~+G`&}HMCO-$Zx-p_|i@T zOR*Yf_tMmUXk=u6du(W%ZmbXsa@dD3$d;&8eCJrTlsFFn)Qg$3H^vgNS;u(5pZU(K z7H~A*UHs!ixZ~TfzB@yS`?$pPW*TV2FKUeSt&#alCig*~#^s6FyL67E9#%=+uAccX z@bj!^VS!4O+DgejkKy)Ju>lqu!@59I?`-aU=^|ped>a_q+fHMN@kQG{hOmuO7z^+X zY$+x}L{p>?SU18|mjMlSlEogOoCIl`8k4qC_-p~m7JmOjW9Md^Jyw*}g{$vT0V=ba@+q z0W`oUa4r!tT}4Z5^R}PTGx4R~`i-MW)rb&Sq5S?6uI~L05!5$_(xw=drn5ghpOX%Z#-;Olh98~4W*Zx=J?-Z$~H9Lv9E+o=b})|s>&@#L0Rvhq2g=>*vI z!7*G?^nRTkt=>9_3)=7sYDLi!7)}j}t&&D%w$_dKzd5(xrT8oh=wdkjGa?dta8H6n zp|0ngR&2c!gTVrn4E|(={reFz{%+}Pz8@rpZ=#zugRsgGegmxcRqRWix5N*8?A`Ss zt=oz%G&Wiz6t1q38aK26rK3J}ZFMZrw z>Z&=bOXrIRM*Ami=ksdk^uI4RqW^rq$26R@Qy8@;vtnMcUi{j6_0r+ggrL#kz+~kQ zlg7N$)I8%x-F|`nC^Ui;xU`cDW|8^WIG;&0v-)dfOccra8Oz8b?S4R{-MQJ;hniv~ zN?E832D@viCi!q(P5dZCn!?($TD=ehYKjVlna5jb@sL4ITx{ZK-uI$4>DhyC>BgFy zR%RNZ%iCst<*h0Lg->|_99qD6nKO4I`r0h-BKBFMG9WvhxC*HJ)W=DL<*J=PwttxY zzQlX(5#<8Nr_inMjz@nfC|OL1%V2~=gl52;0F9(8s0d)FOlUr<+0NvHv)`)?@5moC zTy<&WTz_snKR}F|dq2`XpMGf)Wg=TQ;<0KPpOo9)T;Bfd=2TLaC~5TWu)n*e_0W6E zR;=%Fh4>DY>b`?!L_l-LSfHmvZuzO-w1l=1;95`%ih0>7vuh`snN3!*HXKvkkZV&> zy3=zyIM`an*Pr;=aw_DedwODb(W&}H<`sTuey(NJI`B~ja|hZ`MVG*{yW=soX=_ar zv%E3Lj*v4&MV|vstauq0=(*|i3(x z_+}qAnmuYTC|Cs``@71nl(yQWow?I&(vEdf=GACZ#(C4x<%vg5IMo|zQ;|wz+-1C# zBF&J5x(RufrrDoD`pmES4&_^F=Wfr*IMd7k*b7kAKIe&Pj0iE&4HyEcal*CX{bfrE zNzZTS0Z%DdyK+r$g|h=NSiv5^oe$RL@zdKZ)*sg6%k-sP=zamAPV0)gsv~=a2y4s} ze9E6+{vF6=L&xS)C}VMRJz81$2@d4_9Pz9TGp1(P23nkBKmMfDOI5KK2jUU_lQy`66ZXfS-)&|W&&i3l>gg%EsW z!H&w~MF0Qs0rFN6KtP%OVH0}s=PKcbw>Hos;v`Qh9G(UJW&YzY+M+4UV5csh!x774t(e8JOejJ0fD8q zQoCgxa%oN`2j*BcV#a=^d7*`7@fVQi<{4dzDQx~Z$qheO@>Fj`pFb2>>3MbpGS7}r zn&+6~8-B88OWHT}+ zbBMT70~e#XZG`gA(x>XE<<}Kiw8qg=qze;|44E$0G!`9*=V{8|-uWt}*RGFbM1bD? zmNJ+6JfAM$C5FNeJ_h^Hmtn5!Rnv{ydw-VtpQOgiF6kTwdyBvOpfo@bzi14EIt;mf z%q+~kS>PZ%_uJvG3(dZdOYO{4;(SkH)@ZlLp-85-kKBvB8CJrrU2&$um=p)VYdd_- z0s}WT4K`M)g)W3B@rqN=Gu16h?LFmmD!MKxWzSO99xeVXkL)2?f-- z`+1{{MhA_a*`I+BmiGbi04}95^D_x{!)HV9b(tO&(%v@@#RgW-_=~;-9?pgy6jMkm z>q5i5(IGsuuyZkZ#t0qJ_o^%n6*vY_rS`(G;S2xZcs%5zZRp(jX>R{rfwvc+F8EHI zL3b)nXi9O^z1h|Ex}{pCKNSGbie3V4peJ7D{yKo0PXY=Brb8 z|Livckfu*!s234le}e#tw0Hnev(+p+wQhTk(>NP20g6r~OvNbB!Ec<7m~ZXOC5lhm z)ZU=gZuRWTd$N^lkUR|tr*}QBhwJ;VS0SH${iuQ6QWELj+H|v?y4JPheks*bO2@cy z2YW#Q8cMO&?Y&{1xps*aaAsgXm7GA)2gN|1wNH53h+g{c@}y#ev1vPPw*EKA3;?V@ zNlR~HK0SH(NajsgW*MF6%Y>DG=99ygFeosEDJ`-Fh#9<{qTkTs$PC8N&Rh^%;le@4 z(v;%wRD(_P!~VS>x&ZSUjz{SILz9ZR_hE^LMz$bjgZTf@uPle3W&fWk%%q|bKK5cu zH6}%u3*V#Ezw4qH7{43AoUlRE|iSKm5CheZ1(~pt$2?-?$<+9nS!Kx%3x8697 zDQse{_e-EP_Q|$^cdpp@*PO#MXowGh9US>5t@`V~_A}si9FYM7G~M` zh05TmU4lWE(pZ7bzU2$|#rcjA#ORO&HrGqFU?6+DhXaq{SQ|6Cy&;rQByQJ=os@e; z-deF@)T{cRl0)#Lqh@BCt%{bIS*xD}64Ciscp&Tic`LT1ci3TTg({hTF>-F9{D)WI z2B0QGT-s6Z-c#KBSj2W*M_J!8|2AIks(-By@VrM}s`pw?#nh%af0k@?Hi&n83*TC~ zJ7Q$&%~BX@0P<+~IYgR~arU207sKcMsc(zfA4hiLB~OZ#gt z?Z;kGns1++1mdzx`x>AnRz}jm4NKo+T-@1ROce`G9d!JBUsw|9@wd_XxCY>8WWFWO zh+MT#yx!-2Wpcl0C@6y2j!&&pc+4DmG+fyO}ZR4rxBkX6Xsy&C8 zexVxn#S&D^Key(hM}nn?Z++tnXEKC#cpaNLZ%|dEO*~aIYtd*xpu~w_<6Gb;EO|?{ zb?(4p3~uL2naerlxT4xE7#mL@H4)91sh^zCsAvrZJG8$86l)wG&O9lT09dV|6)T49 zF?Qo21v;To2>|?9xx|UN7*Kl3@WMWMLj#bRQa-J*1aFpq{SYeIU%~VQbIJtl`r;=~ zS*Dg|(KtPR(7I;JYLfaO98Y(n!)?NP}_A3$9K%mPt49frfnQK zH-LnfvJ*k_7hWv7h&pNkO9ntyb}&18n2EH{OO z$Fale*lXL_iMQmP73J}*Uf5|b?Cm9#_!7!w5%pvV#oY?dYyuaxg4tWZF3n)}CNQBD z3`my50vjtVqE?#0fqu}lrPOdh>G*D9UH1QOq!ERidXWYE-_y3`@p6N&h2^w zaU6rV7%?&T#^gnPiGqY_nPO2=G|o(nj$<*@H=J+2qjY|%==iz2HwQn06IPE_y7sW6 z-#SXnZ8(dHA~7BYP_t3<1x46vjYKXxW+dbu($e>DRcgI_5x8g^MI1+keMQlXqmtdQ z(=zxV5KI^Z<3KhmA;|*BW(Fjg9@z{+l6jEL*O8D2jCCZ2;|VmN5N!7u+^UFr{%Wv- z(QHlx_iczsS18>>Jlu}YVl!D%h=*H8ZOw1l+kzaoDVG?G@UzWaIc0vo*L5d`#`o;w zx4#dvDT3db_YHmCQM=WqEQ(q`5@Gi4KqmTWW5q6$Ue+k{p$703r-oHQ-*wYg}yC4Y2%lE{K`OO?{0N+XRTP%KgmErT*@C|xfZjkz)QZD{b{O> zG-jaJRsC;Xc4KlU)#+T4?9qmp!?+SD|33k#75Rd-dGX6(T6?o9KkL{yv_#WPdhCWi z*yS}td-pHzzRyxgpQK}JPR#%JNzKNIz{^P+*ia=#-S5I@uAJ&l^p@G&fT;YwilQ8d4~yTjB-%#>1F&fVR) zv)|d7P&3aXe0K7{%O(Bmn7~>9f_ol9Y5Jn7%Es-A8`P%9x#&nFkhjMKgvJ+Cz&h8^w>d<7;>06u!$#_5BDbF zUBK**1-3+NRKYpRfp9Yv`4ydb%t3?GJ|Q=*!#1A=^Q#>Fy(M~{$)@V{U2_fgNQqtH z_4vO_d`WDH9MQO22P!|()v!7t&h}b)d&c%!Cv1sIW3D7V2m{)D0L-_T5Czp5#_{-I zb;!-;9MZ-Jl{*7*Dd=OLGN-6M!lVzjlM_wYW(+f!)m$S=YAmhCo*=)(ejfJ@YCLY^ zapqSkdRSvcdF}UveO0--wu)zkFi~GuU2mD6W)b(kaR-iG)@r( z2Oley8PG*NV>e;f0<_j(2f{{LVTvk-w@suO(6A?cCAGQP^th3o(}kY<5Z(-8bETcX>n>WpFsJ+BvCFz_!dhD`mB<<%lB9ji?4FSFb zIPJ7uNltP!PPQ;ThARB$d(FH(g#8|?uB|N>Rgzq9))YFclpc2^6%@@U5J@k2i$x1| zHI6rDC1lz4gND@Y0qUDGAKZmC_!Gi6RBEZ_`EokfamGF~PwyMw_qfw2y+&SG6Q4Tm zMGQDdzZ`Meb$;~ax3j|f-@kLla>ay1=bE94C$kI6g7IYkD7YsFZ0}C33kOU$3U0{( z8;*P=SrZ6+R#kMKDBZE~YQ@d;jqo^a<>0`QU_=h?V;oiv_p#4-e^1cZuz#|hkX7*? zztzM+rE81igvv(_lV>A3vcnZmg5+OiE8u5Vm%8v{u5s_l;%009zhkpW>se{NL#{-H zOdVtlOjYVWFMT7i{*{w=fx=N`kHue+_+~#=y%7v}g1pxG%w#RS$b!6z8*4Cc=Cl|#HyMZ+b8z1&*~daeZouNsWr?Zy_N9QnzeXh1 z;2}@8`LG)!Eu3pc^1N{$BiD`6KhP)94;@9I*aG(Sp|; z<`Z^ycb;s@0bBk$HoA_o`vCl_ggP|75Ud!18t5?yeK0Q0%netL!u`23q|Ih#uyNOb zdVj>4T9T7d_vMsRm>9Uza}mAoU#GTZ%Wt^E2z}H@RS@`d=CWdXuOrPM?%bDG;HE*_g~WOhCQ9neB?_l4j=pYpLI;omfz<@ zo9@v4VqZN8Ra%2zRg}xeMh=?EKm(gt2lE5 z_%P(+!`k4ej6_ARo9P%bXbwE~gBj|SUh@i} zquJ$PN+{ss5yX9wugkYVrAP~D;8E=a*T6#~VUOTe(L=~$>5Q-muPwu_f-rY_@KvIk zcJK@Aq#Ks#ij{W5B4nIZK}3&>zeyXTk{VUYB&ujUfbKKcun;VXgxWGsN3aEOu}xR8 z1$5kT%%N|rg+;+@Ya=3(6C`NeXQK~PW-1(RiF&eUCS-lHZmD%D`}D-uTI;1PM_Qc= z1{@qNu=}QoK)LV)5@3KGB^lr`i7j`CCr#NYw$fXmmUcQl+pAd4cYo(rIq0+-PzlXsA! z(aZ8|JdwaS4tb^bobe_%PwZ_ZW@6aOzCb*s%rYwR3oO`}&Oqb-`k1eV@0h|-6u*JM zR}t>OSe?TFgSqf0`4Le9Dr#O*d{ohT90Q3r$l)Z0%53joB5JSJgRWHPrP*ZM@r~8p zvO?HFDC_E_>ee-#r0%fBNpK?U(9~ z^6QU^>WMk38Pf=X^6Ug0pWCkdKs|08RaxH?Y56Tlad$i>W*9IvvWL1=6vYXmpgSoz`f9GPTv6*l#?Q+g%^YvIYg^|JHZC zty8Tj(qJ&T5_{MH2{t&Q-(~#QZ6$IoPQ#|vYtHam?X}UUqls9*^3im;_oEzP?o1%3$?k4(%zB|W7C`)JW)W!!{ZC-3=Yn*2jOH?3e2!n01eMGaLvI z9_Q;v((2gF_53-j$iPaj0Hu9YL$Jc9>~7Z;i7}jhdj{B~Zh-1-`hC`0R^ToU_M?*s z9_NT`$#B3^D_9+!XURrUWUs|BQFScc!52jlz)KMUQ5oIsI#4b>sI3-sPZLUa6RhfU zvUN55t`RA2gRkSa3SIrGnJKxsJW*F8p(JHV&S9BjuWmZacPmlKn-m4jVRBUA8fcU1 z^V6o`R8)*4hw5p!R!w-~q&ijEW)M!Om8tY-d&s;z-K6prVusXGW5AA|6fi%aqZY_? z$mXj^vS(EDlf^L_HDKr{L4ccDRs;?zQWvAD>taSZ2Zq`fmoPzkLfLrCWupn%yZClW zeEff3Z`h2rx6!5Dg^WZT)SObSW)(m<*hrL<;_+~r6q^D`XjiU+i zH=d{dknPirnCuPtkioj9M{YXXx$~Eps(`o3Rh5=X&jWYf1k1-Q%qjKtwNi#Y8QbXQsNv4_O7<%YDXXBGkm={+eWi|+;Okb#|l-1>a=rak35^jx6 z`8^lQSI5?`p+v*CR0O>Ukq#|Tm6BN@?wK+13sdx}>(3Z;Pl+?=v1c%|Fo-6JLqwKs zW9%!mp&jbbibv26LuiE>^xfwm9U%8#9n)LZS{Nc6^;Mf7lb0AA#9q{?cie&&TX9HF z&E%E5B4@bRDf4sKbZ>`YhxBvWs|Ke>P!Mh`Ol*mn)5uM86s>#5#9W|{Y z{4IV<`=;OEzze4C6M?;g8-m_0>y8o{u=8@GX1#A)ONCi7pXd}b-CjO0VtLFb)@3xQ znp8I<&fp&sVvB5ambc1|-Vj)U)>kUKc!oUZt4^3LZe!HerQ=HyMKQbI82Xug!{-$r zY6jOmPi9t5>J(Xi{h-y-t>1_X`YqeG`#89^hOT=lRBhCVdsQWZWGr8M$e7zc-*%At z(c_VH%i9K`h|6f!@FFf# z;i8@{qVsy(cY#f+aejYSlH1_Sa%u6BS^{VaKkJpn)m&qr^Zs|oI}O&BQUB5=Ej_3~ zRbFOPH5~qzI{b!nqh}r}@r(Pp5tB48d6imB&~}2OR{9;BbSQ>jqpCtBdpm(8x8q#S ztEt-vxTtJVj7z*GzvMr7IVSuA8N5o@$z0jN?kCXr*;QmSmDD{G6mA@~`xY)7-n_}_ z0V=H`@YLQz+dr8OT4_I7>xW_|=*dC7#IZui5s+2w{eyBsT6WxaY)5IS4 z`1RzXUAA-#n}bY>S9yKjDS7&&jP&ORh!is|hBphX5`27aI`9Ae^{P$o%9s!{K~eEz zUtMAnQSTrGEnWTGdF3I)r2NtoeqhC-Da3Yg(&ldwk2PE zk$A$KB(6TRx^g`(emhpS7e`wkxoDL|6_x|iNy`*|a`2fFUa%Hr`*!2o9pYeY^>h5e zTc2eJ9AL)n!Q%=@ZwiESHyjjLxK#cd?Zr^Ze@MPFHJR85S$B3p7*lNA5AXCfLWn-T0KUF?Ph87T_dh z_r}Rw*Y^)axBn=>UaB&DgFa=$1Bj?vk4YakUMxt@ue{lKkh1Z6uVBrkko%{g-%Q1x zog164v@?3UsU&!J`7rW|fEp?9XZ+6}uVJz-*9-Gi7l9+!-%OZB-|zHCP(!{m1|TmLl7}ut6wRrfe4x(6V$&M z;a7SGeI9(K^e(=jbjxC!&9TMsodP-ZRn**l+XxPB$1{8iEgfEf2jAv{b&Mhha}am# z)Lui9sgRJ{NJuy)D-)BY3zhp{SqC$Wx?RUijN`dYmVlW8i10pJdU^hWTD-i7Q|B6*iNb)V@Tmo8Vx?y)+v5~G=E*ZQO2o?+?J_5n0K*UQRm^O%r z0Kx8oh$I6)`zNKVCC4CQRkUJR)o6UF{Kmr{1dvT+ev)1$Y?0tWy zbs|97ZsJHyxGU|!AY3m>813#_OzpAG!nARq6rZB>CQw)2HYB-CVG7{Vnj=r{dtlL*uzJE+J69~@TllST zGCLAtm#KCi%4@=X`Uu)!Q~ojTJf$0$7y_>1S*c+UkmM0#*O}9BeET1R8FiLS3NU;FJxwYY= z-%i&o`35JtvlrcJau$NZWL?HC3F)h(J?~dkVN}Vl!#H-v2*t5n3jQxX;XARl zGvVL+seHQf;t&YgS7e-}?Y*8jEE_`QsPJZhQ zs4txQlD(If108_nxdbzzCQ5){4)$JZ4$F_B64Y3vFjnz@a}kdWo}ufT|AFr?dBIJ8 z3%M}51=F05*+adoCr9t_?mmdUM-kL-`p@*`q05t}Ivle7Np9Cu7} zWKz*S8{*&dh<5bown~Ec2)9JOS-gxKT z9Urz>_!L+SpO{{QQYG1gSwi0QTX&T`O=Z;{4op)G>v?U~^eIZGJ|x5Da~TCw_Bl05 zXOlExY!OJKR_ycwD~}y^x_GUxj%SOsY!58S=n`8RcJyjfjU{8lEU@{voxzn&@%>8c zH}2N1q>ACQt!hxgO2dvhi^%@A?`(H#E7>Y}Cr-;)@=)?0)|Y#yk@N6Z3VTcI&+gV9 z)r5bJta$aKFXSpLpV0zaUafmNB&fC2#@4-~3ngW=e*E1cwTXV;{FS~ed$3#9>ct+L z=e`bT>YugmojukP`bV@_T#p~Fs`h3rCVV^hQ)jjeU_PXIpI(Sq`CacB%@c^+G9bJ~ z_<0aua}|~y)GG0g?9yh#(w!v@d~RRC;Qd}xY9*nun-@jkWEUs!p^9$Br}@)kpJ6|J zistv(M1|Rz{h?jZqsRKM?Y2Z^R}L)r)9c&#r&bXq%kaZ#oU{rOf`=cyvZ-!^;4Ig)6>RR6#t=PqNrdGNY{8sI=`aOEZZ-#{wV zQ8u@CO7? z{9feT&zx26itBS(+06^sW1gRTxo_-alH!;*y{f`r2NN2;b7N>=G*j^dYTzGY(Bw-W zF!v2``ig1{+%yUz}MKfQ| zHo{u(5O?#RA|imQAIc8(dUinR-@cIJSz{k}n`=waxJ)(dTvj#BJ)|5Bm_@bObf*#i zQOhs_MRn03xZU5%Gap{fw7RSq-|X*7czLSZKiP9g9RE7jZV-!^i08ov1g-Rq>QOC@ z|9B-|9BZ}9)*sh*a>E``WBZ0JnykLI*hsq@@>#&tgma}w-X{*2YdKa$hq;(S`>WNinJ z?^a?iZeG&S@~wZO*FSCuPC6Yc7iZ>F=Uc?}9}|)bUG{EZCVsHhnc6jf7`J`c>b2_5 zI<#7p$5LGGrybfiraVpplF)LIsVs#cAZu@1*xEXGKBTujv3{^${om(Z`_~)7g|;b& z&{VD$y8rPOpOsD%ON;};Z0_14kU1(9pHXyJ-|{R~eTfP=h=eYEnZV#e&n# zqSIth1W5DjD&h_0rObQF7Z{HaMTeBu=`D*Yb z+59@8=igZOqJOOK&BNvyc0aaw^Z(=OJj0q=qJ@2|SV6HMf}qk=gecMzh>C)UfQ6#8 zfS`0Z6oG^k&p}0{gdzl`LFzt4StB>L)lE&Py68ik$j`h_NPbGuAdb&$u%CaWbr?lxEy)iA+^Lc`sx>~5M- zzQ#yj8d~)yjIhl;kav+%G~*Ic6-95jnpCiW*q+~H9VBaFdi>PEcC=I3cmCyOaQY6g z=f!$I@)_`wsp# zyCq6BR*?#!I$ESxE^&9^#X&gi3m-10Tl#``h9L#@GE>JFWYVD35PSa#;90`TiqI#eC(1zh$V8$s)*dRH&b=a;H>e-JaPA?dSAe2H&cU-#^epfTq?)3?w{Q zLa9(58s_t6ayem@yYd^5p0&RGgQv4pCEp(=f3&H*=Lb~yi07N+0++rgI$e7q6_Otk zB~x?Jn%~clWl`oy8}C_DBUouT;YG^2z`}4IS-_eiH>%~n9k+XV?X(YN^<~I4dErfY zPiYwVbeiwRk8o9~Gh}b0YiFZ-%SPog)ru7K4K|m!a=(3l4il(MwF03Iclv2G%HU5Y zK@X4hf&I@Zor+`n;3Kzo`XS%-e5si#ltS;msJgJFAtyWG4u2pzfpOyHPk9EH$SBj# zF*fY*+Ft#xE*+B-*Eah1Uc<~)+TVNJVZ7RLOLTQZzD_5i;H^#ejUUFY>Pe=?_70Aj zQw#YEIm}vZZ!W8l;ZLbA#Mh|h0#^+!0$qR%7huRvrBlth5q(kSma)Z?on^WCnVvjN zELyi{!PY!Y#4%OjF;2HfFv<2(47|3}@am^NjcuwnaMx4-2DbTYCi%%+b3^F5Y)nB8 zu?nzYPtfRoq)zs`-iVVZb?%C2m+#m8FD@_Kh=hpwbLZ8}Gh?igiH}s?0dWYQb!6C2 ztg|sC(YOMAgPV^##QC|WQeMVhRxQiIj|C581-is)yTnqM4=lC&@V`z-aTAsQID%xv zUuFI*eZUG;?Fa}}#NIhzEu6b|pH;N-uof&hogm79x;%eLu~2z<(H`=wHbNaygggP$ ze|+BT^moRjIJqLh{%b)_VYbz}7 zia34tU8bPq@`FJ1 z`w>rekFZumUrMUUE$uCwurV82ZB8bL8QBC^vsZ07H9CP>D5JkgUP0#bx2WvczBKPT zFGbEYGcMA#YQ(Zkz?cm$mKj^iwL}*Rp^3Knb~RusGOIP`tHDB{G>oQtyxPe9Tpni^ z*-ynqET|EPXS2X>>UbGC4=51v88X7%*S4r*dVN%1NRNbjT#xnN?~rJmA7s?HzIf9nm-hBdjle(f*57Ey}CTWfMbnkbnZ(iD4xSDw%|KtGTea|{-BtTW$7-& zST;{>?0=t&wfRlSn^2Unt&=}st!A$mJ)RUqDLIRu^FHY${0w&aW8B*~B#ExWNq{UU zp@_niRjF1BX3}b=cGjpvdY7!cxm7h%%lV>Cdu(2P^(l2I5ZbO-gL%>Iy&>28=!EqD zoLrXuq{ z80`MkcOXqbd(jnyT*qpdq?H!8?de0O$LfFXO9|<{Y=Kb$d+Mv|d;GE1gPL zZ-4V-Gt=qzy#T%9;i*DRfJsvJjgc7?fQTJR4|aF+q+d<{-%$uN_}=wOeczMOjvAlT zklmvSt40u|0WA*DLE{&E$f6y!oU4ff`}i+Q!K>_wC+a1iZf(-<`$m&XUUd%RGotG9iK* z_a7Vs<+M$)SC*sStv-m@=5Gndn+nt-B^7-nmvVuN&)YF;zIdXk8exG1i5RCF z`Z+u1l>Qrgb&ev{1572K(9U!6i;JtSV`@=Ip=tIz8{N)uRA_`#n(!_PcD=9E4+v%L z?bcrEsy;$S*qV+x-+$GrY#G5EsbO@7E=@|HBwxi~NE$69;nvs#`3tgvbS zTy5GKp)&y0Y__05*e6=s?7DG_2bT8TkGQWRZT>Xcl||99 z;$B1raBd)5&MmPv;ykNxn;)S3Dl?fhI~vxyCLIaqGoeYHR)M{vGTou38>z^9%yrc- zQ59Dht;T;l4r1${cxjAumm0Be)%!CL&!-8B66CWTbJ2=!RnnzlN3+Xvt;*UTauGvz zk(&~g#_ib~TgkJouoZDt4H#TVF0`jUl(b{^#m%kFIyAnRu0^fyTM!u#y)=q?><8hu zaBleH?zHtQm>Q)>pL;xi(;0DZVKe}CwDUtGJkKH!{3dgXZG8SR+R?)z0-GyZ&&|HCjtQ+n7JJzoa!`DSC}hANS`W<6AGUb)Loa(ds|h zuvg~ZmCBUk6><}BMHiVL6w4bGvzOpf#7%^}Ac`rtg^dHOLa0B0wV|WLV|P!llOr3k zJd;uhbS*f8>Hhm+9q`|z1BA}M!DbHyni5(5Ol0vYFX?>rhE58(hy6b1T9Nn@KWpGS zKMNIV8l7{UnLIgrH|&86ka#rOwJ~{elE<|l-Zh-`xJK}01&dyND+h`upubUfW%miu zmVK$CUlY4NDdyT=nvgVbs6lXU>23Ng()u3v1#p^cvZtcEB{N@Zjw5;q%sjZ6w`QB^ zb5RtzS%0HziUgjc{ry2>o`H?__+dHLL#m>Q!si~lh$ot)VrbOLwy$?kGMbMn9HGng zg(^=f;;*79km1+1`AhmUJNH&*S@p8rC9m@4B1hB>qnFhR*FmLJKbE_{B^yQ2gFRoe zJx@H4ZRz^^`^^y0+uC%j%&Phc8~ff%EeZvUzMn+syt`Dpzqg9S)kiM{ML<*VLHQbl3u?bIhR_5fmyLIeH+$`#;$jzGy!>rH3}Hq*=~XbjZVtB-O5&&dIf^taZsmTSky2Gr6s{TFDGm%rw6hp!-bxxXI4u zUiD*vX&$}!M^R9VKBr*unJ9k>OHSdnPI1Q7jTKFL)D^<^*cm*7@D;V3PxZeE{aF9* zMw4bkIB}TxZvCOz9YQGArUASNu|-zponcU00jFIz7M5|1e+)8Ewi|)3F&B1D-AaeN zTkkzA!#U>1wMmDR$-L{ZUUBQ@;OiN45z7We7w zReSSk-xlJ=eq_iz#-PykUe1e)Cw-+=O<_J6za(A$uiA%Z-41px_HWqP>vxyr#Lm*{ zwK{~h$g{$DNohM^@X)kallVs5vN15F@c;iNp&c;2QHhc$tv?KYBG~-3TAUBy-CoB) zdm6gcnZ_+9LEMDNeay;sK=P%W7EPjY*Wa>AKLBJo09L`g(BbpDyXP`8@E?U+aQoc$ zN41ki(vm87uIAjg_Hya@L)foghI0CW$c_Zc8AA} zt?4+P@0yg`zWXtY^P_IY+HF=J#&NjOIF9f8@_$!ETf!a|?6?GO*8Y4_HO~1=;&zpdTP0Ne#_{;=CI{o|g6qeR z2%A6q?XmBQ2K87?2L%7X?ohp+20zymSKJW`3`;+>JglyLx>B*ZbZ-;k=cHNn@Ok^U zmXf~=MjTe8T8g8@pMNL++~kkWatVj`q9pqI3OVZW0Qzd+Z18^l?2z~gjiJ>`RdX8V z3XdkkqME;_fcKVOv8%xE!*hk7GamzpFYYJNOF1`o*A>{?!ITTai)(n(JlhoTb_%UF z6-uk>-U$z@c-(YQWb4xvhlopgyf$-(WBnHLLaloTdsJEcA^=unNO^yB(1=nkGgtNM z;^{d;C5IF^^8hwfq-d=JQkc^e)Vl9|w6k}=KlWE4+bbzM#6sUpw`XCEr|&cxqgW>B zESk3DVfN**i3a_IkjhZ*&ccbLZa@|Rfi6L4kFUw*+g#5EAjHWI;+<1N!uK>^R(&kP z+zfl3_vtJ|@FH|7CzRn9z=%2n5&V-_@n#DngCw!sdBLQI9GW0a_e-n_Bks%m`~&;y zAWm{LS`qU$g6cmCd6`k~jthC@?}s1&BK6f!gRbGE`s2~NCaG@qWnoY?Q& z-Bi;d5;a%Pj# zm{fT7^zxI*26+fLZ*z{1|91))&o%IJ-8H|%C{JUCuqg#wQo-# zSsT20)S$v{d39~tN2zb;jWY8lnWzUQP_=o-p6IH~^fg1ydpP|*xjiq>gO~$9K3(v~ zeJkj&_4NdyQrBtC0Gd+v8G4mU9%b^umuBp4lb+aOZg;)Y4p13AKZ0*}a)po&18A+N zyv?uQQ7qh|!^Sz_t>T&rsvyMSNZ@E+c1DPIWmlVnr&$pPw7*t|W_FkPY2>i2(KD~p zT3y|O3{~gC#Hj7_o&UlY4UH-_#*%YA=i_)aCkziAAAfxu4}QJ%mwOqj_TDAFM+h># zptlQy6Yg8JU$%3=JMoQjGK_0cWRJx-o$Drq+boNX^S?+T5y$VgKM%l~vBi(1xou$q zjN$?W`n}tsT>JolfN-2A+G6!Vx_4Cwi2Bl;TE-G$lT{oRApYQ_OGeFB7j0Oqs-IpM ziT*f`u!QEMq!P4`T|fK6M4v%G#20VYITD-<$%N|WNFHmUNH84JaJ~gqn!d5{VWV1- z3lbxpHizNN5u-aRviz7|{t@kdS-FYC9S%z%y$#q^wnWXA_F9ucunxffZQ>x6?;B=xSXBW>%2m-uzmn1hh7*=&WLgoXAiCdh;Ps@N_Affpr_KwHU| zL(9nR=!$t&lVIJq5S5SNM@dTvG3^}s`4LjbqiLH&#Uqd(Mb#Z*!G6b0vrp4)S^GAF z?bkx>Rv24+8aO9{!~>j!PzHPAuc3`+G~weHh=#3~0ZGNRa|fsT1_zs;+RR7561^;Ct&^%H(8Yf>c? zwwaQt6s6o}U%#bbQ`Wg5{Z}~a^gzPHODpxEjEpwxcBgMmTQ^27PkyV%U78~f44_KW zHewZ`@UolbMKFX|vzXrFp}Z<^Oy8(xec1MEwds4`!U)xdwXh3>i4SFD&ifjDn!1k+ zIr%%owjXuk#-=sCQvCAjL*$7%>e3x?K{KrLEDkPKp@s+BE+2bsTp2?^_`ciQzSBgY z^ZaRCvX2HHQkT|YbMY~FYi&kmAX`R4^O(Xi^vvbclVhJ3Ubl3PAmhY)L+2#f+_5~G0Hg5Ps{IOI^-~9#U3``{sSY_&)x}_i0YlHcof+Asdwh zuHPglCSc(!aMgaM*3fjW>QC_Q4LkBP8vjg2 zp;a^muyVwiLh$ZArET^i239`yfHNAr!i^D3&R4n+`)xKZSEbINnc*=x1uOBZ!{JAC zAAr)NEeIT$T=~&5!#ijK$}3evSD1hdLri8f@kq((^@7!&OLT(ofvT5 zh%t{RVV>MVcbR~kJ~R21qRHpVDnmq2ugllFe=$AYby`dHtkGWp(m#lBS=ZC5snshbIOk_S>zu!B%Xz(KxBc%k z%$~b_E%WJ&_L)gmiJ*M1%C6kwcyly4KwP_d20g8h^~Mxz=aZRLakP3nSv2N&R(x%b zJ^Ik^=RZG{X7He+6@n+*uko_6fM7Sgv<%L)ZQz{=XeQ`AKL!{tLyy#z_Aons>KR+X zBwpu%T09A`|BVWJYT3_`Bybcfs}Khw#2sw|S;{K-g)Eg)=5i(Pg$7F<5ez(~jVO2X z*oZNTCkdYXRxO=gj`{o#mn4-n-+@$8i3w=U=m1(69<%6VrBXVz98-`79ois?O+fDk zE~3hETD%3Y884nl#sn1d*&9~&re!iq+5sZgC^(dh7)br%xf57XlP zVdqUWIwGc~)Z6UqRarD(lMMUYn`c=2{)87Vo`1y->vS%pLipSx>%p03&$Z9JUEg@N zbD3qvZG8LR*m1-uu`*4e^hg>yjOcs4wUn>?4g`sM+A%CPt73M01|Fnf)IS0jOllmh z#peGY^i+4VKUs6m4QqKHWjHG}oEMwM2q!t&Tg{KfU7)l(`fq;0M1vJqP*=>Oswu)7 zuwN#>t8bEw)&<{9j6dl41Ala-3z(tnK2Hy!kh$o1`OhM$O94Suhsg&_q>w&9X}0eA2z?#bxYH1oaDa_C3-7d zTDu#_nPw1!il@6E$wJuzmfkh2K3@?;u)|NS$A$EMPl67`wZ zI>UK|asP%6(V+~0TS`Z}$uNAgvxrk)LWhhwuv(B4|Det@N5W7~{`eM7G)uRO zbCiVpr7$`qZdCElk(0r~pTPH|g=V)owi5NYcoFdsD$5F++7FavQZQgwvRE>Jav=^@QjIX8RXY-&f$47O*dHL=ZRuo z#01i+wP`E-5j_rpBs0Z@EmTCsXL*S85}PY2&s$w8hXcstVx$^RlApIqFP?7uqfFSA z8{TPKzjl+6{0?2IsHjzTRgk|v2`8Xk2atztcjvs zS%A^Cit%%9Q}yNy_i=@Wx`mQYpwBwdWGohWdGb*wY=&bVbHm+l#cATybVi1_2}Gk_ zLdz+=Bx%s-%UFf_xhfYk-s&+B>_cw#n>L$5{_3Zz=3l}LPDIF(w%JeCT_|?=W)=Qw zxbdC)8t9d>d1;n>h~`w{x3uG^85}M2?9#1N-!8{|#9uuHTC}R{voZ}6_1>uVZ|REx zU&_8q7gDG)fVO>4(|*8v(exd;Zhla4cpX~EKJJ~)|HzwOaJLsOXW6O%L12Uh)JAcmk4*r*i`t;ja`UmBMY#hPGI7#d3SJp=%qBa{xtA)f0HKv zHMjtMHr6$nTouGP!-x)F&g>5to~|J|UsZv)PWxK*HzEEhuT^H8`Nf=ONhh{$9E)2A z%GM39F9=bSI0u>;urx6+|74(}Rw+13v?G&`xB!M?;$3142z#Dot#i5<=^1hTt zDy=p~>)cQQP$ocAB1PB1cDkx><@8d5^lvU+t^=^e(~@Y$!}-*mPWqJqVA zXyQ!^wUeEj2cG0C_lNG!V}M~-Sh3D4vH`)1>3uV4cepS8_i840@NbWLx_MRboyCOi z$P2#J;v*w}OiH{xvq22aFG%n_v^KPi77cxYI2NC#V@=EjFbFdN3`|~-6VX?DC^}|` z*s=t{E=Ev&hKzEg+&qBcT!3)>Mu^i7eqP502Qq*Gkc%yZqJ9F~43By*^AzsVCui(B z84!WkV95`#7R;_F%bf=u&HT0HWbc~e=p#2x-@Q8`eYXRlH>kUB*-wz|>&+b5b=`p% zuL}U{$1wqmN+0Jq$5)dFe7|1nqQ<@z$c(6=%GMI9bTsRC@B1E_9&aXIhwIr*zR#FjpA#QeHC~%siUs;gXUV_eiCOe)=wXd6m$)tgn zI{j6@f<`xR4EreP*Kj1>#aTrr9ki6!3RS+z{Tg_b8D`u*nfxG!l&X#%@eNb4N(Y7H zN>AEwze0~Pd(x*kSn0{#>S(3MVJcc_po;8PC|?umcF#&h3E)cuNCnHcd#Pq}b~6}( zEYknejWp4SCmo^@8qdXB#p2~Isudvyu8ufcbk~*i>&}(2`kDm;*)+RF3+~sCvLH9{ zI^XY%N>MsUS6Xq7HTM`OfoGgBbZsra}n$m@tf7is+s(*2_ms z4>FxUATsKbm#6D-K}|j~i4dI~_^1;A#kbee3muA(YmlHr-V{<8DUCop~Xk{zrh!M8oqUD$&YGN&9cUluqDDr z;rJRt)*)T6Vr~7f7(^e4nz#jO`7+!&yinL#1bZIuN?GL@N}e#j#0))QcxXU#3PG=% zNKoatE%$@k_btyxSOv$9Vr3Xg$fFHwGo0h?+YZIcU#t;#g{cVrVe7k9&||rsEy>%c zM(7@O^l%0VvCs;I?`^5n(6R#@sYhyLlWwtu{YGdbYn38xbSRJnO>|c2OI0bie9X(D zUk1@Np*f#GnLpr0Va-?yuJ7x+ohsPB`etmvsGw8e4FH!!Ger*qa6#s(7Tnon>PP8jDvJeX`GWl?S-@8{0KnLM0Hd`4Q8Y6YFZ5{7 zr1Nahe6l^m`^M%lAOAjYv3kyxvEj`Es*zBGgtcTMa%Bo!BlNN1E{+r?&&8ViW3k}d zOPEeqhH;BI7=kPlxM$@HwRNc71Y2^usCJagJbSBu?bllCFeW4L(hBrve?k`~l<}~H zI`Ic4^)8^WH{2)hdo85t9!Fec$8*zPX=gjyO}M{23lRtX%VtWs$Qo!7q#~x@E(O}) zMynOxp2si7o}EJc^VE7Vq7e!scJ{;~*l6+?yb@D1_UW8*-6uth^6YbScmgFq5zD zRJ2&lH{`7^^2UrTa1`Oufdr&>q0ki9EEI*j`#@!i)%jQTKRZjHHc!6CHKnOVbbvX< zv-poH(3f=Y8+goMH9fC@ekIQX>`~Wo!wBr5G7vL&eIDQ4w?IlLXQ;c6cA^zVLgsDf zPyCr%5z(SvmEKE65YT5C_pI?uC~Q-g(I@>9^WT@##lrLUI7)r2rJHCjP<+HU9VUrl zG6u+k`n9R$@<32E>u0(d9=SGXuqmr9fqyKb3VE77Yc%0(rR2+`8Qz=`tjAvy3B5Xr zan=Nqv~6T-ycHCN0EcFNr&6r!&oD}F;3<=ccOi;?q!Cs_T}l1IwZ5(@rX;Fep?hyn z!H%~2%++cK<+4fJsGPRsfuIkP*SV(xt9(LNXki=Urs$8GR?{#^*d<^W@pzulCWa!Zif8ZM<42`q*`7^VMelz zfzZG_WBb2urM?}|Rk+nTMvu*LJjy5Wka_ur>p@uQMQJLLwHOZQ-uOf6pjIqQ@ND(R zXn)z$mX1Zw*d+qh_!szAers`>zk&P<@G5$8(43oe9jkq*8o`P2`O3-Ggevft@P5%OuM7FH^ z56h1)(41f4w1v?kU1`zSTMuV#72#UijP^fGt~&^^CUe@Lquu<^Kb;59ehJT5eVvo! z3r5%v@Pjce!mv)v!|Y|mg*f)jHI-b|Z_yFUwJ-@kxIFBf=q`8Uiz1xyH0NjWlnu1L zq?N~e^bzFLG492i26Rm3C&Epx^aabu)D))xgb}^A>*oon<#!v0L1)7=dTrZAbs*p| z*g1w{_$rO-FlcxPfqlF@X2o`1-$$@<_yfGM;Am}REe8yl;XNVWYgap@kB~&h?(6!N zS!Tyg5hixX<^3=<_#z}@MoOp84=!&-BMzV@9dW9t`p+KYRap-^yp$xK$}yAzb7 zbCRgdhNkQKX0n5V7q;Gayd8GKK2#X(tp4xph_I>+KV)=dBQS5AD6$qGb=s_&JjqSO3_a?j)`vzUm4d_}M-EK; z$#&MWiP!s4i!tpuDLI-P(mGx}fz(akE${8flA6XOYl_fA3albr|+ciKq8X2bO)72>NW>rmy1?6FgoZDJ3OT0Pbk_x+aYA zoUW$FcL7ra^sNYVjT-YWESNT1e7!3H!E7Nccrnf?B29WYf4@LL67rjCQVtOi z(I5VjZ)lE8XS_y)gXcAe>YJnpJx(r%JFokzybSbH**opzkv;J*Pd!6W^23idT>8cJ zSSo^VCoZOVF;q*OJ4$6d88;OLV>i~=emZhpr&%p)pIXsgj2T5i?cW`xTAqv_59YEP zaVc5uta>bAuiu7od<}c=kWh`U`__w*mW+T{grx2h>z?k5HgdOL1N9Q@`^`(Kswl|TUtbSoLfQ?Yd1SFktsC&|Eu#f6nJUEQ5@+V$cw^Z?CG~Q}^;m8# z>ll`;pg1?f3oeCG)K>&6QuQn`kgDfskAV?Pf9$9E9d@TTcq<#%=37CdHKQ!6c{AG; z+E;;Oy%JYH(zf?aOtUS<@zXN-^IjG_x>cotwo5ov=C5zgM! z!7^3{%4+E@B-V^&usWe?%1F{ROV0giB$F3xm0I2-{oC`wF-!(H69?^+W!(C`U|B<`VrH zV&(lA)s^;Z;`dnEgLc%Cv9rdeHKS;d@O886BrA5G;GeslG46Q?`~4QXaHV~R zej8!s53w$#lgE%;Tl2V1i;nvQ@Wu|+?mUR{J~tFymlIDE$LE` zwJg)Kkb+S^*GVGxiGI;W!%qF6?y3!U;PI+)g2?-gZ_oyP<+RgSLYGgyxiiXmKP> zxqce6h@`fuA@`>MF8L~T-*wv69?<^43EnxRVLt~in-?~s@X%r@P6xdRh;2UpMel{@ z^q1br+cnia(K-TBR@Ir5nJ@l&WJpYM=c4>sve@$$^l8VdrbKe$Uv2kNc>7Y&2Yc+0`BuC>gl zWrKrMhVMdyY-f1xBkv;b|7}z)B)cmK>m|~evklcwI4p%}^cJw?#5rvB3Mo?^9hZ4g z5K45;A*m;o1|p@iX&ZH#Mp^UApDAnJ5ouPT?n3r&$ponF$9H)EbJO8mUerB9^q7Ne z6RcduNCUd>e%BDH=lf%6(lC2wJjUN))gT)aE}la)~#i55e8dJOi{@-e%Jbgh=nDlVMRp!o7;KZOqsXrn9C z(N`KPmgE=Opv{G%^{F}O99rCC-cVB>Pn0%~k#c60fi>@qy0#6B9?cnh23>q6nrbQT zsPmSD*DJQSpmb5EPQ>#@UJ0OM`>j=M0vmu{Qmbu9K)&{-DPq*|TwdvPHf2(2x82Xf zqggo{#flbbhmgP?Zp>GF4-?lEuyCbj?<1S3xKEy8_8Fo7IAMM#)hLw=M7r?>S^-Zw z3>pwxlTA^C&nJjN#-`?yk+tUm_STch@-_CaiFo&O6{RZ#fbh+{^^UN~WSqeU9QjCxf^O)-C!6Zbk2z~6V> zBtfWm+*gzGP-S$WlH-vPEANsQxz7D>=eA@S&@Ph^hES5omXb19WoJ{z|V-ecHU{A&&0pV2rT+) zPWP;(bRbgxp*l$(P7B(o4rOl9+AB(j&dt>vy;ZMrsDf< z$^}4|zuo_B_VuGf5W=aU`5)Xl_yXsv_tjDJCVK6(7a1JqO)TBBH@oFHnB1ht8lWK@ zy-wM8btzS3q~@3W2%A?ZrP49{={9Ki$Ti7*$02*kL(XOJSyCFYWY2=1+jOB-Xa?_M zia1O|XWQS!4qq0~;_zvdlDskI4OY0h#+YWv*zB;yuB_VM!z?epEttU zNw=C4wU3lsKp$Hx+2iO)#F#Fa0(WgrBvJBz-)+#_c01oA2(H`bnBaVM>2sEwqvQBR zUW-G>fhoI}?OWIvJ5~QR`YXKX=ai>fM-0dIb+qBsYsK(-QyAshsEK0uJp((;_L-}I z!)X-5#OeIuJ*B{yy%tOHS<0)rK56Q;xIN*|vpkl~>{j+L+ADF6w`Aejz!>b6kcKQ} ze#QLi^pjuZhtjvvYj68Wgz8g<3GLqrp&7W0itp>7Lrc^F>FeiF|%Oo>(3lp%hNalIN6b4ykbJpU(nPeake@NQtQ z{$qI#nUfL=k`_?@QxK}D!VhpYm>xM2qvjpcEpD2`>^~*EdrLMZ!g#JqcglmD61Xr_ zZ#YGN+5R2nY$^)3{e?e~9*1C!gFo9%+29cjdmulEfLs~FQE2-lbo!a!NAxpnc2pxSdl!N0n%l5RpCLB&vAUuOO zbP36qRzJ)l4oP0~PS)4Y3mMFA$HRYUhbS3E@nE?f5&QeNe{4<|U6^?x^rc@=NbB)q z{z7yWF)uVrR+by%UJeOQ^-l7~YYE@s^e@6pk57Vj$(jMWr1p+owvFZZ!Q(R7Ge5tF z(l%ob!XQ8K3gi}&c&}`0LqS43vuGs$IDipAyoFTDGN;?RRN&(xo7OJq_lWzCQ2T7{xS7lZ0yu|Bh7uDt1_><>#% zJ@~PQL?5tVr@?S|L?`C7S~eEE)VE&sTiqM{+xA))(SCiW-t$#a0AGodKEZLc4A~m4 zkcTgxV+$QOb2IaWR|bG{GN8R;g*Lf<6oJ0`9b?MG^k)#~K&_l9nZKlKIZ0E!0`+V= z{y1ylxvv9zt9w=3j^*S#AXLtW>bYH7V}wh{o*`L!oT;kruiEi5_G2O$0%YSh@s?ij8E=@@a6i*A4#f?A~<|Zqyik93NNQ_MB*viUzenX55Ru>;V#S%B9F1jYx zuu0PPg!K}vrIn-=APz~}V_1Nglz!9|AQ;l$C8r6J0@cN|Q~_f6hCs)jCVZkcTrV|P znbIrH6(F#NX^2wk$#uCAt%$7!h)Snq1jS$cC@2}Bl*Yo?`G}_tYH@1*j4WxR&7{jP zNiTa>fM8R52zJ{#V?KhB)1TO6t-<7Y(PW^vK7!g=MXAFwy8e3?{!-iwd>+-5xHn02Kzp-E7U>7&hPRxE4(?}L#` z>Fe%d!e?KxWQCcDqDFyO*A>a)ujniHUVo;?ovWWn{i}(i>vL<+r)fgib`-(j}s&Ex^ zz=}L+|MC`Ja2VCNuZ2*yD5W*$y!bC`=m zC2!8V5YA&JiH$R8-oh~9(Sjc&!WK+Kc#@gyh~D~&4W(E74CrAe9K$??E9c7X{HO`J z`QVJ!Iy1_2-neD=EVDf#Ljd(E?)@dmlhl>HcP#yhq}gVYhJhCU{qb1QkZoT40mfFt+Rn9M zY|)dnE%uA-MUT+{x4^D_{o+TV^B{F?%u3GMJHM9K`##wh#{RTeTdb3~tKMZpON1xx zvgb>v+!gFd9c6FQ%JRljAt!Mwp)=~k8qPj2T~^Rc@qXQqG9*PkVw_23t7af3gV#5C zBmHGVjPpFGZ412_SH?GPog5cWTY2ApF9m-)^z8sASMqZ5g=vY#%PWF@<6}4GS**F0 zJ{GK(B2$V5sITNr&8!KA>@l%7DUjNyDBQOXeBus!dFQvUbi!UE~=mpAbi_fwD4MDmD7zX4Dk#rTVt9pbYa{ zOnb7zrinGUqU|sHiT5}R15Vs$XsK>dgO93gOA7rj9ZkiiKm3RiqQUuhgAW3*A&q`V zNFP;AEI7};2fA>;zASMO(R@Xn>-W{W2P3OhU;7t~J)RS7Ae_fs!VvqjJg~r|OKC zqTh{bw)^~*`4cm9E0e7im`svTf4WKPv|N$mP~rVFc40}h%w6`jJP$0JqbQ|mCkkNE z>ML|?zR=-rC~BbTP_fY59>-=bw8JASXYzBc@j{rCdfMx4$(U+&)YjRII<-Qdl=4@p zCqc{TZ`w&yay5CBiKEsT8Cu3pj_K?%Xl|8g?oQMyQ}$C}gw4x=H)MMwm2&#%Sp}@5 zX8!%uM55e5)a~3~r29bxiNI1@J~XZE(gL#y&tI7=sgOJ$`^7&oFBKx8i>(}0D|`_{ zi4QQR<@U!qC(|7-tuHQ|C`Hoa`eTd!gSJkJu>WX2i1T@VEWJNA{=Z}MiZBGh+kx#- zKtE*yt5;i*y@!uYhlNslVr3Pbef`{67V~%=t5DxGHm@TZa+h6h0t2SN7!G)GDlGQn zSaQSMt5+R=o3#}VP~^OF)~*(r=@xZr@W{2lb%$lAV*o|U3evegmY!!@$=cXL$=03% zs^)W_KNY@6I-}4Q(cs|&daL!&^@m5qVMgdkPad)f#Wrtmi{Dn!9(k< z`O8gZUYE<}QmOet*Xj5eN+P@_+vN=Wxp%`L%sc{dupe@|J{LK4zmD+QccVm-rx4Gr z8aWw$qA*@#(gN44>N>dQcA0qxw40pwOxL3N_SfHk6)gm+;SP(>%2{iQhW0Ng{>sex z*Z669E5^eMcmCf29o&PD3W7{IEh z7GrVc=1syCLj2yLa86?h6v&=eU14KUic%9F4^>LVea-&m$_2| z+Ng%?&&Ae`%ILmuq2HUyXl2N`rOzv)XYQNrIaa644v>|9V^vJwfie&azNOeVs;CG^ zpGU=wgdKK;uE8d{3qL&(h|xD~y8q}{pF1EaIoJFV#GUfcnD!y;uDcw1T8ndW)^|nFkj`Fj_0k#7 zNTpe-2Q%Uz0zI%b=UPZ&eTWTA5zcBp_&pF^>-#bM+sJ!U$@SNmvogeAAjplGj`F1; z=iQVFUy~n7R_~Z5!4^%qVi_cJpln>Q@lAf=6QX2$hZXsDTQor8H0MaqS@W6D~NFY#P zR;~&sp3nKy{JWeXlUt1()D!E#FY^(c)24ne{2jK$q z_Ia-nHxL(Rv*X z`Gy87HTV#L<8xu{=>L%P?eR>%@Bi;c?y9eP7S(c|EThN28(Z zy4`kNoBu6H*mo)}<1h?;3WhN>;<3$cj(#|RRfiy`-?YgUZB2r z_noR1Odp6{K@ffc`ql`(72|i11>FR}UG>8bf*_R7VCA3u_R)t9?JWZuuRw zeeJ>i@#10FUVvM!R=Pd>S1Eh?eKc#c+o&_LfS||&bTIUe=%B^2e`UwqA29vevxWPZ z?b2uM)}rbY+e7-)u%}jLtmf^7c8W02U&r|gkYAgPtoEiy;xZ!>tXoM|t$kLlo>r|I zR;^`LtyNa7XRTWOtXey)TGy;vEv#B)ty&YTS_N+-#Z-&i@N>BOqPQ^E!?SS>rfE#5 z1FdUc8-4+g<=9TeRX*U4Iv&@_|HA%N68DnqFcNIB1bJ}SI(0g-u-g(@QBV|jk$#{| z2G3OtHvjXu;10h!3V(-_M8BKr$(~sE^f!R7HUerO)rJ2mK#@_T%ep52>M1AQ{tE=3 z@#L}gt$2f*nGWFhtm=Q_h;YRd%f6!iJaP!k0pKPk(#bT zLS4zlf;-@k`*kaos|3ZY-bGD8(I*ND^^A`uWh+A^sg2p`ZJW2#R#vzdJbr@@&HT2`v%S(nrsO8<~l64{Bf33)I3eb3Fg->Dj8PCU}I@{U^Bvv2OiCazxQ5IUc zV4L~bL7a2i7jtwYc#x6{uU&uDvz!Y*m>+&pEEfAzo}u@%)Ygu%jQn!0g_sQ2F4l>N zr@f7JR%+I`hEEZ-ICN?-Ns*02I_$JOh4yf zv`!vzQK&FP{7b&lyDrZ4s`Wukjs20g6VC|VJntvaAM79;(iXcR=#6#u+3M52RLH!m zz~+6!Uo1}V@2Zz;c2Ve)m=$^KR@79dw>Wp2TRaA8MP4)a0{z*?DfaOC7ZK8|vp zMZ9zP-l~sWt%xfZs*`CD|3(Ek1}r|-JV~B6ah9SSy7e!VrSf4`KBDmR;%AMsxcK`w z`=#HUpU-_TEf9JS(?5ZLGl&22BC)Yk&ww{3*k4;>&(_-N_>KC*5mym^xvV;F8mgw7?*v$DHx8z!1Zz@mj()6Napz_jcWv zuXWuAGMe+$!~lO|J+B&dw*NPPm0rAwJ!Ifpk|y;5QaHiss3m;yBYk-YFE3RDtzEVA zY}p%VS}XA1$~Tn8)+~+&O0`2D-r#T7Z#Cz{$uoH5CDzN`v?|yW+ON92Z56rMj+k^| zREz(EFlBwtajN<-`(1kRNO9Hmg-OWvMHoa+K@`4zlp+!s%bh>xV#bX6Xml{ULGU2# zmq%<3#>#$*N@Nacs2q;#{ilNOd{#dZQmUGGK$S2Xx7O#j<}#+4_` z_fB4_uR}j?IX3=FMGE)p3&McRu3Ee;UaTM`crR1&8;kroO%Hti`(s`!IxX;(>>G^* zrckys=i}pX-sP(0lxV{l{ed^Y_ zYf1y<@`QoR(*8l{tW#k%D(#da2$dM(bD^xb;0z%m~Jt+(>tM>Hp7;yeE38AX ziPFsMDDx4a8oshc#3~}1Qae3OGH{JXAmkr3#5f6ebHGv`IaF(_sHV{+y;L#Q>Fdbf z=7`_+Xc%SBJF`9Qw&Jdq%Z+xV04K59pwo2gdmR!b4LM;K!ySdQuu^W3ce(>gK$I+}5VUS+_?y=W`Fd ze6V!N$antow>=yAhlV1l>waQJg*M7R@H+8<2Wkkgwl&#}p5|Vs-}mlpN9xDP6?8-x zEKW&+W}nRlxB$4(GGm-pg{|ua?!|=Ul}5Tr%;NHl4P$nljb|c6U7PSX&M3DuY1sox zD8L^Hk>8Fk?fal^=buU721lHE9;6*5!fXQ=abXR$5rwPn`SC2_yQd)_oo-EKuc?YQ1Ar;j z{-xo`Q+Bbh)_!|PRi~eT)ec25ev*7PCvFS*U?!v&zwY=uTAA&dm6(jBPTfd(5_N7^ z1F}Qg0y09LRL~c5E2Gu?$-nAh%w`R&T)e=`ru*~k;oc4%`-p4j#1W2Y9c}RTf@-FA ztkH$b+Lt#D1hp-m0gVsO{F+A*linSot9#i@{uI_OxT~AFw&OoUOn!X1pwU1amczP_H!=B9=PduN{wdx{ly141;LSU2`+Tv|0v2T3N2OnPj}f zWk$<9_h^qMOVzVRBCrR++?AMm8t?g^sq2j0DiS=5Fh?N#<2DNh-)gmpFtSEBJtgD< zjW3l!tlH>8jw8XkO>e03h`^g2ReKPFDG77}<3F!oXwTBp5Iih)zuJbzYyKaz0yDdI zCotp|MZqWrm<+>gRXvPe3Y{Y-P5(r_O zY!99Ha#~#r{0-RK=gUbR&y9rwm-8ncM|Jz!1HY_4JRzfy3U%GGl&t%O!Vel)z$&P; zS7u;$eFaf$%pEHKC+tW&`x-?hXF#kyDxZaL-+lX*5mkxL)UUSi8Z4)qFPyUpP&@J? z$1F)%%sDum7v33o%X+XWjiw>F*zo;L)fW5(R%y2EvnsR5Hq)rA>)wPoY>mI<>ZUE>Je^I^1 zjoLD@tVQFFljlPd`pieL!Dhc!mj&K~VxKu}7u-(n-cwPFh zPXE%-rLV{P1%+Q?e4+z9!bYcBHDPga9Qwx?4^~mkMst`=%lGJ}BlRfaI7*+6d)56q zpO=p)kL6Zw)gL`Krri!}HO8s6teYgx_ZgOjsT!$FwG~JEX`=BF86ArAO zXm5-iW8|daJ57(GLw2#e+WoV|PM;5(CU?HTsJ+F!8JX2<#KkiR?@k{u>CO51^85xk z`mkrJ3vgL2t^ua-miELUs@VFaC!3X@KLxFU8%X@uRPoQG@~)kFIk@|u?Dm70sAKhk z-(LtEB?NU(wMAS7rBQDeu}Lp*KKaZW*1c_NoaXwB!3 zkjpRw4S^Xi;8k89DJRc3rR|DEQBg@*E#OC!2IfC8&83u`K?`O! z_ZCZv!H|+6#Dd^Dvbud1U*&xB1I!D-cHGwjbx9}N!MEk+!JWrfBkU8xHODgik+S!> zGX<|86BM)E!T0RPhR^sZe5=)goHJYPD=q0h5bVzj*jc`_LQYj$`y8vuGMVDc43)1n zQ-LG@-A5ukH?KrNG`tTAI8DCU)9Pm|fp>#tY(OFK`jI0d%Y}yghBgQ{#4|WqgX!1o z(dY88WPLn9ldjK}Q(!oZe{!vfJZ2CTG#fT2V$kg16}flq>x%={Mhmh5gA^N+52rrA zdUz z;tW3SZ7@xG9xF^o{y4qi0KCg=vCpLKU)vg2vB3NxA97iDXU55nc&g zjBs{rTYBd$q8i7&O+M9MMr!796vL~0$KYri~o_N?i>Rm!h$r~`GD7lYm2(5gzsYN=RC^zL_M4fRA zlCkMb87#Y3V?Si!K7B1&rUy$AilRxFrCFLFh-Pl@AxQJ+AYiMR&Li?-u}-YVnGwuI zN#+VK-{5(V;Y~L`Li${~Cn5bIA!Ftd!Iy{~?=owOF`*M+O5A%mUyh5wU5!~({Hh9b zhNT(m0MSHxCv*f8qtrxI{)Lm3gK8zGRh~I#BW4Hsv6crml=9Jl{TqrzpMtnPbpP6_ zk86OOD%!@YyS+WxQ2rD&Nb8)D!0ebSfbLU7E-tV#=#RU6SB$M(#xs zMkY{Sv&5P}@N2zQ;IK^i?Vj!K^snn)ux@08$1H1Y9~FfEdb4&=8|q1Rhnyyzs@Ch3 zEr9MUce5?}75-(e7y;Ko+tR0A46xz8Vj=ruLr0*L-1C+<@zmq8xg`qg#BYUdf$vFm zV`lH9Z1-aa+iFWmv$Z~x<0=mbtHj(acr)t|8hP?~GfH>8!1MtDce+l#KeYY!gFv3a z&EC>)2eDIIyo3o>z(+XDtf6}3BW2E3;QybPg?)kcY9rH^6Px8rI4I6F`?W<#6FRyr zp5X|_SCW}pE;5&Uhl4z`vQ%MXvIcIWu$(`_Z!7(Cy{HF|kZ#WKGe!&cVe-y5a?`TQZRNscF)mNCDN(D2gP%xc~ZeA z%|su!K%NT>-ZJ(2ZX^4U!CC(PjYLRBz*EAVo&hE5&#E;aITOJqrf3jiU>R0auhX7~FyxrKJp1EOh5Y z+V!-ZhTRUH@>=C;-X=WB2OZ1YIz+5#ORIgU*ZA;;Gv%Yw@J@I1^(Jq-@aT_plECh; zRRvc~5HVII5M^mZe*l;9>scDgKJ96SR}DKUPVXPBt1X&eN20RCvV&DSQT@YWh&B4F zY|ec`e+FJ-@fo*vZeP;Q0>S^w8VW^-I5BHz%~9Jr)OUQTWpjjv(c<&%f31M}bPv=R zwRgKS!!#UK^>#N)BHy+eRYRX|H}hEL79+A~!+>(o@pX>O+C;0ENo9J>-zNUdw>yFl zZ>khb?5qqX9h>a`MF?^@EaQB!Ax8mGOyw2E=cWLYR+m6ML$Mf%POQ_FGn1R@Z`l`l zPv_9{7Xv6jY@(Z%vI^gm=X$VPZ#gpz7$=)-CO3WWt*k`)TIw5fw=pc~a=_y&0pUH^ z>Nu5W(*TL)h^uL^m`edxR{|_n?CbeOM}rAA+^#XKMhaZ`lWW|r7;zI`OTRQg(R?{z@aq2bITRl^FKe zPb6O41l6Jti}l5e>1S@7hs7nToDKo3_GQNXeAht|i<+$@SrR{^&31k{H2w3b0*p5s z#i}L|=v-BF@L)~A7!Ic3h(#!@awLqA8N@otSdpR){mo06b;*8FauenYIq>aqYUeWT zo>_f5C;jJZ-$)90;77vk%+a>qq_2kr=> zO%gQtt6xDx$ph`-D~*s64v7eddKaFv<;_Y$W`@*(K8R9S?7eugvB5271#y2!MC){& zIFN-X-7++8_tY2O<=G2TRPAO_Ktm{E70c634?YL<9sKnUlfs`OS;Z&Y*C2>4SYH&0u76e$IE}_&T+UKuLl^r!}*| z$Bu#VIdj8Fn%(~)0FofbEVMm!i2XuOIr5)zB8{ygEZp?!z8hESr5CjvlcREd^U^mq^8kGxCfwCb;oTe+(I35OR2Nt% zijeKT*|Lx;iYU^H`sK2XJb#se#-|FD5dIO2x``Du=yG?vEsFX#m2)h(WSd%&LZm)h zJnOvSYN$C00hG!!qXkwJ9grs7pxYwaErr`tkb2CdoSR_-^DQ~> z-PFJ*@TUV}c$THnWYp4{lnTMpV0#ZPqd#lFD)fri3#p@Heqn|+<2o0E3c z#e1Dba>twf?Hr|NU`o!$J&kWb zJ8b<${|xD#hPhGuu4M%1BllW!FzoAAgq00(=Df2)l)c+44Kwm}ps+EptwsY5jE5cw z5Z9fzouIe6(N#j*#@!DRCg^*&YYx04#!QCm?R?v?h?M#Hq}?pdwRfUIFn=a5^7Wxw z35{v0B`TDN@@IQGP(yel*Wg25T1dx@GiphWKR6#skdou9TSF9&!egGFd@im+b}3YD z4pz8K#pLqS5|8MkMpW^Y7j{fi8eq+i|E5Cof3BYknAgvW*{LBLSu|7MZkqlF`V@I1 zKd_UVyYOMLyO-uRJRBMK-)zxctW)uA&OzqUXWGu54jwGo6(Z#@^;$6K^}Vvam)3*y6Gn(SMvHKP++=*#6 z6A@JtsQ5olBAvqe;EMmQbCF`&*r)pxXcII&fyQeQYAM|3J^a}h%VA~>VCs*~7)Gn*F+n_^InU2VQC45U3sQtM15E0D!Uf#l=uvLj z#HU9DpCl9!|B=!~#QNE`AV)V2a?)}Q;frP0cye1&%q*5)U3O38;agXsnG=NbeKR`wu6(CfRXdA+f4jC3g*LH{;=DMKlrnFpfmZ23 zKkI^9{YJe!j&YurF5ls5N}+P+KD=Vp-N%Il6Lid4(#Vg9!L3CHg^difHfAKiBZqdD zo4#zbQ*5WzZg5$iJ7Tv;v$6Q#81;Hf}h%a>bAIq;Sqw$HHjl zsaxt>G)GO!X#{1jr~uDRPVtGmnQ;_ZwNeGEDZ#&)qlKc6g1+X?Eq$ciA^NyG{UWZ0 z46i~=U8biLk(l1C`tH%nbhP9xJL=YB=z$(=Gqi&JDYv|KvBlFn3c;$~-Wp)gMTumc z@1G0ha#qOJdz{#lunTeJ(_h)k($)luE>uOz&8!69P>-%4i(K&K4HJvCVw+ei4;jNR z;!`%h>eOm(JhR2Di9=!_T8VvJTgCU(r_iJ;#9ms_mF+aI$*}X&5K*VTL;eO)J`W@I z>K*)j|KAHtn?u0Y^>d@NdjtvA8RXm0k(dLe+_a0R3WYfH4{aZL8+VfuLnl1P<`kwp zM#xrVx6eV~_t?0Kxk=VI3TgDnci?L-VFrjb-Kec>w6U_J)6{-M zjD(YzQ|IXW^POdJ$_TiUsqwjh%eS9KyJ>^WETVU|96+W>c?(cL&jR#wInF>3kM4CGoxZ&{kfonY^LvWj9TXYWIJ zR@f~j--~KQRohse2ncuozSiMuFqeIwkQTC+drvd*!})#FY5NZm+=K>8`Qa2B+H#1Y z)E5&QX@_Vc)Q~DUCZ@j+wM)<2#(j=6>GsE^jbd9-T@a!V|M66&pV%$!$ARlw2-n70 zd?)W}P~$9WJ#~T`qy>R1Y)s^%!3VV8?J6EwCZ=U3o7@VTH$eFDrbnaBlDvs&=VF2G z(^Oq(lFD{?7^ZhSAKhWgalsf55?(KC4s_E;CGk>^h=--!{+A7EN?tfCi|1fS;(E}y z>*ax(3eK2!2<6^R-muUtr$$*3tY4kH_WvPstK1fq!A_n>P$LZJj>&vPjP==enQqUA zUTp59m*=A`gl0t^X3!tyqY3+wX<*lB9&Ex^AZh`mv-|25g_6Y;rH?B2trp z%*iy>gJO@l)gYd_g=JH+^3jkJm}3Tx9)E*x^=VX_{9C)Nh4)-9)HwuMBQwsd`1SSd z%D}YgLb2yy!U?*@``q%XYF#cxc#rjD;$caQl}?FvS&E6fGNh}^U!RcH2yOHiDt5WK zYp)cfaDC6f4N~SN(ciBHRpJ-8$#X=XBHD~ykk2_z?31H=x=p?UWXUC${|tb9V$8nr zxu;*XRF)GGLc>5;^Zx4+yndJz6f{3H>5A#cb}lB}LT@0#%@`IJt{~Zg-|9JzZ`o-% zfz_bxn>$JK`V_EirMp%{j4T)z1U^T7h%-4)NiHb3SW3A;CBTwHN5XDz2XxZxpW2e+N(UR};;fvDbtyC$>d`6QtFXi&a_T60!GM%BxoNU4PS38|gv`*4t4$E6y395Kw5b4kGt= z!d-((I~uuI@fo(VKP^VFG}#;Fh|Y?Fki(GxYKWW z@K4-Hme5i@{+?VVWyMlahB|K{KV}OKu?AQA;~ePo-ub_ z!mW>F))R>GAtEz>xEo2aJlfl|q#{fc{Q7cz&Y;KeHv2Z>)`X&otK1W!28-C|w=i3l z>|oM^8V05D>3n(ta(ud@+Vd0oWF<;i-l{f>Yc*Q>6`(;!`pYfD#^k-9AaZqXR^7Mz zZ|xYCA;C4vA=9-BG=5dV)eRgzmayH_uN1Ho2%kHeq*^8slSU!HAM9W-kh%e_fqXXx z*0~Dt@%SpbmgWXaLeM@KuP_sBRk%@<%muXH1yAh^kA~00=A$e3Yxgr2a~8SRlp);; z=oS$@sJ*0{R-6_AnPw@MksQ-HM>HbXu@B=HOpu$VXQXo1YR~Iu)iUT`ZKtWCsN&?9 zhPe-NjO}Brq$3Udlj|d?l^qp9*9d-5w#wS$z9bz_Sj^Y^G6g#Zf1Rf(US zt$JidsONe2?Au^3+gKFi;FOr*x?O*#sa4QLfeV<`zrfAjY+P&Tnv~$G1VP?xHpY5b zZ5J2!L?|Tq@pf*Dj&q`Rw>PdecWrN+M!T2^r+khq*_$?VH^`GZJqqnVpbL%AMVIxl zh_=)6qB1LsdEf@#Ps((t*>5j#cx_qh!(zkz>mMyJ)@#@g2A! zxJmH$J#@DHl@?#ksMzX@fueYMksv+qOLM?i7g_8@SWBcsp$5sx@`HT1 zdhZ*N7qvoBC#g`~UkP}5x_jA8$|nHzhdrH*8mVwcMStK$)crD5r+_boQ#nt;fi**4 zLp5HRX$h4su}L9U8%&d#XALS>DuSDeCKS-E;d((vf+s{}0KTHf^Rim)m=L$s*?Qp1 z`-o8o!YCh!j#SmYuE-tp?(tO>Q9-un>%&5kjJ&`=LahUY@#mCf#GYJ-{p*weH^zkS2Pq17>2!n`L<5D^8gvHunSuCtg**Zb62-iOEiJ< z%61wnuAPD7;>Q%+<-uJsHAO1wEyoF@P>+)GRDVENm>m6+?X>5fTy~Lins$Jx6zh2V z)miAw^O#WO4Tu!1B^pbu%|}}nY&AkhN2yd#UFceTpDtPtycXG6ca4BhRk}G^U%gp? z)Mzm6r8;>${zI04*M;{e=uRocS++d7+F+x+R_(rf!Qg!R?gEqJ>lq?L%72}LrY4n+ zc;%H{^&}UxzxhtfBV%7cl*ZSQ@S>)(4emc#CmtZf;pTOz3O_ZSWDIhYT^>_XVzC)_##`g$z%HuANlvv#+qh;Oc2$ir8+ zr?i{D{n2)QOt{ATI}%@5Ltu)FFJ5Tdns~0WvmD-3O?r<{UuNH9yID>{VouGPpIwXp z40mDGp2VQ_yxeXvgnGiRlXWE0-~Y1b>qdb9AL^`iK!E*R+p{pcub3|+ac*J4ppF@( z;(cJ)XF=slP4LM|_z@{hkz?5~{)nQvLU-7?2y`}HXCJ{`r`^PIEVC|_uWmT9>x2LQ z<=l$zOvX(PE^#{}yP6=5#{_UOWNYjv5dI;Q^_D8vn`MyFqOTrAE3%C&)B-)_dL7x- z`B5D{vTq~mUgalEnUq|SBRVbM@lSr32I{w$o9^CO!Ma)J-v#g#J(k*|0bXvhI&QW5 z3(oq)I>e!Rt&6o@`@GaCZexP(%p#qheH-Rd_GXDKlI@fDqOY$=e(QDCd3EpVPd+rDN1#AY+Bfzkv1Apn`iP|FP4KH4@d|j7$#}puVo% z{6pqRxQ>%d>>K_!sCg(O%QNP&)aKphFU%peiY>2uIZ=h$i$h@DDGAFT{L1%~xS6ye z=8;u1ij1p=Z_IMPEimc1*w{!_nfpBBZsXdZYR}!qq~72<=1|&iU^F@FSrtR<_n$y~ z_;!ND$x#71qKv-{j+g7udg1oA<9H?_VH4tqFAH zq1A>>Tp}kCQ%6BTuCJb86af9@Kx!;&W(Rk9P37Why)_7&upGJfyyUyHS4$}!Z9f(e zd*0_M_~*iuLHF!6lo2(o{-pX+^pn*394}XbUzg5r%x?K{<3Q}_{vw&I3=ww)mYR`y zkeZP^*1o4LyGP`2%?Rx8Alh+S!k2P64Vzn&Pbw;2*)jB9ct2W`8ccJ|lD~bOMys3(UJmo>N=79M<33-bHw6}f%N)3=NlTN76NNWN{=YIw! zTocbr{T5lfKdzEmj&rKmQl0V{u^`;Gg5)k<%f*%EgS>YEkRwg+wnqG;v!bR`!}D@j zGhLp?sKOl7;lTxmG__b1XyLo72$Z3)S+rVyM37@?gv4}icboo(q*dTf_Ba@#y ze{8o3HcbqWQ?LG)r@?J5S{lgPUy+!n*8u$mZa5W2MD#yf1!QwfIrw969iQE+%m09G zk}sv4y=fZ#C@<+Iz-Q?#ZIN#~Exku(w33{f`@gs4=eB+XOlN1-Jj^mE_uNH&@|Pz{0gxe?Db_8aoCxY;+=9V@u{r>E%L^#>^`_ z~JV;Y_8pUenvYK`}c&BP9FKmGa_95DfsLx1CKHc%|VVn03fv#!cEWqsH->}4Q z|AQN{Y=I#M5atrVdlr-QZH>rk*LKq76L{}9tVz_)*-TvZPg2KV4j|!@$L`u&QoIFBEc-e=8>?6}vz))X2n@Vxd6tBbp()Ao6MFegHL%dDRvC<0H=U zl~0by=dJDcXO~TMGI7smIz6Xsf#E=B^*Fb;J)VL9;`xuiNgr&1+;Azx`D|eBBicku zLFAjyTWHNpT=7gN33~%kmQKzu^M@lzEGOYGta_R@EwE&kzCkeHb`Crm(n` zhf~e%T>aM~DY_YADZe-{$!eUaq_gknj|DE=I}YWFBO3!j zC+r{1Typ13tE7-_D$+G)$0ZJH%eln(5&C}z{ARe_(e+l~HEI8Ax$sb7taNVakJ+42 z@mD6VNJVc4)z*+QJR8E7`Ihn*$DbeyTRqe#v@!^q8JH&oq;Bo#WRj7U^NLCGHo|{G zj%)nJjcvdwY8o)G8DjBMPTT$6S+Ycpm+mW|bAPA(&wzu0Cr}d@s?770iyq)gtA8_j+|L^eQ1%yhz+t%yq>$W z43;j0Wsu9QOBV&1Nil!7cFC-t4XkAP#OwE4%1q1ebzCE%kCMC+o#Qd5^Azml^=BU9 zTZEw*)#+;K8dEOkgiexmD@fMbphhf#IX3&T0J%nkd$;cBdd{&gE84XfmxTR8eBs&l zt&n#~>gd?CP8jk|8ICmOB?jhDG&6Jze!a=1D|rOG>g-dBZ*e{25&kxEMTx4LR!w znH?FWD5)cMrT4b1PNh}MV|st2kp*#)vyWiYh3CGDtfQBpawGG_C?oHd!~5fMqO$Zi z6y8FS8eT9bQsUO`uAoHv+Hsw*D6=bz)g^+L3iJ3jAx~WS!Z_+HT?HTocTx&M91+N8 z{xIE_c!aesiZmOKiQFA=sE*Pty3rKRrHF1YKLk=mH?IARI5sVITY>(V*B>VGiLGM$*DNJrH8t!ArE03#nq@LT=D{$3RM-!}K)C%RZ z1LL+4=-b*88!{;=F`K|#xazDYqOrd6Nb?NjNiDd##6WsFiP(ts1}Of>eY?`)Jg|W2{A6x2?vu60R39KpVZC z&q8TM#J!qx0oyC0$GwRGXV62Wyzm@Km4w?GnJvmnw4FY52$I5$QV!5*Z&Q^HoZza~iup}3JyTC_k{3V^U--Ff7(?|@_c@o?f@p$0gI zlNI!IM(jYaPf`NcV-g~(aAa$et(19oKA*|$MgIl+062!W5{c^ZA99t7JK}2KVi;Ok z0TS1tFNJ5s>B;%C<<@XhTcfc%7m1Lr{qnD#SfsEfCg!=lBt{bGOVLj! zjUaI3@@r8-f)f1i-U8y}kL*@|4)FAfAI{mo6?8Nn=P$xdR5<#y%uEBN{~vTx{tasa zcG27|&488%RB4lbTJU~0Dtg)Yai@BqzG(ww7_4WCxfUH{tDgfr85ftnU2s2haKwT{ z>Ae#DFPWAlyerx=T3c>?r?E&8+3VH@io0!Wgza3Z$%lzO*P*1~Xj2gxm{&GV@h6nv zf%{};9ErKP|P8YQ& z#5TvQ-}e!-owGz0$4?ogWBRUxMs#-8qfw#77^h@R%OQYC>?O?BjM&_B*VAF3kQIgt z5V8;9{;aR@48XZ91bACK04s`^F)v1!5Tkm5ar?gi!sWU-3lbS#+eZ6=5`RmY@aFB-Fpa-KfIET;|U}We0`mldrP{4XnOJ$B)trw9v8z$o20+r(J4=xi5?0l?c)H6j? zA+t|8tC3J`LW$70swViuravQu3Gur>azTkHn0q{^>Y+rpz}rBfuV=4y?V}Rj+|pT& zEXpPsUWDd)V1h=96Ih_@#()IYuwB3)!QGwXg+Tn)@r%=5vQx89kgjQ4&+U;$5p`c$ z{2l6ST-&RuhMtO*CFV8JP)xXIpf$U4{a|)I55;Xy9=Ge-@oDO~Q#_n#a|2^}?(C%v ze!x=8-tBJ+73jOLKIUDjR$r<%K}?U0q2Yc~n=?n1Jf3m})z?bz!!QH*oIo9GgO7pv zIKgX5M?MU)8@hsTn+D$nD-nhKkxDz!PAe+Q!W{QRY9MbAiYvAj zIng7*NBeg-{J9iwCiUfv(q~D>#1Q@2hlcGTy{>q zi9Nu*cCi7~5q5D{*ipJ%Y@>i3I&*1qTi-NI(rvWNa%ynp?0S!^9-GLr3!^?}Z)l+7 zF2v3Y8K^s)u;hfU`P`~`DR=NN4KAr7+@9YgM%|eA8;OYYZi&qMb!b@`BRGPwQQSsK zJZ|aw!BXBFrTw9~U*3+@gpI%2&AVo>+{^?OT>#L1me>0OAEh1_7l()HT53$>1nA-efaoMV6Ecsh@ATwQzv7aTNY&c zSo^IB<{6m21B7E`o9pMTQCvy(EsAWvaC?vU#T3ugm2XbSfi}bt4_c(tDm4+eU*aN; zYeb0zyHKXWZaE!++bd7X8uR0yjF4WIq)yHbg3g? z@77b9Vcpv4!K~mF%Qhwd!_}qn`bmLOkyk~AV;>X(pYeB7E|BNt=J=Q4E0rh^R3bBx!&KUuH=-e&IS0Y(k>UD@0T1H59r5SFQnA4UWYozEav~yhoqK8o(;TbBo$YI=#n(O}mvP>&&)% zUqZa+FHBcC=+z=&TyNd=IMWod(-L`&P19T`H#GnIJBqE#wK4aO9`+FmJSSg1`hS~J zFKO`i0VUFlPwF6Lq>)Gc`p5)K`j9+ajdJC-{IOV4&<~bGW zP|?M^Iq77qaN~X%UZL*IQPVWb6q5jDB(tw!_Put%d5m$bQn%C8UvnFwmDp+U_N@DS zesnN567h?!bx^2$lVB;e7VwcMsk=C`Hw2>{c*p6;Ajml#L_-XUF#>-7)o+X6rG0X3~N<=k8P z0Fg-h>xZ-BRr2<)SK98z1_7T5DVWs?m)ynzvqm`Qm>7JCFpnytz4m0(;5!=ph-f!F zfF^hm?fwc6-bCiAw=k=P9tqXka1tKEBv`kbD_`C>JY{BhySMb{hSqPp zJ0R2W#1y~6Yb$pWarby1*Tb_L*H2G_mzFdE#fNiu7!PC84+t8WV`lKd%>yKI9^5ol zPz`sPjeEG|%ngb=uQ9VB112*?ly6K#RF+dJ#ktCT4S{NPwN<=5Hzd}ujfyiL&x5K3 z7Y^S+0R1_ut+gcw3HLZ@K0!5bnPh>P9;X^^@MsB8WKcLIIIi)4An}ku7UvePe1L!E zALIcP(2NEC>e$q=H1gA!)+)R|Ap>1&evpXNLhlTQl%>SPC~vIBS1snG=K7yF{xDOZ zY%&G7-;x&G%qnw~a$~YS-4d4nVA!pSOPNNdxUy6(`I${g+Y7E?AYtTFNrT;66v!?c zy82C9h`TT?NpCp;SzJf*wA{aXRuMRPyF$d6J?w8>M^Wzg$-{;s2xG33_QglTDC0Pk zp_KBaJ*iX4B~G0tsxqph?T&Wj4u*YyS>7ISXNl}HeQwztr+OPdS&6@)s$=RRICS-t z1EGIe$1P;{QnVH4FV~CX(N3f?!(VhiZ=&eraF3XtvqPVIxTG}fGo=K>Dng^;64ztg zEpbgr+equD8~V)<3BG?HLT<6%89zWc64U1QCDis?NFJq zo*yq3IegNKd-pdkd-%?xqV+`c3ykNn7$R2f*uH4*mo=SDiz%}L_|d$rvNZBN?#C-) zDdU6$`%mN}Mw8Gnh-j|vVyZX2Y%2S0nVCFlC_E<>8z%nW|CZ0EJ2P(z%(Gm7{84C{ ze!riHImJjPP6m6f*iNg6Mhx3d`$(cqd~%#*UX|nu^L#(&CJpbUrEc5pJRMng2rXAb zJyqW55T8~$8{34oPc9K;CC8MsH?El2zo<>E8h=Sq&qqg`6oxY|g_%}1Ms=mdTX{ok z?>Nm=HNz zos|3ksCw_Pr2aR2ylpllHPdoXnVOlJS&kgEtz4z0<)SjPG(|KgAeot|DQ+_dm8F?0 zC%C|kxo}Su6>)~RktM&=`}6x=-|PF&;W~#4IK0j|ujhI0=f3aPGadb%3w!SPJN0DP zZ5QfX0BwZ!t0Gk3MGGDahYP-S$*JulJ${?=gj~` zF7Ccszk{9;ClZr(xW(C)NZ+trV=o$r^Nok=*FImH*RC@9vz*$3L!_ zS5OfkVCA-X(oRn-$Hq$^@vVy1G3znHXpO!eTZg{~hyi?k^A}!bXIHdF-49VNwM2$R zmsP5Y@}9!AV?9?wu9s`b@4yBws?wV%twN|Wij+!8c^ncF?MdUAKpzIN%u?)?njN{49w;c1V8FS3FkiPbU zcBi9qt_F7|zh&L%LBPM?0BC-R*8{4gKH!7J*pAIoEnt9F{9@~&P8?|@4FCDjX3^Hq zJDB`wjJ&u$=g!ukR;}ayS28H#4Z5#@>@Vh(4knv zDvBjnR-(Lb{`=<2Emx;Ug6cZp@yNY&QUq@UK=^hxXJ|#QSrZ@0|xH%&xk79!U?^_4a{Rnxxm>3+9k@%1gd z=`d?_R4@x<j>vpoIHw->~$f<9-%ZI-LuKXXckb>U}0j+5lMx#%lqxA2a2VX$j z!{F70PRRPEKJW93n!>}@pkU*(=-iXEtn7f_IqRfr0PFGgchcKBNB;FK9hGP5kiZ8I zKt82t!{h(H!A4l_l7px9$^3c8(`cURe;4|ixV~2O+Bkyy5VQw}xs;B7nr&Y+vge~a0UXyt-I!DZXuFR`+ceam8=VL@cqR+&I2? zE=Xr`y6g%!oor)%G%RQ4u3?-KQ`*BI{vj}!@TCG1IU@x>By_TNT`Hk~u7L;>GI1Mr zrv1v%Pw$voo{SA~#CjiH#+qWb>S)i~T&IiMD#jv#yDZFThzztBu*v#Sz)0eLtc`!( zhG)`W6wx)T!;E}0N#eje3p+5s@En-`>?*x%tCP3!^> zzu{+hbUR`!0&*2zHdz+pZRSG0%qS$!JedLA1Gnxp6?h(%`cfy?{$UTHd_^}fYp8b3 zgZ?}_OOWVK-8z@7UUNSB*k9!KYNA95ZsSPO`holkae!!HHa^d+_B0KpvR_?GqH(26;UDVf0W{ZJ(s0K5zKViGhUPty#Jt&>i&Or77#_)}C@6CP!X zjWo?2PF~Jh(8zzmlT6X}#C#8(9A1-B*6#%eJX@Fg)$H;7RoKo8CsSLNr0T0B=*I@y z#w+CFi}8}@J3aWj+pM4PH6GW0Ny@5MKv#FNTzQBs4};Wo!ynL;k;P3>zCNpUJ1j!F zuFFsW_iG?0s$+3)J$+v*Qd4SurToUr<&84VLQ!f9Hzq1)Dz1n;$1T%23Oe+$J48eCf#)i?BzmM`)xDWK*D!8Jr^Y_;oUs!O$ZT$j`EZUefw zp5S(Z8`)M|;wgDK3ctTCl+a}#$w6$I9n>1l7Zp_R5EPms8*ip@VVcX-N?}3XuDg@& zn3ZtGOr`Ta&Z{k{%fXF`0#hF?^x7dxR2$n))=R=&qN;vlIeBM(WRj??Tyug3dvwxs zOwp|Jq9z6c|XwSKaUq|wxyK>2<< zgjtk~$Lv>IdmRoi?QGNrc2oKeu|PK`1I5IGO|7tz+!JD~6!}Hgb&9#X{woUX3>?xg z&RD+-YST25{-R6f4Y%P3*5#(7@mNhURz?2Y)el@HQIze-5+orR>;^GcgrAe;)v*hE?x1w`o~ExSZpntJR0j6&m-aJg zNZWqcrxfOkaC_qI8FnD-Zw96{uzzM}W)SM`Xs`7}a+0Ut$1d8iKY@c}VUqFHZz7aC zOi=()5PkAs&uT?b&Vk)O3o!ace7P%T3!umuTLmoBz-_{c@Z}y;HjF4#b*ZFJ zY-3%LI~IfjpA_-Hb}s{~Ug$Lvbg4J7LV;|$dD;kW=b8q(EtqF);FUMn&GMeDUwR$H zdgSR92p#qw*Mn53$|En1-2>|YSOX*t^o`h)k%w7BncG&6Iczn~*Q#)L=?_l*?CCe! z3E%#I`(}HVYP4}~ifUt)e@E6mk|8z}Z%uv{%}`3ow>I`SX1V(AW)p{aNyMVRk=aj{ z5vqNOGghP;?@n^u?A5M7`T`6va}Q!9&~c{ORXR_mtKi#Ird&rVkC72lsIoC8j1Wdu&jT@Y#LheqYZ!FJvc2VXn{KqpzLyorUO363R){+xeZg zA~n?)mCZvZ&vM$^1CF`MczQV_2f7=@%c|j(@z<)X#!6()VC#Jcq){2Vp%>uLwDKAR+*z%PZ!OG;bYtAeK68&H3i`h4OzUc$?(b;2k+r3gdB zKH33axzV2_zj3}ag=awQ>uA8wq@O?mh{no*_smbPYt8GDy0faAT?bcv3>M!O$+2{d zgaku={<}RlBYUWGT}}J3J*P68>=$MoV=nRf`vRVXzZ3k7#|N9Dp3yG1<~+XP3iE%# zAJG)|m5W#J%|se$FH(_t)iU9I!Ct~@<>J&8I?DOgKhbonHC7v?CvHs@FN!U}o?TRv zm21NdvGZW3%+%l4dz3%whrN6FLmz0xED^uyNP#_{L8te0HLhxzdCRHxTtQvctvG>y zE4WD1Yl)|yUG$2DY`x(HA)3~8&Gv6Rvdc{Zmdfo2e$s%j;0>ge!$EcmRkc2DOF$UW0xo*ftO%`+iW{HWS6FBYc(EP*vVak=Qu+29U1#|7 z^}%dvfN)u!bNUI5=7UWnbX*#$1G`XUNlmptANVEYpJR?i%v6+GZeP`mk*~h2v2E6i z=_oCDRDSdc7!JJ&2@DB7=AE15-a`C5fN0osfECMXJRBywah5RoppzF;gero-ADh@0 zmHKN1UjB;--J2359#WJp~&y5~tK4xs~#Fn0Fu(`sCzJy49bC>elhH z<&bY*b&)5u2}}0!;w-K4j*#=)nT~mJcX9-xlWdV%d!=9MQ<#fyT6u*(;)S3FQjP z4zGQ>-K4i(A*Z@%bUyH$tK#BFje>KZGn_@9Li}1vQxRva?{c>u7)ezZXDI}av=D1| z_B=`5^KZ(_-$`Y6F~m(QYJG7Yii^d<6w#QqQv5@73r#I1j7#3N7@v$J9wrzABlfvw zR87n}k?AC6?e`Oxto>8LzJ7L6#)!KZ+`=F5ACZBy&uS zK@6fvL?PV>l-u*Gdea97Sn;1q>8tX|^i|@I(USec=mtGWhLW5uLvhRcFjsLz^vdGv zmqthhpOE6^*Y#Bf7;TqIWnPi_u7N}}Z119WGCpo!eqvBRS##-KSEKk5-!CQd1kIvC z9Y)MJ!a|W6{Ei8PD)GH)bzL!`bcB!MqTE}(v*Ny#cUVwIgTXxyxS(sqT!iv8u&Khv z4er#+-G6d!WP2JQYbpK?lNXmv6U9icu7-%(Nn7g)yNAxf8aKDj(wl;wI_IQGeUecR zY^t)&qWG0?M1rx8M25UKhiSWWPK31Ivl1L#Z?^aYBerHM@s@pwCFL1xasfTbH>R4u zfk@Trc?%89TicYysUH0-*hPHI)Qa~HV9J79pbjqs@}wx8Gb*1U3F^5cn_ZDirLTq; zBvI8rX50jl3#O`irrHr`Hb@h_Ylq7AMk&7m~l#=)eB&$&QGadG{$a zWp(61Z$C`moRX5LMj>vz>=m4%y?d3p5PIHr89WTsw?k(^~aFR%1E*Kf=`6Uv_;+jQ-ux-?O(PJ+~8N;2Lch^ygu z7UAA0SwAX8P7yQ5!D?73o!ZM;V{u!F4g-!tgr$s;kXV9&CT-qW+`6A&a1!nWCm*4_ z)PxHivy&!g-SlwNcSJdY$(l}+1Z+*8|F3y-0~@Qu^qY*3FzSS za1wxHn*%R%&nZF4c$&B7q7iUU0jRbR@B*OPH|DGXcL^XJ4GXH=%UQ|xX|Ou)z$&5? zNPVvTCC;W_6$NAvJ6tbtR}6Ur!y_wqW{lfoq#$4ROcK;- zq8wy~Do6`-6aQbCQ;_I+-q)cvboAqhUK|rGhF5>KV*hZnBQ=Z*-=VFg-pzS5b9<%( z&F&o^4nQyLh1xQq%1A(%(dz6hrCf;bW*PmMocF0s(-N25^0dn0W8oP8^fAK75Ab7t zUBGA0pU%~W^e5gSBN7=;0cQy{{d1tc1xtfMpPtpH;9-zM;OkZT(`39~)G&9q-{{-Q zTZ)UVqiw!lO(@p8t$Tc5^Q$f^E-naD22Qezb_UgB+*j||wI_w;tkyXq*ZHS@K`}>I zM{3*CB%`Q$eG+=-iF21kKC8P0yx1Ki@&jYRi|((v@JbG-O*z z#6I%O?%!;7;aTzfB1E^GENO=Wz(>)Oxe4P+3AdfnsC6l(_zdkg<#)qR1Oc>%A2{3jVowm%m=uicCM0} zpFFba1*yI9&<`1rvrszd+1wYFEtSKcxv- zVWYqwqv6USQEVr>iLZf$`cL_XBXaohG0Auv!fR`psYG?8;5e$=o?MJ^oEC55*@;6) z>CQ}-z#OMZ5lKSf%uwZLY7@CZRuL~73;7GE!$a%aO`|(QXChI7m9R@y8SZsf4mkLw z^fqeRcK-Q%>RhQKP{vAhj2rIPX6Hmz%U9*fYQepZ~DPK!*npD8M#`gOl;CK)7-}qTMftga2SG zE1icYiQ2ho4=FUlx>UHNc@J?$vD_=YvfuEJ8x*;2IQSsU(j z^HyJ_H|MmVSjA?YN6`9dV|zikR)RK=cRugUVrj>SM6Fm+krR6gh)oL>k5C0I`tkDO zTQp`E;^TLrl{{K`S7dMoP+Z4tBsA5|PCiZT{x1U3ho97GTM@l4E}Az_A>zl)P6n0% z_cRF=)!Jb2(8G~F+_ZPce<`(Xlox+*OIm6eB6{3~GmJDOj;F_Vvcjh@#f9m$;&{?8 zMH_+YDK_uP6ttd=!_Cs1$C z|5E>X?j8wch6VaXwabW*eV(|X$84WchqSZeEZ5q|zMNs$cK`ut+Ru%|FJ`ti@UMC#_xHN;KLQQ{+NE921Ho4z zRMK2xk;r?X8Q+f<`aqCy;>oeD$v6QO3Q%@^B{N~iSHHZb)U}}YJxcZB5T@xjuZ0(Tmq|GLDVZgM~Z4j@G_Ai!D%;UrK z>^w>hUcxIcsYSQ?ehPy~tJ^cQ&7%LX^lBR&yT`~G5h=FmF6?h}uJDW45l?w7!;Q1zK`n1uleca}MObj;)i0Kh6mJ-o}y*hb9d` zEC19VabHymM%NSbW`?{Cz0ogy(<52R_inDjUHPR;6ol#g+|IzgoD$i78?>rE%TS8* zf_ibbp896}tZ$;X;@?Zzu9_KF7q=x7X9e|UBhoI^jHg&2ezzJL&@k>{Gso5~Z)(j8 zML=yfc9&yL83bP8J|0TbP+H~Hkn)Xejdnzn;(nCvx%gWb{CSO6;QW=fdb(9J4Te9a z1+3#;@s>p8TWs81K=^X$_KB*LKn!tQXvi0bMGp*2k4-}yo76k!H)1&}gVL8&&_s6= z*)O9Au=aehd*@F`<%?+AT;_vKLj~y_Y4H=eYMWh*i?5r*A_Swcn**kSJFgV?qY&ZM@90+=&6nN6O=qb zMEADn2J3=UD zGem-2*^8$cT(Jj&zLn~S{f&=Q;+X%sk=3W7J&z2mj9%eYIViddcDX~I_nLJNOuC<- zH{DFe4`g|0vfWQ0wc8u26@w5Cid6d$=8u%&x+UG`2Y?vnBqN1O3m>`SvsDwGF(kF>0!q!F=Yy4ylN>-_zXp_uj&Yf|<_SwQtJ zeG4?dlW}Qb%#oxj2TZu}+q%OR69$pJYZf_Vh^#E!f zK4OP&QuC#RL?*k)j6MOl3m&seahJZy0R!#Gc;tO@L!LCyy^a%p+;TkqHxd+&d<`_b zMTIHE=b143Zq}v=1+YXWJFnPZ-%S4$hPbkRjfH z2A-_5)SZW{ZMLfSQbOeER5)@R#CDXR5dZEk*Hb8XNE!OBf7)@SvT@X8I83cr&ZMsg z`~eHVotI9NV3(05WJ`#SftcVxA^<=GrNg7sHqiN<(vkCY~_3z8~;qQsc_fX!-A3{NUS| zhk7&0z-r}AgJ{h-Znzrfr+fmATIshI4EA8 z=roa1%9LHE7A0>P4{2k^S5R&bfL7Tf(1sYdA_pmFydu>4)pUX=-GIKJ^yx6~^+nlm z*KAK;@j;<`xGoDn)`{tlgj0d1J_i1Lajn)sMMFTpsaz1%h`Qc^^506WTV|EX!VS#F zIfgZQw-!-L4U(rNVs4(5=KECV&LE_wiFT1J*#)oS_%{!|Mr*HjGh$7+A_?5o&1Ctz z38*#DETa4jSBG`RiFzY>Lsoa|Z7^4=#{__mIqWv3P>MzI& z{7^;nIM`Vf86cC5?dE={d|w5tY(e{;-0GK<)8KaeDPb1;W2%135&IK!O{b*Gfyd#a?PUm!n(%cXEd)(;SQv^UY{5!#p2 zQ6l~|t#{3|pBF?o)+M)om+jxVQ0%^|x_Z}uVN%ULnSTII$2>Qu3Pc-h^Wln)b1v$v z9k#QDMv0r+nMXbA;?{SBWyDQ`Wi7Y}Fu5S(pl2z+i<0cgGH;98C z+Tr645P~;Ywz@Be86JDmP*;Prza546c;sWs>Sg+*FBP28&LN>FdYqz zJOO8C4L@#tczLvaxAp@$yMuO#Xz_L{{7b`Ey-Jt#;$5YWn*ecMWUgRd5YVuCc{ijY z?*zXO(Mg=rouBT?WIpTecm%M>7G=ACs-L?ZT?x84hdO<2r`^4?$LY!qvLu(^?&Sl{ zt)p|oZ(SiG05T2zAoFCiYvy9v_rv*JgzPRT{?a$lT+5|Vw;kNg;JzDXt1P7XJ^!~@(|P`_};*2yUd)lYgBhs%sFR3B&gTz9v-BZ_n_)Ybd~(oH>*BhWu8S>jiIfZOLpBFTP31EFdx4qr?Z&Fx4`W#&7C>Qq@|v)f5dG*s3Uf0X6OX}d$FsMycaoWjI5nV~p6<5wZG`^!QZ-mR{McB=i7oC| zctLz+L`uLF)%_$J_}2z%#%cMHf&@X11V^sshBzltB!1LU7{!gSyF*=nN~H;-t_7Db zZnZr8DdGBo@VO7P{5jI| z+$dB#D{`wJ$*Sf9UGATLtM4@DC3N%D>Aw*Hr%o15~e{RY`}!uv2*eE zhaCQ?bXz`kJZUVJVBCT@=5DAu=*;)5?uC`{iUS|nbu*ri2fo>;1!`|p8-#O0K)?Fl z6J=^Ruu)y6RLP+%CmCW58bE373nu~w~ z=hjGHGTv6oE(K!M@mGne!k=s4HPhqeGUzLpBE6P0uXc5rN3Z(>4fG)E`^+w(}WYKgL|F?*mzd z&tG#3tl?Rv3eGe1o%o`1SMgHriN!q1#5b7tORU=4aunk{ufWRgtY`3z#h7kNXd*K2 z;oT{nCh}^*jVU={h$l3h^5#S8$H;R2cYBuNaFeP&-%4d|%gKH!;DbZLUXo4F@2e^~ z9;9$euV{IvKA_NBrsiwywJhxN$`$xB0*PkF${WVhv?ku+N% zyr04AF_}1{2YL7_!`FI!AEy|0_vBgqJq1swdmxxoUvIYBX(IL`SlV}gz5|nYYa`Ih z^P@~4!n5S(%RVMcT0s78Tluy9@N32l1ARpVfg9NW)ou=PrsfPG9pIb8W!~eEz}L2ic8kK*qx~o1CiM#D@VJ&`e&k>(HOa?{dcp!4 z_~MzH;KP{@dU1o~avOc;g*gzw2NwMYt@i9s=a9>c9U{kveFl+lBfMv17z3(JzQ=0& zwu&0<-;129@T;3NjJo|Ko=a(N^2idiX@dfAN?v9UoQ1TP?Sq=L{bdgkxtW3}i*f90-E-r`$79<_Im0%s20IVoi52FCya zemnKzJS%?cg$QIAJB=t%_D$3$A+y?wQ>B#vGOhS>tHbIO{<$jk^@FvgEO<$JCVFP~ z;=;+SfI5wj7so3zD7`Q}&6LS6+u6f-#P|;Tt~H zTd8E$j4Kdywg95i#G{)yYO0KUC^cQ??5~S?zubvD?3CU%dXu}w^KC^Bvwt8)e~yk+lXtZ%zfMqkW|zLO3`ck&7cqz|9TW>>414WQ}l$N41;DfpD{mq z^i9HF+mbp0>qk`<3Tidzd+#=Qe!paWqnACU3Izh1p!v-)%od3agR{t&P)zt5|4NJH ze2!R9FT5^AJ)3M(ORI^6S``2)5rZcvXYHSFg%)@F z3x0H8n*nzcG|%|O^DPqOUV&oO;kcafAnsv@sJUgQ-Jo38uBjm9LmdK_c>BCgZo@~( zvVohT%SzjZCy0LB=LNSg^~Ufe+&;O8;BzGvERDbt)|F3!lUgTz_662$xH~$Y+Dp*W z?b09gnIUv9PE{Rm>btWd&3S-aKy$yA=;^{;?;gz#q0x4k{abyYu{kNf^^p73>JSn6XM+sU?yTF2po5-(;0OHJHd5SpO+D@lXYCc!{6~RQf8c+W<-W zZgfTgromvvB}H&{(KNW*t$WS*rXsSvK@;6rh z#8xk>uFPyOu4=yy7;Q?+^daTW@myJcV>c$}HIzZQ*Efk)vjI@1;?$mSLkb3-wlFzl z6SVG%FOQe$*IR)@T(44hoDh|LZ;ISHIM0w{z`J~HuP#37!ny2_WGPpnX5Z^X^mD+g zO1p8e9juTgdEduZsa7XLUGTBY*0&K)D=geaCL-`~)k+4=W?5qGO7FpIwzSK$y_}+I z)H%Gyx%-P5vqg+xqwo6T_}=19+|O~$96WpO)M$s_#Z4z>Grl+U)w!BX)U+yPL@Md? zgJA_9yLp)jW9k=BZ~Q9JebJ>+?QKeIiWaZw$J9o1Zx-WEJi%LYJx>|l81S`Cl4DGt zTWW&;;AET~cpWC_t{}f$f28{{#cP#j{ebiAm4-47vt&APQmxp7EQY#LRqCu9WPDcT z_XOeDcmBtSlj2i&(lpPoB4dC*Jtd{fV@|zU6RhETjZbS&=Sn4UB0d^d2cKumy%@4p zQB3d~5_?&7`9j(=b)eRcip{PwxIOi-Al)*kvLxR6izO8QmIO~m29c2Se4~!q1C>VH zJDZsvP%*(*2jBfxgSgn)O0iE5@OI9L8~30I0;|(Q)(W9TWq*7x@1`~e??-a1*zD`I z3ZcJvg(>6b({ujr$6>Ap{FZ%Ud6EZJDM`BpRHg8?psfs4!Gqb6^O_DFV#K>(PTf83 zIgthUOXvfcv$ox&<;bOi(Sh)p^NgNlmEln8_4VqQY-W;0)wuRO6zh&mH0%MJG*fka z{z&o<5BV;Up5@dHpP9-??~{Dvk;Ptr)ogh7%Ia%UFzMj$AO`{{`@UR~%`IMC;L%0p zehqMIYmCE}W~f9+lQK37vClIiELAq?spIy^K)ZQ%Y-*o&rO~3yWE6_R%k?YHwS85E4{LdHQw>;oEaP`#!rg z(qfi#wq+nPqy7sgT}c`5GZU#b_}=;R!J}Q|U>EQB0+&=YY00RLKJ^@^}#}jAGtO&0Jeg-!>nqkbw4W@tSWuURpZ!#!Iz~F`aD2)6875}s8Lx!E~je@M!)7;do&lQ`y0v1sJJk!rKhDZCZ6)egj-^t>H=;{7&6pRIGLq< zBLHjKpB*-%L^Cw!4gpVtrF0hq4O_P&5Yk~1*R<^07!BHU--UttFOlo5=^?m zzBe@131^2r&Jf(lsq9P*9SXfKxr&Zep|D5>LvH=Uvf?eC7;0F_L z^I2YZsM5)vamKtthtssH=xlx2u|eZ`sETA;zrmu?e)l`>zzN18bSxKxOehQI>1L9; zPMJml;G|D`23;CAmjjEMcj>B&fLk>@zx+Rw7b?de3hSlDTvVBTHG&~-+ijr55}7|* z<(RYhU#^lEjf_8*gg(vF^2g2@hC$|YDqtZ5Sz6mdP6bHo^gCds?t2&WdD%W;gifqVXV4ml-rb3>9F-R8Y!pEh9Fl5a&h)}cGbs?6Pi!web$AHr}FJfJ^2an~{p zWg(--WPb5*N(XjI`0hSObE~w0hm2c50fZ^(9#u#}e>zsjbSP_e*Bo)^Om_xuX&m+E zj2wQN?Y`Uo0i1LDHkbsxDZCxMWA&th$j^cnGAP1OW`5ObY4G-n=&G$?ALXKggB1Az zy%qZy9NST?D?aw4*p^4=dl?hZSEjd)a?IvhL6W8|!63Oy9UkB+a*@@H$^yskZ_59u$?~($4%y(Ya3q`5=o$$o-nDu6#Za>|0x^xE?&KQBIOK$Z%D(G_z8g=o+`z9C0-tjqE6ui6!%B;1 zY3B|@Y|PD10j;_lomnV@?)`^%*0W9-3>xAOc)9?y(g6t~CFTYAtRhD(0C#T&@$3OM zZD7SCl*D4}TzOFbIAPJX@oe4B(0~M)$0$NWp@kVu_Xq5;qWc%oVIg4nAG&}Z{E3Xm z&#S@@t+qa?y%EI-E+YJk5PsLE1`SWQW{1`nTG=^#9n3jPa&+_)u{?daok>ptybsKlPV7 zRTQPI=plKCkj>#4{7K4D{EIO6pgCF}eo-1~p+9y6wV>dE2&0S&6ZXFqYW)%hQ036U zx&29HsHKnw=-rXwk&P>hsGRoisBNYMwT3EpqdWk~h}Ut7YlwkLFc5@4*SKkp6x85az1w9WyM=_|HqOp+Xiv zB%Ts?sAgLCZofeL-y^Op*<3`%ZQ_ zuw)Zml!IzWN)FRwqRSn`d{j!seO9x8PWrATy)rewWYEK2#wiI!Y+(to(j6_4B$agB zXT{etqko&hmey19&*ff;Fv?oEwj4w6ch=PzSFwbd6i?0j&9bN1zW&V(3;8xdrH#lf zC%&yWc+y?!hFn7=i|ra>h%wde)%{=5viYdxb_?n)`Si)H>v^B-ct+)CF@8) zj;L<)mwUk3vM*vnBsg1^-J#`|)PU@|bTU;813R;(YFU?81fm@>9JSaQ0i3%Zq^<-W zHF;gX?9j7%59ETbs2&si3Sys?8#rIGzBP7|6sV2GbO#)xdyF9a+26P5_?q(Qp1`qx zwT)KD^DFme_4k!UsK1e!rs)k|#sPgw;uRXI(VvEE-}<<}P3#t1CvQH9aU6fwcBr6l%djrTVlmtVbzujzi9a!k@M?V!oyw`K~9rFGg0)`5mU!bz2N@;^ZA z6RiY5**;?AG35TM2v&Iu4Zar@zfvet>C*Vy4{`e8BCA#h>HQ-v4JrHo2WN`*!$68p z#|EMHWb%GwsJ zgPdFCIjn;Y3G3mDt|d57K$u|A@Duu31|hz=3oul!P4wiB8#U(ep$gS*<&6Ji01mF@03?3NgG!Z2&(7dEJ@<=M`zYUJcJp+ zXY5o%EjUqeIU(+ryq0< znktxho%hg`XOVbx9dSHp)xFo1uK9mr1~6>_Q&-iky@Lz=M#Q)2gEz-m?Bi8}$35i8 zJ)fVXWf}{-af6>QpQ#h+j+a({(vK0nQk0)Po)IWBatWAID0NO*H7_F16*AVl z9mIzeq|~cEX;yf1;N%;-{myk`lVJX^aQv#4yMKG@b6o^$7ZQ^vnn3NrI|uIh`lmxe(ZJ+{lwpN z5@T7bPDhM^EXfl7M0=$wcTRfuH~jkp9&T!w0hbZe+Kf3nN>BLo3^@_2 z@!=NXR?gkOUjz&6j!bxQZFl{Ya*5^`mwT!y z)#@-5mUOSEHNjXRjg`o+LWch2KjpnzpjRTUhI94SeR=`H~VMmP!bHB zOBnxV<{gb!D%?D~L+I8cAA9`RffA!;5)8>x*v14F49dot5YYG6V9{uS#XZ9QajMZc z^}&7~_a_fg-a>NUy=4#+UJHY#+4^%ww@g=<;u8EyyD&8C*3N@Z^w|tm-QECeS@ni&pt4~_5HrGhmP3m z;yUkpHK8gIiOAts?RpwSsv_ikIq_DZ!P}iaA`LnXs(w(&*N+<}W9CzK5k|Mlc!`cj zeX9x8z)E-Do^t*7m13bP<0H2lZDtqPX5UwqTW9+I^74k`w8IK;tJhmxV-W6i1|@q% z%f4}A>dz$NdrK^CRsMeNTY}0jKJwNLLR=V=cu{V8UA3rqVBjy$_6C8J!`Dw)TSuZ* zmI`L{!gvV3#tqFjsc#A+xev!S=F?`~NA=yRk-j-qkrsg(I!8k9pX!d8Q;FJtHrVe3 z)D8u+A$E&UzBCx+OJDF4irduD$- zib0NCB8(BWv&SxtPWTJt;tm{Xb1z%pKx~0Fn1_a7;Mv-hds9?hl|+oe1H*i8&XFNl z^k3`yhD|-&PS4Ef=);I7zG+qt^^Y*0uA_6NliH6%D_0OHyoJ@EBxdiayXVS*sRl8N zo`X^yxc2;b`!A%gQbrK(6`T0l)lzN}PDc+xG!^Dv!JR9ZU)3%!^D|r|AK%&eNbg9a zdcX0QT94Jo9NF!c3GYKMh%NIrBdVbap5(kL!iWkOdA|_#m?24HuG?SZOh0V0r$S3- zAcon^zR!n_t`>d+{#ab+m*2*AGgb~%>UZXh1iRxn;konwN7A>)GyT5*ljMz1%Ap)q zBtn)>#7u=$ilk5ut0a|JN(|c^%Q1^&$~l!v4mnLWoAVsXu?X2PGpE_XVViCIUZ3yp zpO?qu-sAOf-}mdjp4auf4);}FE-)h>T?$7rt?01p(_;-Ea_cgt-hO|@VA39*pcnq{ z`*}HLco6~o_^roXEis@1J=ZO7a>ZAiiH9yu<1@E)yeR@cB?S#n?CBW;7Jr^T2s7$3 z3ra*b7>+8P`myV%^y}_gZ{pxx1{D~0Vcm519sH)iq@i>FArH*N6bGI^iV&iTA%Rau zhPrtP(?Zc|iv9x>g>Kp|GS*wO%#5k7NCsm?Wm`DL`E4Z?TtP5z3#2@LRfhEKK1174 zDSvoIFIF`iLG<~PC;FsA4NNWPl{fSr{@><^wNek9 zTt}~xAuAju^VzzEp!y{2-$F#xEb&k$em1`q^22y$T(j=kj1Ph{D__s{!B29KXSAO?HA z4%+a?9(;OygI~ntDn6COZnncJV}~NEb2pQR?^4=9n|_O1em}mGpAotl5>_G-`Z5X= zpH(mjj#u(#CSP3p>xYW}pD6x`Mr}N5g2cFk3&KB-nFfma4dY6`1|LvucZTa(Ve z5Jc!y&2OH{TsP?lKfL~P{?uv(i?sTsgZEsS=-1J6;p-!&U=&sH(U6O3=LD2R`q#L~ z@xv{ZGIOz|9XyUQQO#`{|C(QRC5>hCzmH{w&A(v|>?2E$SaNMTBA>RvL|(B&IjK@l z7;&|(H;2005sk4Gw(gZW)L~%b8Km9p)ezzpq*_VG@WKM)E!bh3$_nBlbMSnwhgYI; zkna+lxh*nbUQZ>vC+>NpW#nD8EEVe-(7}>YWBlo#2kS*AY+oSyLB7FGpX6=!j1Q@B z+OK_x01l6e;nXBi27A@2{d()d^_{GjNr=yzb#Y|XvgelDe4kx@swo-@Q`@CK`xvq@ z1@m&<_2-eOp!>_G&&{FE$GzJZpa*OBL^N%Nldw{j{3 z77sz#f`F!(&4}QP&-<@4-Hy#{J_|ptK`88xT)ltvQl`^@lrsAHRW$!Ed2c;vCagJVF`VVtF25gitA%fN#5I<4 zK%0#;yWo2~j~3uHqmYnzaZ9?%Iy~*E>#4xk@>ucSq1H+3k=qZd6j0 zR2WV0Pl8#yclc^oicY2M6*6!?ge&>jj;Ci}c_3>ddaZ za=zvqBnv|kM)#}-8C7Sp29r2ug!jmZ3&bE5Mk)1c*upHhjn7-dUs|(SKJatR-A|ky zeL)FMiZEK|8TOaLjyk=XkFlSX5qy%N!ZQ5>NKKXbbhS(1M{i+^4V|4SBkzDCGx(jZ z2Lw6j_&v+UVf1g(Yxo1vGNUYXC@iq2=6yFkPi<)A&mMO^A?_pdGHwnN%i}AL)N-`lOJ330h7LJY*1)iz^+bA>Y7W zch4fjjKcHd$yL0t#T)Ns1XnOsx!TYT?L!GtAfAz-;u;|LDau5=YHKtjB6{i;DG9mh z-OPijta@Ev*LF{19ZD_7s3lv2WZ2G+G(0@NeJ0$C1T+O*wZ=j5ivg9R$JKg|s1@Bu zHXut9{-;E`ai12=KD`@3+rnUsgV8bnr@!lyN_367+`wsYgq<)KBN27!BX=CU zsR*d0oqAk_*GONES^aw?)`3Vf>KZQb7!Z6by*Vt8SrG4w99ouwuQ{ziH%Elt7;!x+ zf~F3^_PQ_qsZv!%f2|^(wQpXgb3>0xjJt$Kx`^bBKs@UXbO@yulH>SMckuH@k53SFx%3b`=Y;Pu>ieJ zaNY8iu(wEuS*u;w8Gk_ff}bYdP-J|b!{1U{;@kTM40&z#n#T^buGe)zSDxjKOUtO9 zppdn-DnV|>AwVEMVIvnDsXCjPox^V2Tv& zeB>mTdEFUG$UeHx`wNVsuA!aYh`(uu3AMbxi+T(Nj-L&v`;$uEb8m++ZvjRVzWR>f z@0_(UC^nah6o_wz(JGV$NtD<0ZZdxJHkw0k!6iq!iVC$K7=rRxw7u5+_`w!z_w{Sw zpNU~<*jX<*V>rbG-f6;iL57+=s6&vfu6@s=s08`CUpZuZn|l^d2&)g1fHxiugaP zWPENxKy?1T+3&$*$f^h&rHE0ApW+$9t9B^ic`C?|o7+4xBIGS?K{Z4UrxQunrY*lz zRWbZ~CEr>-S`egO1E`ORVCl+0Pwm={?i|ka5SAKdG$e0ztp{B4w`W6zhv_-vMI25~ zBw=DpGlti$pf+g-5>qFe@(=I&*sNw^^1u)FkwpRP=B?B&L{D_plv8ME~+SswW1xRgMRoF8EQBw%!k549@r7bEQyq!lIzt~FE_oM z(^x0hT%*f1 zYkw3}1cCGXb>St_K7K{qPCdlyLTZQks`v^BEWS$$TK>~4;}kj+seV=d?&*_>Dw|*b zELu9wl|N#x<|>68r2e1=nAj7?@3Qu^2rn;;r!v1e8BE|WDC^wS9L!^kIc#Xb2ApR8 zWRzR;9c74PT6rt0A>TKCjw@oO4y2`P3f&gGO5zHetM#sR z`-9h|4dO?6Ka(9{);*`Uj+TS@lx;)})xWF@0K7;k_IA5H%j@EQ{1=w)-!uWUIFJQv?OQ_V3Zb8>7qI z5n;#IQTUk-p8B+g`#!2yI;&Gz;GIeBnzrNvoaMst-Ba*Avz*;4>Q^SHR(5U&smHl2 z^&})=8#3mf8_& z%Jbe218Lc9JIt=1m2XM_DuY3;-$BnltUH6<97F!|o)R4@s)-k~h3EkogbjShwRNn zAI0jIURSjmo?$-ft$9|`!SiQpjkc5AQ~+~cLV$Py_H6tXQWz221qZoKTjFU8G+){lBGl17fyGZk`UL@ppJXtxu$p@Y zX$_qFc4gu&0OMSdB!Ifu%Tn8nxTqUQ(w7cgHf=$SKQ9SmQHNW3_#|X;2=J;I`2Xa_ zu)n2^M@~XIwTX*B-(B6A{LFBagZxEQvw9N|NbUx> zZ+wbbUtF;7P2t+y{KNd4|E%#r{sPDAc$3KT>;!M(Vdd=q$zQjb+Gu25El2a6^EzjW zW>l-MrKe%Ny!&&)FgqdU{A`fH6h`K}{2lk8%Y$n!H_@`OdBY3EIfR}nh#3cXy zdsa|@?eQR}!-N#hYL|?dc;Uy&@@p4wq4MGSm5YL4y6^Gb{J_sF_1>fA{k<<^1%wF0 zEwS8y=fWBT?z2lJ3WUcHc6(87R_oV4s@HN%+;yl5>E)zpVcltB|2tB0*q_xs`?EQ# z+#sl%ieM-MDgUO!%#(ZASda&P1^>2)lb-e`tHDX}yH4*by&bf`37=4ZFp<)@T#F!-`&zeD5oS0`G7=9IO`3#< zOy%^O)K=m-tBB>vviXMLu$^PZPspjrI(0@$6O_A>6LAK^F6OV*+*e#UkpZ1j}}#>^GLV$5uL-9u~WjcqjFwfy8K z4l=>1H6wUlO6#Fnb;LH>cyIq_su50j^PFdUL2!Be4A4Rd8D;oBjS8WlC+vg)p6ReF z=(@O_U0^%90$qQ-W!ee*?9VV|@E-a|I2gq8ze4=MK4u&U_+O*w1iv(!MHFsjK}O)6%8+HUgC1fA1Jy$aFA&`v*p66a zvTJKZk&ZVj2?2Kl_B z#+bR%!mHj)pbCOMH~}znR!U*c{3kH%h=65G6pBHddj?GlMkta=lZGCu*r@3kNeNHmxyo&cKBDq zszFnP8=Yx1`MtEg4L7_U&KmK*?YANT57)h3weB-X?mqWm*C+eM;_>P=ny5G$+$}>I z%!Ch*pd4E~K;gG!H_)HS_{)eKYl(BLp0y*`Jlzmi zDo|`yI2O<2AO9ydEe0gCrMqrz-Og0*-zvK+x z0&#zJfhl7tq|np&M8sfE=5)QJ@WV0!AIiRe_>zP+rSRV!r0yg$`t*M9(8+Pg1Al_S zfmxy?WgBTXZFQHo(&S5%MoXO}8N5Wfp9TRRXBNw@ea4ikeW4$-#jG#k6-$1?>qUs| zFh`Tft9Ko{gg7P%hvaoGnfm*q?B!U;COCN)9Uw85E5cgr z86_jwNNwH1J)*(>)MnLxB5r|mPLAa>p#U#pU77qPnN!MMnv3@0o=LJ!EgD3Fw!kqu zkR9K?aO!_V;SRBf9zK`(wj9TkWdAMme_%a}|2Um3gIJJ+XXN2|>w!8bsuU%{ZbO1H z3Lx%8sUqR9{B*<(gilob(d!0O^?z!`)-y7ceG{c$cqJz~W$>C=dow4srfLqsjpWPK z*wEI7H9wEZ=*hfvR=`BSl{gvHsq#GT;jM&)sL+Q-kPhBKw0m=QW5n10l(t&=lAcH7 zKy23qZ+SxB0=`}(v|tZOYCovsBUfKdd#FHZ0wq^dLV~Qqz#Zy1@`|82wd&o)>(?!s zd6UjCOZeC&V(|3oYe!0FQ=lZkhf3KyvazWUa_BW@N6kX2trvv_Ls(BX9|}QwT9pMQ z7hR`jt01lCA7v=z$VW<1Xyv}VN-K)VzW0yF853418ycKc3GEm6p7Ne$)6jaWc+BCZ zv5Sa!Ku;bf&@QsKJ;=FtUY7E#5^#DToa9&)$^?2`=vi3`;F2%YLy#|0cR8>h5k>nO zeYOWT>i-Mhe6oQm`Mb>FRcoK3&1*ox0HMxxYjFAa;1T`#_0 z{>8gtPp=>{_0$QLKc&m~zC!O7+FwzRM$pcU?MQIzqx)v7zr~%L#_nG7qAV@Vr0i+Q zNE8{ay@z7?PARmA0*;brlU#*cJ&$9fB8PgeX%Y%}-9;Wd5IJ|U6-7eUJosa9O;2*1RqNV{eg(coPz|AgD8l!l9fuA3W@%ulgG2S z7SOK0+?+(^r3K2h9*fWVNpkB(hd#`s$2Ydl%~l;y1{Mfy#)K~>^YM~FzO+Z)O2QuR zP&3RJ`H#&2O@Ada?W+dD8v`APrERJE5J+?3Uv$ebm5YCa!af4bcYq#mW&fgu5yf+l zXDxHt^_@Lh6Jyc4?va-Nur{_LH1Q_{>Te9RUvh(vNJ*(b#di2@=y_WTev_IiKbzx`-rZDXJ_v?U_6EpB0s;-n`1%AQaR zdguj3OCLFJ1Kg6+a0Pnqq9Y8d=(Hv7`9;y~APd!vStZJ#Y_CukRGc3ZxTtvn^WGe# zgcxssHl(&&yHsv`Z;-{Mp!%z70t+7x^3=vX^{jR1c1YckTIVmLq2@^z1<^QSSN}~t z1Wr;38?PT7A8y#=dmwK()O2!HmGbQ!Y<{%?7RGxp(SQlnxhY;)9rxPs0xacu2SFYn zRTN-{iFz63?O&0Xz=DG|g432nXXp`%8sMD}R0!R6>nFx(8Gi=-hFyBOi&;R*vx4>DYHgNxzAuOZKG?5h+@B=5}*VD@&cwjLN?&ox}iyg ztWtKP6eiXTN2HKNzulqrO+DcK8%c}*3c0nwy#lw>;ucnGAdcRGwlUU|wlAHy%ylDT z?($Xpq*#sHq+dH^?C3Q0IpK?Jp0&c%+h_Ik*o8J8fst-C^hQjKr(elGzQ{8#D|}>M zg7U4ea4&wJn`->#q-5KHQQkxh;vDa#Lu1YUr3ZB8(V+DGSzf7Rkz0CrJ?Jj>%OuWY z!faOkJfoZZ2?*}5#Z$}LhNeb@%xjzm^$_jABEiz2}EYLoKT6CESUi2vAgi{cvSC#&TRB|%C@}WqWHyhm;FoO zR$TFCB!wOBZr!y~0hwE^b3rP(of`FGjd8*k4@BljTRAr3BaVPijrJ}CJ-WIt&jJ5{ zxr%TOa*AA($E)WJw}_fHCcYJnofRJi@%-n}hlQ~%LDr44pS`b|cA83FP>Pr|_#@w7 znjhl_8E^UO7wE0*yY%M{!R?lsr$%k2Rs93cA>ejks~g}4&?e5H9`Ul+OrkLI|J+M& zITIIMT7)8@^U&+^5wu3E;;AZAC!N>e5%_}K4mi#l!VK0| z{U7Hq^-2fBV(jA*r2dHipixMl{h*&C zL!|5?E*DgE}3CSz5F0+NeuUr9d|FGQjdbRmifeqf6En$nXUV8dN*DdnjfAviH z**uxU-Q@E7e#bE2mnd&mH~BCm;VEIWDHnCKMoHqg?z2Zy54a8oVO=u1cS-Q5ABv+g znz08Pc;#)>7hfYcO9=~1-1|i`CrY}2l`6fi{WdOX&HpgW!lINbf2+vzT=raA>bDnC zd{BX-Se2c7F{}f_>iPO)M5>yQK74;{8n?$1alC;lwEsKGhETi1B!W-nG)j5R9`A9g zM+(^7LMHVBq>CIBT;d1u_6Cxz!vyDX+_R;t4FETa5fP{wxvRG)oUD+d!plGePV?k# z(6rEX6&xo{-y*NO^x(~0+7t__9vjSh9~l=qGfZ-Y_;Ii%)f@fP(=DM{SzeWVhhV7; zv#v$6r#&R*V(6EZiXb)7o{mFH3)$$k- z7aXCZtQVA0ddt7Izt)Vp)0knvj-I?B&aD3Y=fy*c6eGn`n!uFf-$VuSR2IX_S(;ro zuxQrD0Cp|btIU2wcSxpyesa)kd|13o>Fmi`vpOH~^AtrH4q4BD@i(sYRk^n0;WGIT zz0(dHvgBD!#ZNNj`?UsbFRF;-ndati7{qO=CSSnr6Vw~0&VvcK!q`7pZI;7it`zER zRffM>eFMfgeM3;^WXzMp_9ybPL9I1XG7(``43*G(Z2?ibAxvBm!dhH zlU}3#iQpxyy_H2l_X`8YVcPCY4@JcSBEF?3D_t4YEPuO%-!dz=Q3$p7>_-D@87uH` zURxIUX5U>ovKMkHo_Av$^YEdy)cwb8>jAs|z`?P+q7IEZYtTN&r{WhRfz{X!>`0)>>bTA7KBf(%oF!A)J(A=X7uqU?dTH(5Bxs9_CbnbOs?2{*9akQ9brbyc zK!#mDdx21tyZ;6xK>^V^UM$B&g-)7gCRRb7Kyh!iMJ296NfmHdu9B5Gm?qcepz3x3 zqf1vBg-85d8ZZfxKGMO9;x9>Co#zE$Md?$%H~Z39Zzn9Zy7~vax;&b}9ev6G41wgmmk8B8(R`Ius{Hr25F<_P*->I`QfP5zA&*@lQKSU%dkN)yE& z$`j=J#<^$N2ctd1hPP@sHu6EpadnwBTG#Ard%-1>vCNl7MNruftE*pM8kOU=QUWA| zhd+lMo!;X5Qdl9pi19fA4MaLCnT?ZwcrZz~`)FILTa3#E!km)kohx7#!*ufoYDt{d zEcf(WJv)FHc^4VF4OXkdL?{O{U^SS5me#W>ndOZ>DiGL#AV;kg`m2X32~`^v_D|c zHoX43zg&tpHrMpyF8R%DG-K;~6b$rAbY@v!?SP~Ihs#CYb>3^7AUTg#^Qh5iEa6AU zXt)Jlsa<{Fc#YYo(qbCV`aUBx4W`&VGQv>H=Gj>;6GxAB;VC`whsyhV;-}e!T;9ih z1OI2ch?1u0&(jf;qW|Wbc%etVzftKl-{UK7$_UWT+~%`Zso2~w(9Z9JjmzPSS<{L@ zoCTPe=UuL#&e}U1@`Bf?nHthrj!2FE4E6p-diHIpL`&+@z$BMXgJOTtl|Jw4-Sl}q z#5rn`)^Z>*kQ9Sx$~yNAjA=!_67PqZL3lqMs=_TKt&Lhu%RsFVg*s3pwsfS|n`y&X%M93=;`PY&01MF8=R&$Pa zb#jf9)%e2uqTCVEqIVRTwPz~wn@s2MdZ+P;s9Ph6$-wE8-_ zJ=L)ynsb(Dw_#=3dF3LHID6lZTg#nhUd0k`fu5a25s(df)JWyX-$kD(kq%tbjaf`4 z4QT1?y5=L|oQlyA27m>NqMW|?cmi=a5sYeH<{!&+_}c*RWOxFYeDPNI3o*g##RvH< z%?YSUS4=-Jgw<|-D+?G&z+KID}2r*t=h5{ z{`g$IDu-vZKS^MWfvXb0y>zu+HQt9^u-}8MsPZ`)gHCLg-@mKd7tiHfxT7j|Aia&W zqIT+m#v)gMNJemPr^(Oa6zs3E3n42UHxS^~kM)d)R9mlT}_rTVxjI_CTj2S=Eo<^Zpw~!_&myJm)EpvTE z|0(rW+FAVFSF4xOGba7)dUf&}c+ql*0Ka%vW3K`bhDWtwgPxT=8cs?@20?~|Lo@g7 zcy66!R-C)n1q(3DT6-(yen$8IGMAv!l^vl8c~6hrbRw_q-~_rKjsVgZth7ew`{4{9 zNbr%VThI|QqcGIv$O~335=~hzovlj)lXOFj9@V9>MRl|RgNYBcI@*rD+l$uh(=yxI zI_J$cqJ5p(tjJmm1yx*!a+|hx#Ny>^+Yy@JNkzu&xB)7SITYi`9q zC&R3~&l1V>Xm!EITf^hKU!r_V@4#y~T>+n^jd9#;Lp3sk^il z_eg37HRNJk2#<4K1w90?!}6-Z?GNMCCY4nvwQ`$bgyk^|Eiy|P8Mzgv;l|-`btEcU z>p=g`DXFRsm@Z-dH&1Bl<4)BFW&lq22j=vxsHdVsy`dnm+UXm{lMh$$KVpM^S>S*S z8xAjVI6_Z?miKoBr1#STCJkLSznfs;%mQ<`U7nW8aZ3Ze*I zQ8u<$I6QjFtr=|$SMA+xnV1(RC=s=X=wKk9ggf$<5dHhbLuwIU5uz@UgC$NwzZG)a zBs$EjJRVo|i*}FV3#|w#z%75?JiB#rpybE{oqbCG#QvHq!AmhVFv{TyZ-{w zOH76~5WIV+)@vr!dp>y)vL&Ql{fZ3~&aiVSRKm9OWUw-0!WZoRc$_Tz?%iZQnK(eR zzjgVm--baEuBr{lsM)UllZVlwcWUzy0$r zp)gaw0f|qOolO`ni%cZC+dv0yMEn|~@9N))0dN;rjCbOmVW~@f!mwDpGys5aPjGjP z_v9aruyLMGp3)}&N}(*^o$B`u)M&V!x)MCGlYz~OY|zHftoY`aB&1eeg=WG=d)FD> zRILt);FBhISrM!2mEubTSk$T^dZ?JQe#bj(MT6O#b<(0de&qRjZIRHyks1>G37{j@ zjUVrLLpAh2AT==t=`0EF?b~0Mf2MSGlOcg2E9;f-3dOTZ18=DW>m#Ie2igY7iaf;$ zOartC=aGk#Or#yj*)4U~J0isdQD)55Q}nG4+KRzx{bvALP`dLj*GjAXtgaSw*ktKO zPf$RL5%+{JOt943X;080j+qORL@#PD+d$V_y2^*8Oot5^f{-}g7&E?_1Bremujw6pch7_E(6^ zbI2{8)#~8iy55B<0=8dw<-W$6?}4=MQm*G$pm~SAXR8>d8&~f#67@i|hn}f8EX%Re zo)WglYCJq0v+*(aav+%MGH3f+>ie!G!-}U){ib;{&vO-e$J*MT8ngD~G9uox)m@~< zQd)BNq4t1(x3LLC*39CaMQh_44d|{WE0Vugmw3 zdGoT^%3-x@;wTXTx_&qBdAb;Bz0bOw_0p^|ygpgG@|f_L%q@Z<)ihz9kEWfrP!|2o z50msrj-=-QkW5SpKF|YZu9&ND80-x_ZncrZu~_q?3_=jCcLovT>YcbsL~rTFM+_-I z4-{Z8Kzo=6SdGWNkM($Ol{I#rHP;wVZno~X1_eF6=LhQLmziMs=vTVYKjK!{A(Zn$ zjhy-u=q|vJ?S1+9!Y4qz*o7>`MPBIN-+&@$?z18?2hoJ36B4%)Y0u(MV=l|Bn0tgj zCX|`2RIDWrONplvuqQ|AbG4c63zvYg9wT701%JwR(kSm zxVxrjL(qF(@Y=t`{s5GVKSZcVms2m-==wXruY$*0?GtFnBjasOF2n=XSb5jt{Kq&J z8jSjayM(1v0xa#BrrNB_1dI>OTs9|ZZ5-ZPJ_dek`r&eIAcGo0dp1LTIsxnAU5<_{ z$7*Am(Bvlc5PW3~e~zx%cC4%JOcP>UZm-O36k57{A&IRhEhSQ_K1SQkq$U7G4lg5~ z5>mq)(Z3KVAbV0>ElLA&yKAbBjZMFB%TTI4OZhbjW5-g4Z%`^WYTJ_GP9{1+Q^h)e50=?N`DmC?Xr5xg`seumk)grw zpyxi{1G=DvHNym?{PNkpv`^!85?zm`yEzw9%~a^)Te0lFn-wRku^3u-M(^m_W1oV3 z(=jg7Drz0O1@A=aR9VO*hcCW6<%~%?B_I&V=YHD`J1S+2TwL!tbEXxiMFBvi-D?Ki z7wX$-{|(DF>ME{^2Cvf16&MLOG{aHksPaxS0FX()@(=IxpB+n0MSi!n#1;8OV7dL} z%yyLP`7If#`7?AMPn9;9H*~bG>*Me+u)7>o!B8NNsQ|?!e(W#9t^cD5)?T$$7282GMn4Mr3ac{wcTrq+M|4uyN{Zb+7zQiSli*qRFAfI;4rc-ymI6jUy3|>8Nwi05{4|!jzuCJvH;NI?q(-Oe{8C zs=6lWVDGAtlpu zu&sG-bXhpwQv|bp@?1BlT0Sh*=)S#sm=8M7zvrewdO23q&bKL(O}+>N=cB+cxKuf- z?Y(2ttg56nAA#M7e_t5>TZ|N++Ts6#At(bw5=I(zO378>?}Fi72TNxciIU4@H9+uZP=oNiZBURNNHImTgq7PhIuQvz6@$;m^7ZcY-EY~9dYl5HP>%>{YX#8 z|D{nfaZ1xI-;YRccx_>2)gYnT$hWkS{He-wqq5@{`J;sR;On((NNhCVMc()8DLx?i zKuNy7*bj(?NcY1~t7nli72S0=!c8J258NF%^Yh4#4OF$f7X&7}NdIqg$Hvxrviz(& z)PUN~e|h$syH!?$wT=~Ivqm)5(q=WE_KESE^(L1Tllyj87RDeA<<~YAHY10qgIrM> zvdff7robTD$o*%ResE{%EG`C}0BZ7%IMK!ve-~-NM*C-6_=uClr`M+ae?*02IUk9t zy>>{(w+<}Rx>mPqQRLRP{78EFB&ewG)%bLA03zx(V34pH8~?(mi8}SB?~Ph$gvWO@ zlb)>Xq3HfQk+;h)qIWz-FXV?kN0d1=GI|-BH++ra{zZopUI~Mb(EZ%cJiED@t!R*> zSL^d5Hso|JP(kJpwj(%2a;f5H(88R>&MW4cV~G$r(rSF4X5(g`l0XpDfvDj=byd<) znp}_g$nvRY#R&US;o;Qt`WZT~5*Pbl;}(kZT(A(4Q7(+o#@PFxX!TuT?PP<;jts$m zaj(B0bD@hKu^sIPMj1xStYO_j@f`6zZ$tg;#~{VUh4M*U&Md+_H;Lh|@1Qgj9e)P* zq$y%FGb8c=!{c$e-#*5Dd85gX$W6<|6p|FBMU@g`{r8@(*C%*a@3Df3smJcuIicZa zP@RmB^YO63snsl(5X!C~lIFYv1hWUxgsro%ib$Kzc3yRsIpSnzRTX*0>R5(a?2EAH z!82GBWKh(4k92)*A?s45gKDJgti~VsvGHU^s_8x4-QEMR7udy0h}<@T^74;RHnYVf zShgG|I*EQpfGJU>=I_&$UgcrxbcXP+ST5BtHuU%DxKC)ve{9F04lF%LxjNq4Fd;Vk zmbtMc%TH+`x-7oS2vI} z)abKOnZaLjoD$`7f*BKPyy~uL2fFB~xhlEYs&W0vqcx`BG7(b+CKferSN~MVz z{c5kj5LX1g@*{1?wVmzQ&f#wCK;W9F*?1m?s6UJ_y=zABcnnXFfoQSvY3@Z{HKWss za8);g9IIjad4W%lR&4m{*|q}m*bRCI_xEvWu2^|;z^VtZ4z%HF0w3$vxxxwm<%dX5 zW)U*7OyrvdEz5I5ZD?_=$N_#(^SK3ake|J6LWp3=_NS?OMe_B+n`wAxKPCwkqX?zeDaTxe+< zqu-chDjH8Qzd@Eb#!EQEwBGqYe^hvWMrDLthMVP<+q-B_PyTZ$L>{*}Dh&`uRaeg- z#XXypZv}ZHr#GT7Tju&i_Y-k|=^v61Y&46;V1)V-ae8dah~<5t)0^k>JF(p9qe&#C z-`W-T~WIrWr5R!pZycW^ZOzjw_Jr1_0Ag*nX#QNI~$_oxjhy-=R7cBd1=2=kPD) zVYQnxHveF-30#2emc27-OWU)$$KKle*AxvNB$D6Yof@cTp_*jzz6LW>_F7%T!myn*n54gji}h&t;;QSuiQOaNU)+5%>$nKN{d!H{4$$eFc=#C zD!13xyU0^Ru9xQ)Afg|gewnQv`@Tr!R#Llp!m1n9&T}VALGauMED~><<9zci#ZKiN z7wA$xt14Xsrqf9=KMQ~kBY|g1iBnAjp$}` z{!aSr$={&jj^0NhA3wQGMSL24mco#F5)6DryUNDm1na2)#rj=4+>$S27jxcp+nT+e z%42>VCN)PC$&Ka?l+c@?82R#4FnhX?0t{CQVcybyQG?n?Imhl7(H<& zo>wb9ET3nNWHxE?O{WhQOrr z?+NzN)gr9dNzTx+bN}+ca+}OAUccD|W)*Fo5!A+s*8*uUJh;{T@2+6Ct{w`g#n*bs z-sW^DGTM4E>|h;ObKr#{FZPb+B?|Z#rbbvlqzTd`xBXPoEk8VI^sZmujg68*aZKpVVW*7TLvHDZ>HD*-9fgy-eXJgLv(N8uCcVO6 zQ@Tm;u+%PlDwix%_te@#Ssr$d!BqyN7t0okE&d0@Yy)QsbaJR>d#V&7x(D7)<7 zBQOj+LVws{?*WA2zNR-|;glq%BUoq{IV`zttSBb*W9;Ao;EUq zYa}Y(IhXUEL8$+D&W^7@j}_JTxLshTuf8cin2uCyeDR^T{m0dRpsv^n*&kA&my|l3 zX}y`uKPCbkA6>?35OO2V)f)uACtNn$zYJHEk=;4fX1))$j^({Tv=4fHMr>?D3J9a~ zhM{}Bx@24q<(WzK46ARBJ$?~~{S*5oPmn!&n?!xRXga2s*n_jQj{*k-^`>N*rG!5V z>+};qA4Id59iZQ|3mu`E>sH65B5NW0HXT1~OiZaCoB46jip>j>015#(LTTE2;cbWd z|Bb*0X!~2m4=03RI_XoLOy58{MqQvs%U+E6{&p7Q&_}dZ2-+-IcfRqjxTJLRJM{iW z3UOzi(SH!B@7H_T6$V%2@7EyJNe9o_(D~d5#dCrIHqvMh9&foJFg$M=b3GDph`V zsP#D?#Nc?!*I&0|h(oSF%!!u?rUV1%f`?`0dqhfDYhc*HHh`DR7-T=`_CaRd@jK!B zlLw&>4i>;Y5neD#Cc5-La-Ue@z__1AiD zArFnhU2-C&fIGm-4q5BgTf~LBcbYG>B}rAY=G7yr>#$_axQT9Zrk2 z>Z(4}<}HP`sWA2_Nm7QS5yg6*4}7h61iN_J z&Hpn5^lXHhq2knS6~`@~erXs~!ftH(XxC)38(zKg8KZB+zuaXarFBK`B3d`QPc9qjGP$xrNeVhqv(6zkn|MeJav!F7&A6h1%ST6` zWzeoR73NV_`k`g}%AtL4%-XkL+)1@kLeWUfnp29J5jN!CuzVd4B$f}0>3_T+(7B{L ze!8RP*c9tVe}sil`v7?%Fov~#a1bRyG*{(&s055_{ZU#Co4wj;wj~%=6c8m;E_{O= z*Q4e)@$&gE4GU~?Z1G1vskSLG-zG4aPh{RsXfk)JkQ=VP6K=Jh9vB8p0G+f(Hd*A`LR3u>ywIIx!sQYi@CXOuD^{dF7B{SK-p z*IH$w!5H;Dk@yjHp2g&56xn>2E1ms|d5oHz_a~;Z z3McI$8xrGnN()T0>_vM5BzL%FCQMy>v@;qB@wQji8CCLOz2xl2kQpP1H6I>3=Ic#{ zMM9z_q_h7YN#`EV^#A^Ur;-#!rE*vYB{_@~%3(>RQl#ERIjmAq4kKdN!KqXZs~lE2 zhA3x=iP;=tPMIWU8)oLb1GDq?+vofHZ@1U&_S*J(?t1NYJ+JHWxL+?pt6Jo-KKWnh z4R5R9w?Q`K>TbWL=ZFot2FTmtV`M8|h;S3s;MYls#&9&}J8Uv!qUWp#LU@B;4F^z~6x#QY&)*uo)jevKeG ziIT`1|C$H5M`z~S;Lh95kL6s2-fZqmLxJk70z5_uJ!`D-8}FCsiba;q&#}1bWto;& z>_fup5q)3CE0VxFkQc5tXciSm-N)5%k`Q#$>TJ(_VWiHL#WEZ3_=r5?F$*h}$_>Se#3J zS86B>M-Wa^Yl7EtGw8zA5_-_+BVA#Oc_uY33342uSpo}f1}wKd|Kcca7EK%j^zNnC zj*DcZG!YBb&qX7lzwiJhQx|b_!yfL5R|X|&IEU*-`{Gy5#)Y529K$Qo_x#dd2|qHH z-6=}OW^|#)h&{Tj<_$B#=7zb?gDPz{tN+7HOyr4A(-bB@P?p#qoSB#-zN9v~439Vr zP;KiQMDwQTTy4WMd+f#jF;NAeKmsqs&WB(I|NCydFd-dWu*RAeym?3e`$}VjZLUU6 zGH&mQ>6drBhm~o>*=aQF0{ZI>0FpE&)cvra)}Dm0OTPp=Pg0mQ!P=F;frn|TnS2Ls zwdA+58IP-hGeZG*&#12xbfyTE$=2umw^<;TemsqKO9s{`r=qd@4>o_PpuAWLlFt(EVkIt&Z|;_p>v+TCD&- z8uKM}=VZN9->O5Dr%XXWgEN)%qXXzi^J-GcY;z5-E_YsNwuy-?nT=B8g=(!9`;Tq{ z{&R5rrfsguT5xF1fB6yi&36CxmLSXJSNvpJ0CU6Y0-Jh$zTc-N$-KZZLU>={L`Jh% zJYb7lNvIH31ly9pALp|7fFYnvdMDR@{Hxd^Szr=SJa~=icM7NX77Cmi25?wI z|G3`><1WD_DDIci#xeTwcKz`NOdI|}DEyY~SH-Y4gZIR7Y9!CwmRTEYlN+w6cX1jq zrTvn#R*=TPRaH^_faT`!R@@8ketH!PXI*RN518$(^(WSPB7eJcY%N9z3jS)Z)H zS;6E<=Z+=Qv;%oHSi!RvXqTDEmWpI=l21|x=0r8||H8P#ZWnQ65YRy{xxIGrEJkUQ z?B))Kw(7l^J|d#Lw5v% z?C=hbdEX+_lK$2@0>A0`g92pQLM8*@Dh%E>`#`9&3yHE&UcPEgLd~RSC^q37I{}49 zvW;|ze4Cqbv^lP)TQlb@^33A4)rH5AHLBw zUESAS-)iZ;oLexd4eeN){0|0c;jNr)e2(THo7T=SXGX{;wGT4k3C;F8QY)sryxVYr zmgj%X7&9N5jh!FGUI(JIcN?MAx%Kjc&~jAKPMwkA%OO&WSZ>7+4px$K;>XU_`@|au z$YHGli@p$#M{{xw+wX;TTj+H|X5J2wARAtII@9Bv^Q52dmtoFn9T}aqmIB(t5C7X* z6w?-4Zq_~d*LRthr#hyX1~HgFYehjI56A<_@2bo)EEATG^=lhRGcaqg=123EIfLv% zI<9rwDY5^kYOpg7)Yb>cw>@(WngbkrYw9$rD%9lW96N);ILoR0vX%)YyzcA4SkGTt z+m3LEQxPj+Px?79fYux%Nvw0d8oM`R6T5fcYb;ZQiE5l6J1b<`sj{fB7}@?|Rt?_S zpRRw#Ap$n^?LF+3y^ZsG#vT{aCFCQ&N+94y+o*}g3>7;2*Jj--xk&K2-d9sMvKwQI z(DcZiB-Fw7(}(4{aF`Hi7c6mUmn(tu?Zw(OWhu>76!J{8%k_U=K_GVDbYgjoMa}XI z4Pt#x{%k@VlcGZCt7nIu-jmWkuopD~2vE^sgmR*R3%;5;pvFAXT}_3;Y1pDs%p(er zgrEG+xcGMCWCs$9)T@{W53)@waEfGLo6*+ zXE)O+mh6j1b|Xj-#XpSGRyA;f{Qr~#l^4lX(-w8kQ0ZPIJnxTI>0bbP7k8zyeut#Z zxr)4+x1?`P$0%vf-cZgco&mdk7VSiCa`!@7Pk!dM z@{{Jllo0O`<8RQ>^UPTL;I8#+5yDHgR$!s-=*mPapL2PkdbYPKu(3ep=)IR{24~pv z74I|dRz8OpalA|MdFx~J(X!jnDhES{=wxAz;Rj6o#y<2&#s9?a)W*I10Z3WYQ7x`mIv6x(IT~b&wrUx^q!bi;Pom5tz>0Zj)TztZW6sh6>peGPGCEH+1{5>3}>4@VPOxa~sSu)(r#}^!N zL$>u7_)fC$cbWV7hu-~y9yC%JzL!|vPL@89`0L?Qu<=v(GfU99_+0Rsw1B+R(cG^xHr=Xo!?)h3nQdjX)?#o6GI_-cm2|9_R3_^RL)Dg+ua) z@v~481bM6J`kX}+q}}K%J-D(+oW_zib>aHf8<@>8t9SoI@a9r=dVgm5JXwiyPKdfL z9msG+dYJCF2ZHyRRF_lBlPlJpU`jGb@E#`F-I`gD(t6!qO<~AUkrx358|^VHwx)}4`mvh zrHllR*SMvv^ev3p4{pa8^yp6WNmb~MF#UXYN2sAjp?j^2s%Yga-K(E-x!=g>44r&% zMsVi>oZKPUiL<|o|7x1ri+>`F0EF(SieDMyZPyt;k0`ZAbxmWWItd){`aQLgJ)aJK zJfzLLvQmoKNwhdeetw?LwsDqHA^L?|$ZUE}P>m2h1GzZ5kN77(z?7#d!1ELalba%Dl7%D_E1P}sLqTk1b( zDGee!2cK;?$khfm^cueoywK@@>urQN1#V71Obovw^`VZ{o{e&rC3SQ*o=u@36Jl25 zCKE4rv$wt9CAuqt;>3gs77=Of5s$sBu59D z7&r54({%)$h_vJonTvb49HUyvJo(G#HShd2R=}q<`qsS6>S+3=?*NhD2*Pa7yy>@D z_kP~*@ygt8ASEqwY=&w-4&o1Yl)stpn46XUQQ7FU9?3xc>3=S_Y+Wk_qBN=4R4Jsj zn5cwS?ixX@g?LbdCT9es&dZq_8M4& z0oz@(-n$~0>_4LJ)G}1$IdpaF8vD=nV|F%?d4OhqCm*nW1~xeFj5p%VA-;_oi=$w! zujv+v#WYbFcv@h#WUyKe@)kMLRo9p5<2PO5dKutyrC#b+(-Am7D_l_}EirhulOxMr z3E&2NSS`<>nrk2V8x@~n#dXPpm_`?4@leh zQHKO+qGB#wSq@L073_ds`nO$5j(naiDzjy6EM@$+jnjrWB{Y%fF|MbIg8rPf-0Ij2 z|6@t-VSHLnND9;2s=IJ0ODfVuRo9{`^uGg0HEJtSwfxdhpJz{I1~eYYM9H=r4}6Z? zYE5B#zE%uUnNyj#PofR|-A=XZH<1J@(&FmgWe7Y>!gj5#nl0n+BT`4(@!`mW|IlzL zSIAJ<6E*nKbq<_pP?^9(6ZhrBl2aJ~>V~+8*t)!SMnC=+g7zBNGY+_88~9>HrJc^cy%BfM-4Pe?2(;#& zDmoh;*dBQh0?JTTxZh0mWF?NO5>q=YZNBEg?)5q98AE=V{Yr+~dPOqNrmRjHiZf@# zkb$=Dga8|z<=rBIg4DJ175Lj22Ri_9!1bhahgoDl$$j2ELFzUv?6&P{Jj9`Z?7e0R z=QT$a{Zzm@9P*IM-0PK!ygwa&de5<1;w-1o33`k3Xdo9m zJTe-J|HCy#)#=gJ+f$~iiu{yii?(*X}&89DukwzGk_y5i3{xWgd^su{M= zg7W8Xz?Q8FPs$JU6S|`fu`?9EmKh(0=d5Gbz;< zBE8zQjllOEd6tkSde27@OshB3cx!3eubA|ncdz2Y#8m?PP|go1lYjT;!^T;c`KpLg zY+_=V4A@WWFAo}Z!N#YDqp(u96V-AQaa*lGVrWC52R|&IX~a^*(f%W=h&l`!CyE_cmK*=24jal|8lu+Jif*7GC|Z!2ro&)7MT9k|4@!|bFRb184lBfd8Z zs{>zy48bzq*Hz@se>P+i;Kmtx^q0v@r=^P^+&7Y3_HSiExK*p8R6!PjG%OTH zC=koXYL_hOkFVPCs={7V|5_+_Csbk#!I5z11p9eaf4O#ddmfSYH#|*Z=*;@KU8+c5 zYTl9%NA=ve;QohcV_Im2&1WT=7P%ql_E^I=u%}@gRL++6o|n5R)Puj2$NOH=mM|sM z;f`A)&b9z(RBd`S&L7nKAP{uZgMd73VaFLcybfr^B!bw#3cNCIy?!!ff$afn)PF6vI}6s zkDw7CrRG&VUhP=KOmDW1P=S$4!$5EsYt}{D0|AtUh(9kmvW0(vZA74xYH0s{#h_pWObXu`f(ZY#MO3; z$D!};A(*|sGlI((1&ZT(6a}@t9HE!|g(u$uv9mTjv}la|rd#pKMb!UpUd>N1IKouG zYznmo)#t%r2XH&g-lh;ef|u50S0{h5{DQ&%r6l!rx6ie*p-`t2LxABt*tWo%wvnac zkuSgSLt;&@8@4de=%Q5QQ!CMlMgA!&cPH1DA%tr&|5mXh?YvZ+m~-cRS3b@b38`meI8x{YkOOt}WJYzC=nP zldrnz_??Q_JnxvH(D84`!O!()lme~{M8Tb3=BJ_Pl+k^4g4Ee{jwU_>#O;0HoMcsD z0-sr;;SC**HjnHMW=&x}fDN%lEalkDDT{&W;U8R9X0GIfg}*oS0##$}y_~Gozuco5 zRfj6;8918^hWVUDyG?n2-y5m6&Oe^7tbAXkT$?g9LrM|JSdXDVI+ zD{J@GaPxL@v>LAFD;8eCwYth95db*-T`T^~@W*z-&mSz>$#R=Q3-P1pBA!*gO*=k@Orn)hujlus$+gBAo*yaSDp1vcfdKIXY zl?S8cBcj%iCV9@o_uaO=N2!O;59aun0A&Zk6xYT)oX2k4i=us+Moa z@-W)IB{Nd1AZ(;!=?G40txu~LZa!pK-84=&#A3oK&pWKG`SjeBY74SATjhqiZ%o8X zweju`G^+s})P$>O2G(;UU9^o{_di!Yuehp{_2JS>`{}1i_utPA{Zq>s=Fmbt_r`|( zZ9n{7;DFtKaNq;#A^Bi~4r_Xp#vchRrwR_;n!m5dU71lDwZwDAfh@&GJwOtK7p6jE z|CY(VL}%|!@cywD%o=xKW#UmaHo(o=Pkwq+b!z~qp{Ev8GEc;^coEcq1pGp`j>O*q zQRSw;l-|tL2TQA#%(?`Xws*>bYE3|ZRVRKad;zI@RXU8k8}R|-N~jrSqh9!|R4Lpn z9p#|*azdg5wW(mTWQvoopKC)q6B+bfHF#TMgLc}kq+q1<(#By|^9#mPm(*LLx`BEv z2uu#x8cbSk)GFS9HZ+uqR^N-tn(l{=!g!&xFH-8aE8Dwbmx^(RX5KpFxeJ4HdrF?D z(<-L5Q(ml5E8}zyBonQtC)qDT|3=HM5NPt;tHrgBFzm7cj)0!`BO-fI8C^rbJzSe7reR zC6o&gjXRMKANDZHa?7veq4}&)Y34Y*G_DVKSc1jUO9+_*m|i@T22G;bLcQkAxE#Iq zTaVG9l5kaBr^OyR-RTAYYbo+?h>F=)`12dDadYHvU!*WXavBaM9igmR6HK^@dl>Wl zkEcq)O{5(I}`P@D1yUV8Vf&#^BJtzH#-8Xj3< z+-=0lwxqZUp6YaBK!^+UU>oz27$40?n023F%Si>RA24UpH|QT{Dt7>wlgx0%~01QP~uHG3bos{*B-Xfw1vK{--VogWpPuYK>7Hh z)sgi_Iwz#s-wo2&C--T$>=MGR;oDM!&#E%%{Hx-T!l+FyI0Z~8)}%JM-xv*~v3ltt;h%_O|lTjxR3 z_4C8(RkwuWdey2L==rFcby2=j1)L_0Y1NE$a`mF(GK%Gh+{FtArjre>tk(*K zx1?1t#GF8Bfs)TMzaI9uiMV06bMp8b(1Th78d(k{9kA7ujU?_Sm;Ue55O?zFa^Jzu zY2-%-C>fDnhZFH>xw%f+m5fa@_w?354U3t4LGHI4af7Z36d%i9m6z20@5PVv2UL_ah4jsmGMyI2Qw2KIWrVDh-O2q z;7pvn@iboz?r;ngZqK7)ODMCLtK?aWOd?~SFw9pIZ}W{M(1toF{a{5(@r>rrpEzKC zu>P$cp@x?$sJ)cl&MO<=Sys2j*$TLO9}N~As>L!(P%+#H8by}+)j3Zb-S@g80kfPGY3~(uOA8=*N6_$HI z)TrGJY2?qt7NY!KLw=n1OU_QCHj=>yhX ztd`@#n6dAfI|BD!Cu_%WPArP@`5fP_aCd1D2*{+Pq$w*Mu??QsePop$^dya({|xbZ z{$Bjsu)k+&9QjdE{~X5cz+*ozk!=S!xHo*KB$kyGgRhZB*1lnH3VS8&4R>{GG?O;4 zD_3tKjQ`5hzr2n}#`zV~FIryy6p#mL3~rTD@kB@XcX^_(gxX@WF1VeFFb%J$nukTdUrc$0{clAe06e0OPx z3V2(4;iI_d)f<1Vxu_|^RBhOka!hM2Xz4%H^t>jd^N(Lpi zuaNiKH;j-&lBPRMj4EHbaw=g-&WWN8+t9)7B90%*c|!Zmq=ekL4LBJ1JlB{l&$xGY z5KVqUje4N-7M4fIa$#MA_8h)c6)&|RHpjuLbg?#}|HtzrbMmh_i&FkC^aB|&VGWD<>y!R^BUi8 zmLctW6Zcb@l-i&_c0Y}-v5uMJf3FFoUxw`0*d$A~2QaS#J(Q5bo8mmHRL4|L{sGBO z)wznbj~kV@h!3GVioY#0ZR9g0LU#nIAOO{MF;)H|zDlJOgJ?ahN69dwY~%p=v#$L#xI0INUSZ`pj10)oW08<*18hSMZd zg0oM-DLMqxMN`F9LQQ!9r+8n0$ps2Rs3e8MESMhgm!c9BbMREPjA$M1&=X#azy%AR9*GDQ3 zRAgL>eUbQ?uwyX&uYn2{RMIE^o3LBpP!#?S|`r?|k~Mug*~A+a+C< zwW4cPmd5!}yYkwJK&P4 z#|`AAP=xKlR_T6F3UNlgqAyP+dUzt6qUrfFJ*Ki71%|6OYqM^ zM9Yw6Uxm7V&Y2E3v)w^9Ty?38ow;)|uu4hl<-!TS1ESaNTQ4r`9H}VsgH0eS1S5Z& zA*pt@CpG1RBTii%>MRbEP!iMxlgDvpZ3y2RCmC3B#yb(WS5Nekw)GGgf`6gEt*^Q% z%wk?T!J!MWB^sViz{H4(&PTP)sh%2DJ)`YqiXt1?*rIU)B6@ahgVLkF7wN1I>8<3{ z*f$l0O^&r5HrgGz9WEQFA0~~Z`v|Kom)gSAC0>_As^C5*Len@IW^!fy|3@ov7e{+W z5HFpVQK=pgZ5Y#Nugte8J*(=WdHXzen}eiaUcBzYA^?vtpM9xG?0Uj{FNMt-Up&{Q zwru@qN;b2&9d3+#4^uxZ{-FM5CgQ`apeY}=?obMK^2nt2tcl3o!*^V4Wi5ILTx23wJT9(}!~37W@&ZS8@yhA76}c`fC6{E`#?=k@B_$Fq6p!eJ8lBrLnAH zB4yzpo99Is1G8zW>UMCrATXVi zToR$?UFr@RgL8*|B|Bo zl=*_Mw4Wzu#P8+KWU3OxCxMoZ(xtZbl98n@p;*=GV@^d0Kmf+h#PI%isja7vdw7K- z*}>7Cy0PodIOSBLY&-VKe@UN(jdSw$^RixAtnHT)LqvK)!1 zc!}UKK)!m7MF{3eHX|Q{!Js7k$IMw2JS}pgdBLme#;U82wUk63Mi6^($|idzbZ}k=n)%gQiv&&Qxh2BqhYCS8C;M~TK^p~& z3zcWY|NiEpkUxdQF_g;xeTC{c$x8{9n|Y1xJ+xVP2R7$%$3`4DSZ~x{*Odr|<@~FK z4AD2(l%u2Jb(@7B#a#a@Q6ldA5pGEZV0}};60e5O%vdDYdX7~BJbW%o3b2KLPOp({ zM^3d)?+}R!dM&qX-1kws4I28hW@DXg+`jb1Vi3{4XW=S;Lxdsa_h9Sy_ff1)dzK|R z?k`IizryvO&{Is9v#5h47KmSBw%Q0bR^+J28jC03ihW||F^r4gF*73ND39W`#9nl$ zmHg|e?3H|?R(hujKj(@i>7Ybli1`$8MtL}I@Gtne*wq=CAvraWO9h6U`rl#N$P$x7 z{thXYzQx@NiL!Vu@I9#F2@k>;K8F8Pd|6!IQSODf9zc%d#rz{*_60eOnbdAiO1H(I zIligiQYS3=+0h(UaCk^dc?|Ruq6nhOiJtQ;%QkgW4c9fE^6>CpAMoS}pCa065t{Qv zmO;g)iekLvHsTamUR)+dFu*Ll2jY=vji<@*;YZqKugP8>f7#i~Nv5 z5O&X8Y+?p?sK%}FYItPAD>9c-!mPvVmBe;|KqD%@zu(OMr6(Rh7h7e{MfvCay=SAf4B$~LvsxJQ z(^Hx6@a+c(NvU^xGT-2cxs^9ywpS=FFV$D>XR@!M{a`ESM!W<2agN+x+~wXIuLWN| z|Keebt11>1%Kx4aj75Ii-eMv?E_mvH+HXKL!Q$@@pI>bpjqm@>p_&7ylz24MMG{}U=;6qtrYPC=PiJ0DtrvB_b>F#N|XMvvko?`G@FluXhlyLsv;Z8s&9rHmz`6Fn_v{u?{ z?Qj#f6VcGL@q!U#mY1U*n9|))wZXiP@0ll}9@un#`+C^w67hm{bEM6I$Xo0`3-~U6qhV;+GoF28xJ_sLU-OX$V&08uQdPxJ?ZoXh zot2Xi$yMD%_?QW*sVxXwplgN*DqfchKUTTEeYUf=4RNU6HV=MeF4W;+hjh*~^o-T) z-V2j5Ib~3MWaif9+KVfH4ws;FTPibTaF`cF!S0TI1zxuA!3Qh1>rRnF5>I{jquO0X zcikJc;8Nptq?`cT!MQ=ymRCf~BAM%FBRrjg@`mFTgrM;3U>^N-Y~_UzUw zMQ)XS-F=KXd_hPq<0gzd3f(@+iG3|}e|!c$h;0v!61N5A9E73H4rww!9xXuA)n@ut zBNr6K+j57MNx%1th}Hi>piECW)D0@YsF{5^Q}rPzsA#&25sma-S1 zZ11)BMR|t%uu~Sf4Lc{=#Qt=I0oQY&{B5*plDQYQ0Fhb$?y?(a9w|GKd2Nw8eH{5M z$AS@gdjIA^tqHQ=GHml35FLopC(f-!44<>GQcCgH8)C{oifX@^^1{4R-- z(wCGDt##)`{oAhub|QMnH_Up^gG)q=NH5RG4usdcN~LH$q!-Z~wEa}alH+BdP9I6b z5(LfMa9p20a}=vnp*KI{F%{)exyN|GXvR#^cEd<LlVd)gvgx;M>>4pU^s=nk)fg>K%hA2gz8YkYr;4Bp zq`oku@Ak>T3Y{W;)E2(`Jmun@E}zfn!3q@@>-iVZ_NCicE%+mpOS4bI;j)Ap4lG}Bq zH8Gm6&*|1VDnHTa*&ve`s@D>jc@R}^no3a7HJgHLV@N_wj?>mCuN# z_|(h94qi^-FMt%PRNwi%qyQMW2IcQ_x>*C z9)@Swtr%iY^;quPxX_P>86Q$9+xn^O!^4*y-d`?!FIhPjwT_n96njrAc1a?;RKIG@ zWWHsVUZ8TkrxfFtZmq%Yl+Zef`8mV#IKk1P@Xu;E2Kql@f-?EpK#h&-D%%>rE<8H> z2sGLe(UcPkmjns5owQk#e`E_zGx;Go|=4QU2~RPyKCg5k7Zx7YIuc4(+G@ zlA|JZs-qqG*DHGX+UY$rjq9UBtkSpPI}qx9 ztOw%9yj#!KZ&-y)9FR)WqKvfVIvpg~X;G-*>?Ii}$ZuBFSDyG*QJdFzqhEMY>}BU+ zB@@}f?`=-BUG2*Ze6uoTlNS(_v)b_{wa}_TWg;Xt&PIN`May=>o~gn4S!$9D*kiFg zaggORH15FQ9V@`=1<5m?HxpK=e|ma?jnkTW--}-!#uJm2L({Y-(0+G*n3jcO|13Q+ z%lUQ6dAQB!SX7k_!40wRq6)T}0TR0&&#vG;%jwYkJ9<~rcoRVsSpgMr^6^9v2EK3e z#y52OpPXFf`jA0lrVeGkETkVg$V+*{x!Iosh((apx}BS}!rLUTAoA;q$d_Krr(M?s zyNs`V>4`Wzb>^EmpT8-G5j-DS16)6`Q}|px3uWJ^3<&;DgB&=D0B2Dis@Ms3iq!}A zm+k!a!vO|RzH%>Xc7&w$ky+dL#iyg|bRCevW7gh!fui`GhaC%I%@c;}qOpUyE0Sli zT*^w36)6EBXL&K{JSkMSBUA-!)@64}_tuV*|2`dSvOWdOu|t-QkjLA$tKnAiX!gyT zgop^FEP7^DWYh%?jGx?L-|eSd(dnIvU%w^T{DT^ND`=DL0|(qo)xH-<*}2EC+odfU zEmt}rcOH<$3R6S7vIya*sSg;?=6Xq2^JmE}rYVGw<@QL}P8r|w>@8lDhYpv)yl3nV zKD z68sdU<1luor8!#CE@4o|jWp4CMKNp_A0WCgEC^xO3wQL_!Uj-1HK}JCIMX3}r~3BT z0tx2tIh(oqGz}ADwa$Ws%&+_wo>6P|k@{8%)b-nsiscrj4}a}+tT*@S!IQD+I=8WB zF7UXlQ6#s~thzII`d1}%{h5|Q{dCoMubY+EQn5bpqk8ZA zq4%$dWsq9YSlY1XyS-b|I7ZEPJp5WB5M_Bys13J=acL;VB1u(P}@bZkLw*Zsv2ZoDa}8|dP;Za(p{o{-r7D{NOO7${D?}$XK8t8oEJCD z#Cb2otc+n4SxF-~z+}rG%a=RBX%@SM%)lgI?Js*v07YN^twnMwXf3p1S0!2{O)7Nb zzv~;~x7@6k+5K|(Gdf_0#1Ob4PJ%yoYfaoP{KrJsRlue&Cyb@Rg`biWi`htKFx8eyR4 z=zOuqmL@kzoR@;ew{`g{`mOcS?5C`vx=@Jvq}4kMQ;?{XyB_iP3L~n!=S+%w$&h3F z+R@uj-mM!1;r!K`;d#u@4!}HnExqA`-5s zfSkOU5tg%$bRLI!uapesuKewZK(ELUcn0qS4=ai#me0-Q$(7^ytzga5G8T!#G|Q4i zblVU(K>zgA;~0ilie7NaM!niIp-jUbdXv_MwF+%vNKtD8nass%)q#d@*W(PP=Jt33 z2}@ht4rm8Yx#_cKr??ASx6eX1tw?(oJG3Sb|GadlI#c+9x0!eknbz!`Xe<1E!Yi^U zkP8y*v7V7|ry&`dJ1V!>!wBA@>$!bOBpRi$O9`cG?15JZTH)_L z$@-JaFktBHNwZn}hq_#q(DkkqplYYGsw{xiCg2brg^{?^v-hGNa$%y8Y3IQ;GP&8%XA1;fD4$Jl;is^*S4ib4@>ErB@ZiJEwxJX`J>#THh1geS*4 zD=xkzpfAzWv0FY|xQ_D0Z~?gai$XU$fgI$l$t0gMdr zjcvMRv`W`=J4hiS$NBHSQ0WEj0F~V&=bZ3IehSxp~MsVlcK_}lSPC+_gho1Kl z{vDSbdUI`#=_^CfYe=hBBEQAQU=%X7>8bMZ(plG`3Z4H_>2<#=1Jx#{tBi7~?rvNd zyyiLIpOdRN(H*AP5rq$ zH*$nr89^0UgPl}Ul}%^{H>*q2+Cw|0pH=Z6Lv1`%Wu`o!3L@3HF>~UA2qF8gCpGg2 zkcV_V@s^kc2gG_=4%<|Y-TI{e@lAO8m4w$7T|XaQBt5xB)Tg)kB8U5uz@|AjyjUFH z-O`L8uT71z78h+M{)#}9O{9yZnSi{pKUoKg_kLka7u_d61Uqxq;w>k(f^8K-?Js)S zg5uQphmap+?xb{fTAdH7%ZdKJcJcqJDJ(Z8fz4vZTL2u&X&GU_yO!_*A&Li} z8Q$(@aK0{YHcNQd>=WF%mH8`h`Fv%YC6uioY?i2B)=j36e} zb+PcndieJF997T<(;8#g z&SNS^6#BDOo~`!`hD6aLH5NEdqwV8y#L@oE1yio$vbntUO4`PRf*{VV?T+moD7+DG zt9jQmQJPfsU!&MI9<)0$@xk?Oj~05r?&)VMr$u6?k>NSiGXyd)6@ii&oU{4(({kNx zC>#+}-K$c9CI$K#sJJ9xrcP(tpAcKb$#dKG06&*Q0 zRC&*aFC#vY%iwKL9!!sK6}MW214szC=JEP>RrK)jyuN9kN^4XDVNKWfkbgRztL5#p zPptADJQ)+*EBcHl2dP2~JHP{$)8FQG^WuM-pz4ppkmLJd(){qYsOmZCXV?;aB(82` z>$7cy$hWi?#HB~XOXlUOzetCvQE!V`Y3Si0RoQ@_fS|yF=f`yVhECPwCWK3=iQnEG z-nksD*+n*Y17mHcsw#8`*zDh=%;0NMCIPQNG)?r^*aq&))v(iQ-Fu6t!uy6a{+DBQ z5GB7u_2SlwP0MTE)a_kN>qTmHbj|Y&uawDISE9MEBIG}-dfTzXi~Wp<)BNMOAg#T& zw{chaYocQnYhSi$DbDGDHiVTZ&4jN_*t(b;!YjNeTGcaSt1Xc{Faz1kc!z5@kiIMd zjLVY+&FCcvJad;<1fXewjB)57lbVM~2%XpEH@9o)&_i5H&-IaR55-h9raQ2wp$UI(qolX16*u6dWs zlB^;@wH(~DETn7mN+EynqKe7jPWjEpVkkoR0Y$<+XnwL5SCZ>{HfRg?$N!$DBX(xb zOjPcO*w5VHjn!TJIt}4ZFYB)xJ|7xR>_M;j3|aK$T9iO6r1jYxWFGEX#Q-=3WDQgk zi2~X^!DO%7)&cKU_zUw(iUZ5OV68*8R*{xvJ6{Dwqk=H=^V3f8=oS>b^Hs z=3LohM(&lZ9FMG58@_pb{eW2+`>128_7W@MrikE*$ust%=%@R*jX7Fnw9RyiU9DD{ zo`xzeBL@;NMa)3aH#ZIXVJ!Pzs2Mt+6vM17x5a#fRZkwmrEssgkt;|F2hxJv<;8~1 z6T7*V_(xo6SgXtWtl((G#qpaZkh;J=j5{>5p?MJeq+6Szcjlc~8d-mqQ!+FBJA@jo*W=2W=p3YWkdT zQ&rqJgw!~s)0-4l>xMkFJ*WCu2fJ5diJH{>LH|!OFkG-Ua1SwMz0v#C!Sjf7CRFBA zA(- zpJ88C?;y=HZC0O1F718PXivZDbSBNVO9XCJtR z9pQhuJzM92Z&z<~O+d%yG*7RdlQ>d<%8o>f7D?4cnYHiGc|6p3Gq<^oG*kJ>LOiz+ zs>EeP$uB&*JCEV0mQ#&q=-^jj9?F+}Z7tkI;tozT_zw!wL$+X{qn^%y-J9HCBo!vg zyT@EgohLtdL(BjheHK@ukgiX%?%PjMasWYe8D?JNNvG`ov@7;hSLahLfL|)5vHHzQP|Rf%3;pO*&IT0n5a}_ zN~NPwImB$vb0`Zj=Q+=LCp)myz2E)!w$Edy&-K0zuh;Xs!MJ;7@viCQo*-;N>SWnF zr}z@ujr$ZF_}ay+bo*`7BYS(nDwdCCY#L6JiAOW_cL9-(6TjZI2W7I`GD^UXe`RXP zf1%R}Gf5sJ3wn|8*x}poEO&xxt?7fw%ib*$p^5FRDqe`QSSzn=o4wRcr1jEWsXMw4 zc{M$O8Rc+v+9$kQdb&%{0nmQ|wgPFL5yH6wHskt=T685nwvKu}r#=Y|Tr(~h7UQjg zO`PY8^lxq(e2uz~Asjs~6;dd>7Hr4Qlsis;SXRHoRc6hll|R~xk0fQGv3o^+iuh1Z zbaC*PHbwkf2-=-5csWboTz;Z{Wr_F~tJ$-oh)Uc_UA)vhc#rZt4czWO@86RO{#d|0 zggse1b(D4R)A&vcgsZvX#qv($%k>XdcTq?i$gB8k3!tgn4ktuV9elbDC(ea;@I;6S ziYN;!;7)>gfAkZ;sC=`~Re+DHc+fsNx_D`{vV!>e@1GxeEo7GTXKH#Tq=h;|as3RW zN|En$#B-`MAS1~@^J?ThlXb*o_6sd-Z~X=--mc->1|62`$8heR%YN^;wepdX0IW0^JkcWOpk+!ZGQf=eJ4zkBI!?3sX-OB8V4A zM5~he>U^Cz<$o}jiT+pbycEXcSU1l`S1hGh6(H@mv(ENne3_mQyaVPCqhLuB<@ILV z6ZIUE{OddzHM>l-V#YT!@z|sGIpW*nvo_D=-xD&Y;ss(M%NZ0jg)b1Vmc<@u{vLy% z&dA;WZs=@q(J2lk#gK^jDlV3Ue}tv!qQgUMtR4IX2@g20AN#uH8y*u0-& zi2@^(SUc=}-ot4g<8Nyh!QYRZB4hVr1NyP4Qp-*t1bT(`$UqW7?;k0bac$Z(K$v-% z{#zVHyN(=B=w~(Dpv@4k?m3SdJvs3$7v>8vRyY3i&iW}GlZv97-}Jq6&cCk)?a(so zA-#N~JOyAY1u_!G{m8$mKJx9bYUTap8T#d&x2ApGYlcmoE2t2ltVckG43s|qgFTqT zN)$6TSuv_PM45wC${zdH%Hi1o3sBdg5po~95I5!882A{`PnY$cy@l?W-gRlOCY?*A|MVS&B27jM?1lO8%DLoSM z91TjMmccf6hAZZgi4dNaQ;e2mSFKY(?5E#IvTcn?EscC0@xN~1MxpZt<+r434Noqg zV#U35Y#kNQ>RpmvKIXoHvr6?P#@3$ zRKjVUNjK03AeyGzn_4Fezb>JZsaZio(x_8el)?m^FytINJ%M_CKVz3D9YoiFaKt9P zl7)6SD(&2mEVUW>sEpb~`{qMuJt{j9x_9bkYZX35mD})&8mX09dYZd`vc>yc6s$^C zGv-|S^k@wnwAUVe8F4Iu&-pXIZSWK#?V$P0<)^9^SKDn@V+;1>&S-R`#QwWSy(Kbc ze7Dhlyu9KHfu&;am1&KgIb<=_hiZ!k+k7U9MKxg;(*QMzA|*YWy0@oF9^TdOU_4#- zK9`FWmd#dBb;CH;+F^lP2(R)OUxMbiW#?5}sH>sX)7`))DSR(oM315Sf0XZ^NAx}) z{d8|eFlR(|rwFlot<-;YSky_?$>`eoy z_Jp*7->|bHr-Xi^b(jL*-9c5qj1%VlDdt&YCY;6{2>Z_+Rru`ob|(4EO>~g0iEVs* z(Qc7~ui!z5flbsCT&mn68FfLGWe!9AF-&j7?l>tFe)jV@JKZuE4OJq%x=h6O2(^%H zn*oBOp9uy>*j()muxb(@C0kc3=D5%F@a6kwhFc~tIgh4#om;(YTubeh-t}AcZ?}Ks z60Pkcb#JBcZhS;JZ(Woe4Q%B+TBVs@jbk7oCU2d-f50r(7Zd&~rTPhrS3z^N*2ctb z5}UoSVN1xb&H6zMy+C|Nc$e>FQvpPlC^Udin2)5a(g2aOyvre-TO~hRygsgu$@0K>Hyjr=M1LM7eN{A8jt8a^!pF?xNTBEj$5>0Urgn4WwGmvjsI#4kvX zB67h*FZ-1y2Zn8i7=w-@y|!;ByG%+L5(>dzA1=!9Oq1r9Z%plAM^YL?H37 zK7$*RFJNn-zGMW0h2U*<$JVZ(AxlGpu`xohxez>@NO1d3)9Dzn*2$#imO>xLYCiaM zWkg$f&!;0pmUOY@v$$Y>AH!n4Y-+(;ZpaRi%?Z2O)bF@anM~d3xnDSIb(l3|)=fJA zRR_V>dAouG%W4u~LOBULF_u8vU00f#Uh9dYQ1&(a-iDfIXUoxut|%FYf8C!;Y!x+#6lym$qSH{N%-q&PKU!$NLG(QOmaQtW;Mz)oSbO~2ymjG~igNCnbs7K}x{ zm;XL|g}6I1IgP}1|Jv~N`7=TSB$dB76Hx;2R=mk-{e^tILGgMfc)w~rwJqbODd>7c z)dfVpl4j$V#~#vQ7u&oI=V7wgnEJ@P@gdZ0!b)ovRCGbH`6!11l_`=OMU_a`hvl1} z%XE@MkwwHJ=sj3*>l2^A>H9+{GZ`06qTy>-|q=igznwm*T?y zx(0#0U2>ESR=vT;6>9B2H&a=hrMT19`KBId`>TQ@))=etX9us0e2G31DjRh5AEwPQ z6K=2Sv%oymejtw?QeX3qr^u3r)*y>%*bzi=oAUp%nE3bom9FiWV`-C96B;Op?{5a> zQCZ7rO3Uh75z%e|15nZPyFA8bY#chI5at`d9$;1q0mqe@po!7g>God>Vqpi(6{;yIa&NNQeO85It0@a9PiS_ir%#) zpO9^7k2Jkg8MioNLbWl9nv59_@`T4b`xP%L@0SVzU|S}Fo9L3co$-FTsV&|<2t@2P zBwcOJ=0F{hSfG>iFl_yF(H$f_^bb)-AMS74VO<=c=$cB5H|4$S=b&IefTNE>?*r6 z*Clg^)tKs~4$3o4x*GPIl+6p`Eg#RxGqkesPYJheWA+Kwo3k(n^K*%eP&+L>iTtFy zK>~9#-gG13JMNeMmix0fw+E*mFW7F!{b$}eHTqJo6$Ys(PAcnv-@2t8?D?_y5NN*H zZ^fQ;*hyNA|hU;1;9(iab!S$wC8_FY} zJ{AzCNT;(xbkRugSWvm4vvyHIwEk}CZv}6tEk?Bada;aP%tyjqx*hTNJ;;s2$N_md zx(-wkza;3Yfs?z{wglLy=t+_-z=d`93iZ2lN@`r+X9*ekhb#X@lQ$BJS| zym&n?H+t*MaJDSlY^kli$wCxcS91aNG)a`V4BJh}*u34ak|?^%RYBEXK(!Ki1^Vdm zmswJZQ}eQT*CQK?m#=bmjS=-~k0zlve;_AE5>2JCgvR_t*^$S8Vw#ud8u!;T>a^7q z^-qKQDGZg{v1fU^e8FSuU9cg{%f}l}d-^cgf?NBudLv7h`P$b&DB|XD{Yft7GZ2YO zK+V;|ZiAkC>d6G~DI4`)t7wxjXl1~@e>N=b$zTiny#F)t?9WKKxj<$T0Mm(H)wWC}|SHkfk zAyfgT(_TP3`@Pm^c+kc^VQ~oe?qv0amD7l2={1qcm~^9~$P>lC))Xr`e$r?b9gzX| zR-izyvV&6gBcM5z%{8@~+VL$tCX-V=iYJ+5S!C5AMEmBOwz`mstNZ`7>q|%5uG^W1 zH4gYY^K$(qC}+{u--vR+49vm^>5-rv(SMxP@LMcZueMYO2F+sJ#d=9E1t%$?zkf52 zf0o8{u};-1QGzCE?!Ui%qZpzl{Y^XF+HMGj(;!8|KyA(RMC>8e<(LZKgIzas2q;Pc z0%=M*gW;M+d|Loxz%Dw*0-~D?ZDVON!|=CaT7VzL`rm#zxTS~ z^sOex4eNydVFm(eU-$%%MnBHjCz_Sv9?_8aOmGIVHV=nKMVz~}R~HtwE;RN^A9Gt( zna$$zL{I*31zLk{qC?hfHN7>I-EPxmjw=- zNSx7l+CqI~JGX^&Ed@asLGorQiy(+K;fytP&kX+?i z>`=L$jf*xa(BsLnKdb7sDg5|$3VX%Ukf+i|JpV(HTD_$o;*P>>N2%MYt4oD zliI0=V?SmY&uuDmaKXliE%}z9YLV)bA3OvCzVV6itmFZUF?Uk^{b4nD2sB3`n+SqZ z=y7$JEkNp@^jp98rPFj6HT&kBvDbGk=2jH1J%8IRqB3KS8HxD434xf)@I2n71 zl*Bjijdl&OcC=n~?4XH^b^Bag$cjheSsrliK>B?#09#OchO6=Oy4vk+Gv>AFa&{