modify settings
This commit is contained in:
@@ -41,7 +41,7 @@
|
||||
# ========================
|
||||
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 8.1
|
||||
set_global_assignment -name PROJECT_CREATION_TIME_DATE "10:07:29 SEPTEMBER 03, 2009"
|
||||
set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1"
|
||||
set_global_assignment -name LAST_QUARTUS_VERSION 13.1
|
||||
set_global_assignment -name MISC_FILE "C:/firebee/FPGA/firebee1.dpf"
|
||||
|
||||
# Pin & Location Assignments
|
||||
@@ -351,8 +351,7 @@ set_global_assignment -name FMAX_REQUIREMENT "30 ns"
|
||||
|
||||
# Analysis & Synthesis Assignments
|
||||
# ================================
|
||||
set_global_assignment -name FAMILY "Cyclone III"
|
||||
set_global_assignment -name TOP_LEVEL_ENTITY firebee1
|
||||
set_global_assignment -name FAMILY CycloneIII
|
||||
set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA
|
||||
set_global_assignment -name DEVICE_FILTER_PIN_COUNT 484
|
||||
set_global_assignment -name CYCLONEII_OPTIMIZATION_TECHNIQUE SPEED
|
||||
@@ -370,11 +369,11 @@ set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL"
|
||||
set_global_assignment -name FITTER_EFFORT "AUTO FIT"
|
||||
set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC ON
|
||||
set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION ON
|
||||
set_global_assignment -name PHYSICAL_SYNTHESIS_ASYNCHRONOUS_SIGNAL_PIPELINING OFF
|
||||
set_global_assignment -name PHYSICAL_SYNTHESIS_ASYNCHRONOUS_SIGNAL_PIPELINING ON
|
||||
set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_RETIMING OFF
|
||||
set_global_assignment -name PHYSICAL_SYNTHESIS_EFFORT FAST
|
||||
set_global_assignment -name PHYSICAL_SYNTHESIS_EFFORT NORMAL
|
||||
set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC_FOR_AREA ON
|
||||
set_global_assignment -name PHYSICAL_SYNTHESIS_MAP_LOGIC_TO_MEMORY_FOR_AREA OFF
|
||||
set_global_assignment -name PHYSICAL_SYNTHESIS_MAP_LOGIC_TO_MEMORY_FOR_AREA ON
|
||||
set_instance_assignment -name IO_STANDARD "2.5 V" -to DDR_CLK
|
||||
set_instance_assignment -name IO_STANDARD "2.5 V" -to VA
|
||||
set_instance_assignment -name IO_STANDARD "2.5 V" -to VD
|
||||
@@ -648,8 +647,34 @@ set_instance_assignment -name IO_STANDARD "3.0-V LVCMOS" -to nSRBLE
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to AMKB_RX
|
||||
set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (VHDL)"
|
||||
set_global_assignment -name EDA_OUTPUT_DATA_FORMAT VHDL -section_id eda_simulation
|
||||
set_global_assignment -name BDF_FILE firebee1.bdf
|
||||
set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region"
|
||||
set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region"
|
||||
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
|
||||
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
|
||||
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
|
||||
set_global_assignment -name SMART_RECOMPILE ON
|
||||
set_global_assignment -name TOP_LEVEL_ENTITY firebee1
|
||||
set_global_assignment -name APEX20K_OPTIMIZATION_TECHNIQUE SPEED
|
||||
set_global_assignment -name CYCLONE_OPTIMIZATION_TECHNIQUE SPEED
|
||||
set_global_assignment -name STRATIX_OPTIMIZATION_TECHNIQUE SPEED
|
||||
set_global_assignment -name MAX7000_OPTIMIZATION_TECHNIQUE SPEED
|
||||
set_global_assignment -name MERCURY_OPTIMIZATION_TECHNIQUE SPEED
|
||||
set_global_assignment -name FLEX6K_OPTIMIZATION_TECHNIQUE SPEED
|
||||
set_global_assignment -name FLEX10K_OPTIMIZATION_TECHNIQUE SPEED
|
||||
set_global_assignment -name VERILOG_INPUT_VERSION VERILOG_2001
|
||||
set_global_assignment -name VHDL_INPUT_VERSION VHDL_1993
|
||||
set_global_assignment -name EDA_DESIGN_ENTRY_SYNTHESIS_TOOL "<None>"
|
||||
set_global_assignment -name EDA_INPUT_DATA_FORMAT EDIF -section_id eda_design_synthesis
|
||||
set_global_assignment -name TIMEQUEST_DO_REPORT_TIMING ON
|
||||
set_global_assignment -name SYNCHRONIZER_IDENTIFICATION AUTO
|
||||
set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL ON
|
||||
set_global_assignment -name SAVE_DISK_SPACE OFF
|
||||
set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS ON
|
||||
set_global_assignment -name SDC_FILE firebee1.sdc
|
||||
set_global_assignment -name AHDL_FILE altpll_reconfig1.tdf
|
||||
set_global_assignment -name AHDL_FILE altpll4.tdf
|
||||
set_global_assignment -name BDF_FILE firebee1.bdf
|
||||
set_global_assignment -name BDF_FILE Video/video.bdf
|
||||
set_global_assignment -name VHDL_FILE Video/BLITTER/BLITTER.vhd
|
||||
set_global_assignment -name AHDL_FILE Video/DDR_CTR.tdf
|
||||
set_global_assignment -name SOURCE_FILE Video/lpm_bustri7.cmp
|
||||
@@ -746,7 +771,6 @@ set_global_assignment -name QIP_FILE Video/lpm_compare1.qip
|
||||
set_global_assignment -name SOURCE_FILE Video/lpm_shiftreg2.cmp
|
||||
set_global_assignment -name SOURCE_FILE Video/lpm_bustri2.cmp
|
||||
set_global_assignment -name VHDL_FILE Video/lpm_fifo_dc0.vhd
|
||||
set_global_assignment -name BDF_FILE Video/Video.bdf
|
||||
set_global_assignment -name SOURCE_FILE Video/lpm_shiftreg3.cmp
|
||||
set_global_assignment -name VHDL_FILE Video/lpm_bustri5.vhd
|
||||
set_global_assignment -name QIP_FILE Video/lpm_ff4.qip
|
||||
@@ -822,10 +846,4 @@ set_global_assignment -name QIP_FILE lpm_mux0.qip
|
||||
set_global_assignment -name QIP_FILE lpm_shiftreg0.qip
|
||||
set_global_assignment -name QIP_FILE lpm_counter1.qip
|
||||
set_global_assignment -name QIP_FILE altiobuf_bidir0.qip
|
||||
set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region"
|
||||
set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region"
|
||||
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
|
||||
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
|
||||
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
|
||||
set_global_assignment -name SMART_RECOMPILE ON
|
||||
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
|
||||
Reference in New Issue
Block a user