improve formatting
This commit is contained in:
@@ -239,23 +239,23 @@ architecture rtl of firebee1 is
|
||||
component altpll_reconfig1
|
||||
port
|
||||
(
|
||||
clock : in std_logic ;
|
||||
clock : in std_logic;
|
||||
counter_param : in std_logic_vector (2 downto 0);
|
||||
counter_type : in std_logic_vector (3 downto 0);
|
||||
data_in : in std_logic_vector (8 downto 0);
|
||||
pll_areset_in : in std_logic := '0';
|
||||
pll_scandataout : in std_logic ;
|
||||
pll_scandone : in std_logic ;
|
||||
read_param : in std_logic ;
|
||||
reconfig : in std_logic ;
|
||||
reset : in std_logic ;
|
||||
write_param : in std_logic ;
|
||||
busy : out std_logic ;
|
||||
pll_scandataout : in std_logic;
|
||||
pll_scandone : in std_logic;
|
||||
read_param : in std_logic;
|
||||
reconfig : in std_logic;
|
||||
reset : in std_logic;
|
||||
write_param : in std_logic;
|
||||
busy : out std_logic;
|
||||
data_out : out std_logic_vector (8 downto 0);
|
||||
pll_areset : out std_logic ;
|
||||
pll_configupdate : out std_logic ;
|
||||
pll_scanclk : out std_logic ;
|
||||
pll_scanclkena : out std_logic ;
|
||||
pll_areset : out std_logic;
|
||||
pll_configupdate : out std_logic;
|
||||
pll_scanclk : out std_logic;
|
||||
pll_scanclkena : out std_logic;
|
||||
pll_scandata : out std_logic
|
||||
);
|
||||
end component altpll_reconfig1;
|
||||
|
||||
Reference in New Issue
Block a user