fix file format

This commit is contained in:
Markus Fröschle
2018-08-19 19:10:37 +02:00
parent 4164ee3a7b
commit 384f7f42a6

View File

@@ -54,11 +54,11 @@
-- {{ALTERA_PARAMETERS_end}} DO NOT REMOVE THIS LINE!
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.firebee_utils_pkg.all;
use work.firebee_utils_pkg.all;
entity video_mod_mux_clutctr is
port