rename video (again?)
This commit is contained in:
@@ -866,4 +866,181 @@ set_global_assignment -name QIP_FILE altiobuf_bidir0.qip
|
|||||||
set_global_assignment -name VHDL_FILE flexbus_register.vhd
|
set_global_assignment -name VHDL_FILE flexbus_register.vhd
|
||||||
|
|
||||||
|
|
||||||
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
|
set_global_assignment -name ENABLE_SIGNALTAP ON
|
||||||
|
set_global_assignment -name USE_SIGNALTAP_FILE stp1.stp
|
||||||
|
set_global_assignment -name SIGNALTAP_FILE stp1.stp
|
||||||
|
set_global_assignment -name SLD_NODE_CREATOR_ID 110 -section_id auto_signaltap_0
|
||||||
|
set_global_assignment -name SLD_NODE_ENTITY_NAME sld_signaltap -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_clk -to MAIN_CLK -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[0] -to FB_AD[0] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[1] -to FB_AD[10] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[2] -to FB_AD[11] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[3] -to FB_AD[12] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[4] -to FB_AD[13] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[5] -to FB_AD[14] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[6] -to FB_AD[15] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[7] -to FB_AD[16] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[8] -to FB_AD[17] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[9] -to FB_AD[18] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[10] -to FB_AD[19] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[11] -to FB_AD[1] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[12] -to FB_AD[20] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[13] -to FB_AD[21] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[14] -to FB_AD[22] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[15] -to FB_AD[23] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[16] -to FB_AD[24] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[17] -to FB_AD[25] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[18] -to FB_AD[26] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[19] -to FB_AD[27] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[20] -to FB_AD[28] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[21] -to FB_AD[29] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[22] -to FB_AD[2] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[23] -to FB_AD[30] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[24] -to FB_AD[31] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[25] -to FB_AD[3] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[26] -to FB_AD[4] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[27] -to FB_AD[5] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[28] -to FB_AD[6] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[29] -to FB_AD[7] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[30] -to FB_AD[8] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[31] -to FB_AD[9] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[32] -to FB_ALE -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[33] -to FB_SIZE0 -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[34] -to FB_SIZE1 -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[35] -to fb_ad_in[0] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[36] -to fb_ad_in[10] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[37] -to fb_ad_in[11] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[38] -to fb_ad_in[12] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[39] -to fb_ad_in[13] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[40] -to fb_ad_in[14] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[41] -to fb_ad_in[15] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[42] -to fb_ad_in[16] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[43] -to fb_ad_in[17] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[44] -to fb_ad_in[18] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[45] -to fb_ad_in[19] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[46] -to fb_ad_in[1] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[47] -to fb_ad_in[20] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[48] -to fb_ad_in[21] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[49] -to fb_ad_in[22] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[50] -to fb_ad_in[23] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[51] -to fb_ad_in[24] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[52] -to fb_ad_in[25] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[53] -to fb_ad_in[26] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[54] -to fb_ad_in[27] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[55] -to fb_ad_in[28] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[56] -to fb_ad_in[29] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[57] -to fb_ad_in[2] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[58] -to fb_ad_in[30] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[59] -to fb_ad_in[31] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[60] -to fb_ad_in[3] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[61] -to fb_ad_in[4] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[62] -to fb_ad_in[5] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[63] -to fb_ad_in[6] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[64] -to fb_ad_in[7] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[65] -to fb_ad_in[8] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[66] -to fb_ad_in[9] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[0] -to FB_AD[0] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[1] -to FB_AD[10] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[2] -to FB_AD[11] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[3] -to FB_AD[12] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[4] -to FB_AD[13] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[5] -to FB_AD[14] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[6] -to FB_AD[15] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[7] -to FB_AD[16] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[8] -to FB_AD[17] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[9] -to FB_AD[18] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[10] -to FB_AD[19] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[11] -to FB_AD[1] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[12] -to FB_AD[20] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[13] -to FB_AD[21] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[14] -to FB_AD[22] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[15] -to FB_AD[23] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[16] -to FB_AD[24] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[17] -to FB_AD[25] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[18] -to FB_AD[26] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[19] -to FB_AD[27] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[20] -to FB_AD[28] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[21] -to FB_AD[29] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[22] -to FB_AD[2] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[23] -to FB_AD[30] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[24] -to FB_AD[31] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[25] -to FB_AD[3] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[26] -to FB_AD[4] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[27] -to FB_AD[5] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[28] -to FB_AD[6] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[29] -to FB_AD[7] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[30] -to FB_AD[8] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[31] -to FB_AD[9] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[32] -to FB_ALE -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[33] -to FB_SIZE0 -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[34] -to FB_SIZE1 -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[35] -to fb_ad_in[0] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[36] -to fb_ad_in[10] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[37] -to fb_ad_in[11] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[38] -to fb_ad_in[12] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[39] -to fb_ad_in[13] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[40] -to fb_ad_in[14] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[41] -to fb_ad_in[15] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[42] -to fb_ad_in[16] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[43] -to fb_ad_in[17] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[44] -to fb_ad_in[18] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[45] -to fb_ad_in[19] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[46] -to fb_ad_in[1] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[47] -to fb_ad_in[20] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[48] -to fb_ad_in[21] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[49] -to fb_ad_in[22] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[50] -to fb_ad_in[23] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[51] -to fb_ad_in[24] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[52] -to fb_ad_in[25] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[53] -to fb_ad_in[26] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[54] -to fb_ad_in[27] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[55] -to fb_ad_in[28] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[56] -to fb_ad_in[29] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[57] -to fb_ad_in[2] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[58] -to fb_ad_in[30] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[59] -to fb_ad_in[31] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[60] -to fb_ad_in[3] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[61] -to fb_ad_in[4] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[62] -to fb_ad_in[5] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[63] -to fb_ad_in[6] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[64] -to fb_ad_in[7] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[65] -to fb_ad_in[8] -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[66] -to fb_ad_in[9] -section_id auto_signaltap_0
|
||||||
|
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_RAM_BLOCK_TYPE=AUTO" -section_id auto_signaltap_0
|
||||||
|
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_INFO=805334528" -section_id auto_signaltap_0
|
||||||
|
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_POWER_UP_TRIGGER=0" -section_id auto_signaltap_0
|
||||||
|
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_STORAGE_QUALIFIER_INVERSION_MASK_LENGTH=0" -section_id auto_signaltap_0
|
||||||
|
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_SEGMENT_SIZE=128" -section_id auto_signaltap_0
|
||||||
|
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_ATTRIBUTE_MEM_MODE=OFF" -section_id auto_signaltap_0
|
||||||
|
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_STATE_FLOW_USE_GENERATED=0" -section_id auto_signaltap_0
|
||||||
|
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_STATE_BITS=11" -section_id auto_signaltap_0
|
||||||
|
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_BUFFER_FULL_STOP=1" -section_id auto_signaltap_0
|
||||||
|
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_CURRENT_RESOURCE_WIDTH=1" -section_id auto_signaltap_0
|
||||||
|
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_LEVEL=1" -section_id auto_signaltap_0
|
||||||
|
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_SAMPLE_DEPTH=256" -section_id auto_signaltap_0
|
||||||
|
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_IN_ENABLED=0" -section_id auto_signaltap_0
|
||||||
|
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_ADVANCED_TRIGGER_ENTITY=basic,1," -section_id auto_signaltap_0
|
||||||
|
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_LEVEL_PIPELINE=1" -section_id auto_signaltap_0
|
||||||
|
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_ENABLE_ADVANCED_TRIGGER=0" -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[67] -to nFB_BURST -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[68] -to nFB_CS1 -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[69] -to nFB_CS2 -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[70] -to nFB_CS3 -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[71] -to nFB_OE -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[72] -to nFB_TA -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[73] -to nFB_WR -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[67] -to nFB_BURST -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[68] -to nFB_CS1 -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[69] -to nFB_CS2 -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[70] -to nFB_CS3 -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[71] -to nFB_OE -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[72] -to nFB_TA -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[73] -to nFB_WR -section_id auto_signaltap_0
|
||||||
|
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_DATA_BITS=74" -section_id auto_signaltap_0
|
||||||
|
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_BITS=74" -section_id auto_signaltap_0
|
||||||
|
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK=000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" -section_id auto_signaltap_0
|
||||||
|
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK_LENGTH=243" -section_id auto_signaltap_0
|
||||||
|
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_CRC_LOWORD=44632" -section_id auto_signaltap_0
|
||||||
|
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_CRC_HIWORD=49597" -section_id auto_signaltap_0
|
||||||
|
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
|
||||||
|
set_global_assignment -name SLD_FILE db/stp1_auto_stripped.stp
|
||||||
@@ -8,24 +8,46 @@ library work;
|
|||||||
|
|
||||||
entity firebee1 is
|
entity firebee1 is
|
||||||
port
|
port
|
||||||
(
|
(
|
||||||
|
MAIN_CLK : in std_logic;
|
||||||
|
nRSTO_MCF : in std_logic;
|
||||||
|
CLK33MDIR : in std_logic;
|
||||||
|
|
||||||
|
-- the ColdFire FlexBus signals
|
||||||
FB_ALE : in std_logic;
|
FB_ALE : in std_logic;
|
||||||
|
FB_AD : inout std_logic_vector(31 downto 0);
|
||||||
|
nFB_OE : in std_logic;
|
||||||
nFB_WR : in std_logic;
|
nFB_WR : in std_logic;
|
||||||
|
nFB_TA : out std_logic;
|
||||||
nFB_CS1 : in std_logic;
|
nFB_CS1 : in std_logic;
|
||||||
nFB_CS2 : in std_logic;
|
nFB_CS2 : in std_logic;
|
||||||
nFB_CS3 : in std_logic;
|
nFB_CS3 : in std_logic;
|
||||||
FB_SIZE0 : in std_logic;
|
FB_SIZE0 : in std_logic;
|
||||||
FB_SIZE1 : in std_logic;
|
FB_SIZE1 : in std_logic;
|
||||||
nFB_BURST : in std_logic;
|
nFB_BURST : in std_logic;
|
||||||
LP_BUSY : in std_logic;
|
|
||||||
|
LP_BUSY : in std_logic;
|
||||||
|
|
||||||
nACSI_DRQ : in std_logic;
|
nACSI_DRQ : in std_logic;
|
||||||
nACSI_INT : in std_logic;
|
nACSI_INT : in std_logic;
|
||||||
|
|
||||||
|
-- serial port pins
|
||||||
RxD : in std_logic;
|
RxD : in std_logic;
|
||||||
CTS : in std_logic;
|
CTS : in std_logic;
|
||||||
RI : in std_logic;
|
RI : in std_logic;
|
||||||
DCD : in std_logic;
|
DCD : in std_logic;
|
||||||
|
TxD : out std_logic;
|
||||||
|
RTS : out std_logic;
|
||||||
|
DTR : out std_logic;
|
||||||
|
|
||||||
|
-- parallel port
|
||||||
|
LP_D : inout std_logic_vector(7 downto 0);
|
||||||
|
LP_STR : out std_logic;
|
||||||
|
LPDIR : out std_logic;
|
||||||
|
|
||||||
AMKB_RX : in std_logic;
|
AMKB_RX : in std_logic;
|
||||||
PIC_AMKB_RX : in std_logic;
|
PIC_AMKB_RX : in std_logic;
|
||||||
|
|
||||||
IDE_RDY : in std_logic;
|
IDE_RDY : in std_logic;
|
||||||
IDE_INT : in std_logic;
|
IDE_INT : in std_logic;
|
||||||
WP_CF_CARD : in std_logic;
|
WP_CF_CARD : in std_logic;
|
||||||
@@ -38,56 +60,58 @@ entity firebee1 is
|
|||||||
sd_card_detect : in std_logic;
|
sd_card_detect : in std_logic;
|
||||||
nSCSI_DRQ : in std_logic;
|
nSCSI_DRQ : in std_logic;
|
||||||
SD_WP : in std_logic;
|
SD_WP : in std_logic;
|
||||||
nRD_DATA : in std_logic;
|
nRD_DATA : in std_logic;
|
||||||
|
|
||||||
nSCSI_C_D : in std_logic;
|
nSCSI_C_D : in std_logic;
|
||||||
nSCSI_I_O : in std_logic;
|
nSCSI_I_O : in std_logic;
|
||||||
nSCSI_MSG : in std_logic;
|
nSCSI_MSG : in std_logic;
|
||||||
nDACK0 : in std_logic;
|
nDACK0 : in std_logic;
|
||||||
|
|
||||||
PIC_INT : in std_logic;
|
PIC_INT : in std_logic;
|
||||||
nFB_OE : in std_logic;
|
|
||||||
TOUT0 : in std_logic;
|
TOUT0 : in std_logic;
|
||||||
nMASTER : in std_logic;
|
nMASTER : in std_logic;
|
||||||
DVI_INT : in std_logic;
|
DVI_INT : in std_logic;
|
||||||
nDACK1 : in std_logic;
|
nDACK1 : in std_logic;
|
||||||
|
|
||||||
nPCI_INTD : in std_logic;
|
nPCI_INTD : in std_logic;
|
||||||
nPCI_INTC : in std_logic;
|
nPCI_INTC : in std_logic;
|
||||||
nPCI_INTB : in std_logic;
|
nPCI_INTB : in std_logic;
|
||||||
nPCI_INTA : in std_logic;
|
nPCI_INTA : in std_logic;
|
||||||
E0_INT : in std_logic;
|
|
||||||
|
E0_INT : in std_logic;
|
||||||
|
|
||||||
nINDEX : in std_logic;
|
nINDEX : in std_logic;
|
||||||
HD_DD : in std_logic;
|
HD_DD : in std_logic;
|
||||||
MAIN_CLK : in std_logic;
|
|
||||||
nRSTO_MCF : in std_logic;
|
|
||||||
CLK33MDIR : in std_logic;
|
|
||||||
SCSI_PAR : inout std_logic;
|
SCSI_PAR : inout std_logic;
|
||||||
nSCSI_RST : inout std_logic;
|
nSCSI_RST : inout std_logic;
|
||||||
nSCSI_SEL : inout std_logic;
|
nSCSI_SEL : inout std_logic;
|
||||||
nSCSI_BUSY : inout std_logic;
|
nSCSI_BUSY : inout std_logic;
|
||||||
|
SCSI_D : inout std_logic_vector(7 downto 0);
|
||||||
|
nSCSI_ACK : out std_logic;
|
||||||
|
nSCSI_ATN : out std_logic;
|
||||||
|
SCSI_DIR : out std_logic;
|
||||||
|
|
||||||
SD_CD_DATA3 : inout std_logic;
|
SD_CD_DATA3 : inout std_logic;
|
||||||
SD_CMD_D1 : inout std_logic;
|
SD_CMD_D1 : inout std_logic;
|
||||||
MIDI_IN_PIN : inout std_logic;
|
MIDI_IN_PIN : inout std_logic;
|
||||||
|
|
||||||
ACSI_D : inout std_logic_vector(7 downto 0);
|
ACSI_D : inout std_logic_vector(7 downto 0);
|
||||||
FB_AD : inout std_logic_vector(31 downto 0);
|
|
||||||
IO : inout std_logic_vector(17 downto 0);
|
IO : inout std_logic_vector(17 downto 0);
|
||||||
LP_D : inout std_logic_vector(7 downto 0);
|
|
||||||
SCSI_D : inout std_logic_vector(7 downto 0);
|
|
||||||
SRD : inout std_logic_vector(15 downto 0);
|
SRD : inout std_logic_vector(15 downto 0);
|
||||||
VD : inout std_logic_vector(31 downto 0);
|
VD : inout std_logic_vector(31 downto 0);
|
||||||
VDQS : inout std_logic_vector(3 downto 0);
|
VDQS : inout std_logic_vector(3 downto 0);
|
||||||
LP_STR : out std_logic;
|
|
||||||
nACSI_ACK : out std_logic;
|
nACSI_ACK : out std_logic;
|
||||||
nACSI_RESET : out std_logic;
|
nACSI_RESET : out std_logic;
|
||||||
nACSI_CS : out std_logic;
|
nACSI_CS : out std_logic;
|
||||||
ACSI_DIR : out std_logic;
|
ACSI_DIR : out std_logic;
|
||||||
ACSI_A1 : out std_logic;
|
ACSI_A1 : out std_logic;
|
||||||
nSCSI_ACK : out std_logic;
|
|
||||||
nSCSI_ATN : out std_logic;
|
|
||||||
SCSI_DIR : out std_logic;
|
|
||||||
MIDI_TLR : out std_logic;
|
MIDI_TLR : out std_logic;
|
||||||
TxD : out std_logic;
|
|
||||||
RTS : out std_logic;
|
|
||||||
DTR : out std_logic;
|
|
||||||
AMKB_TX : out std_logic;
|
AMKB_TX : out std_logic;
|
||||||
|
|
||||||
IDE_RES : out std_logic;
|
IDE_RES : out std_logic;
|
||||||
nIDE_CS0 : out std_logic;
|
nIDE_CS0 : out std_logic;
|
||||||
nIDE_CS1 : out std_logic;
|
nIDE_CS1 : out std_logic;
|
||||||
@@ -118,10 +142,10 @@ entity firebee1 is
|
|||||||
nSRBHE : out std_logic;
|
nSRBHE : out std_logic;
|
||||||
nSRWE : out std_logic;
|
nSRWE : out std_logic;
|
||||||
nDREQ1 : out std_logic;
|
nDREQ1 : out std_logic;
|
||||||
|
|
||||||
LED_FPGA_OK : out std_logic;
|
LED_FPGA_OK : out std_logic;
|
||||||
nSROE : out std_logic;
|
nSROE : out std_logic;
|
||||||
VCKE : out std_logic;
|
VCKE : out std_logic;
|
||||||
nFB_TA : out std_logic;
|
|
||||||
nDDR_CLK : out std_logic;
|
nDDR_CLK : out std_logic;
|
||||||
DDR_CLK : out std_logic;
|
DDR_CLK : out std_logic;
|
||||||
VSYNC_PAD : out std_logic;
|
VSYNC_PAD : out std_logic;
|
||||||
@@ -132,14 +156,13 @@ entity firebee1 is
|
|||||||
nMOT_ON : out std_logic;
|
nMOT_ON : out std_logic;
|
||||||
nSTEP_DIR : out std_logic;
|
nSTEP_DIR : out std_logic;
|
||||||
nSTEP : out std_logic;
|
nSTEP : out std_logic;
|
||||||
LPDIR : out std_logic;
|
|
||||||
MIDI_OLR : out std_logic;
|
MIDI_OLR : out std_logic;
|
||||||
CLK25M : out std_logic;
|
CLK25M : out std_logic;
|
||||||
CLKUSB : out std_logic;
|
CLKUSB : out std_logic;
|
||||||
CLK24M576 : out std_logic;
|
CLK24M576 : out std_logic;
|
||||||
BA : out std_logic_vector(1 downto 0);
|
BA : out std_logic_vector(1 downto 0);
|
||||||
nIRQ : out std_logic_vector(7 downto 2);
|
nIRQ : out std_logic_vector(7 downto 2);
|
||||||
VA : out std_logic_vector(12 downto 0);
|
VA : out std_logic_vector(12 downto 0);
|
||||||
VB : out std_logic_vector(7 downto 0);
|
VB : out std_logic_vector(7 downto 0);
|
||||||
VDM : out std_logic_vector(3 downto 0);
|
VDM : out std_logic_vector(3 downto 0);
|
||||||
VG : out std_logic_vector(7 downto 0);
|
VG : out std_logic_vector(7 downto 0);
|
||||||
@@ -298,7 +321,7 @@ begin
|
|||||||
dsp_ta => dsp_ta
|
dsp_ta => dsp_ta
|
||||||
);
|
);
|
||||||
|
|
||||||
i_falconio_sdcard_ide_cf : work.falconio_sdcard_ide_cf
|
i_falconio_sdcard_ide_cf : entity work.falconio_sdcard_ide_cf
|
||||||
port map
|
port map
|
||||||
(
|
(
|
||||||
clk33m => main_clk,
|
clk33m => main_clk,
|
||||||
@@ -407,7 +430,7 @@ begin
|
|||||||
);
|
);
|
||||||
|
|
||||||
|
|
||||||
i_interrupt_handler : work.interrupt_handler
|
i_interrupt_handler : entity work.interrupt_handler
|
||||||
port map
|
port map
|
||||||
(
|
(
|
||||||
MAIN_CLK => MAIN_CLK,
|
MAIN_CLK => MAIN_CLK,
|
||||||
@@ -439,7 +462,7 @@ begin
|
|||||||
nIRQ => nIRQ
|
nIRQ => nIRQ
|
||||||
);
|
);
|
||||||
|
|
||||||
i_mfp_acia_clk_pll : work.altpll1
|
i_mfp_acia_clk_pll : entity work.altpll1
|
||||||
port map
|
port map
|
||||||
(
|
(
|
||||||
inclk0 => MAIN_CLK,
|
inclk0 => MAIN_CLK,
|
||||||
@@ -537,7 +560,7 @@ begin
|
|||||||
);
|
);
|
||||||
|
|
||||||
|
|
||||||
inst1 : work.lpm_ff0
|
i_fb_adr_latch : entity work.lpm_ff0
|
||||||
port map
|
port map
|
||||||
(
|
(
|
||||||
clock => ddr_sync_66m,
|
clock => ddr_sync_66m,
|
||||||
@@ -551,7 +574,7 @@ begin
|
|||||||
nSTEP <= not(step);
|
nSTEP <= not(step);
|
||||||
nWR <= not(wr_data);
|
nWR <= not(wr_data);
|
||||||
|
|
||||||
inst18 : work.lpm_counter0
|
inst18 : entity work.lpm_counter0
|
||||||
port map
|
port map
|
||||||
(
|
(
|
||||||
clock => clk500k,
|
clock => clk500k,
|
||||||
@@ -562,8 +585,8 @@ begin
|
|||||||
nWR_GATE <= not(wr_gate);
|
nWR_GATE <= not(wr_gate);
|
||||||
|
|
||||||
nFB_TA <= not(video_ta or int_handler_ta or dsp_ta or falcon_io_ta);
|
nFB_TA <= not(video_ta or int_handler_ta or dsp_ta or falcon_io_ta);
|
||||||
fb_ad_in <= fb_ad;
|
fb_ad_in <= FB_AD;
|
||||||
fb_ad <= fb_ad_out when (video_ta or int_handler_ta or dsp_ta or falcon_io_ta) else (others => 'Z');
|
FB_AD <= fb_ad_out when (video_ta or int_handler_ta or dsp_ta or falcon_io_ta) else (others => 'Z');
|
||||||
|
|
||||||
clk33m <= MAIN_CLK;
|
clk33m <= MAIN_CLK;
|
||||||
|
|
||||||
@@ -579,11 +602,11 @@ begin
|
|||||||
o => midi_in
|
o => midi_in
|
||||||
);
|
);
|
||||||
|
|
||||||
LED_FPGA_OK <= timebase(17);
|
led_fpga_ok <= timebase(17);
|
||||||
|
|
||||||
nDDR_CLK <= not(ddrclk(0));
|
nDDR_CLK <= not(ddrclk(0));
|
||||||
|
|
||||||
inst5 : work.altddio_out3
|
inst5 : entity work.altddio_out3
|
||||||
port map
|
port map
|
||||||
(
|
(
|
||||||
datain_h => vsync,
|
datain_h => vsync,
|
||||||
@@ -593,7 +616,7 @@ begin
|
|||||||
);
|
);
|
||||||
|
|
||||||
|
|
||||||
inst6 : work.altddio_out3
|
inst6 : entity work.altddio_out3
|
||||||
port map
|
port map
|
||||||
(
|
(
|
||||||
datain_h => hsync,
|
datain_h => hsync,
|
||||||
@@ -603,7 +626,7 @@ begin
|
|||||||
);
|
);
|
||||||
|
|
||||||
|
|
||||||
inst8 : work.altddio_out3
|
inst8 : entity work.altddio_out3
|
||||||
port map
|
port map
|
||||||
(
|
(
|
||||||
datain_h => blank_n,
|
datain_h => blank_n,
|
||||||
@@ -612,7 +635,7 @@ begin
|
|||||||
dataout => nBLANK_PAD
|
dataout => nBLANK_PAD
|
||||||
);
|
);
|
||||||
|
|
||||||
inst9 : work.altddio_out3
|
inst9 : entity work.altddio_out3
|
||||||
port map
|
port map
|
||||||
(
|
(
|
||||||
datain_h => '0',
|
datain_h => '0',
|
||||||
|
|||||||
Reference in New Issue
Block a user